TWI671814B - 堆疊晶粒和形成接合結構的方法 - Google Patents

堆疊晶粒和形成接合結構的方法 Download PDF

Info

Publication number
TWI671814B
TWI671814B TW106114643A TW106114643A TWI671814B TW I671814 B TWI671814 B TW I671814B TW 106114643 A TW106114643 A TW 106114643A TW 106114643 A TW106114643 A TW 106114643A TW I671814 B TWI671814 B TW I671814B
Authority
TW
Taiwan
Prior art keywords
layer
integrated device
die
device die
carrier
Prior art date
Application number
TW106114643A
Other languages
English (en)
Other versions
TW201806015A (zh
Inventor
賽普里安 艾曼卡 巫卓
阿寇葛德R 席特倫
保羅M 恩奎斯特
Original Assignee
美商英帆薩斯邦德科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英帆薩斯邦德科技有限公司 filed Critical 美商英帆薩斯邦德科技有限公司
Publication of TW201806015A publication Critical patent/TW201806015A/zh
Application granted granted Critical
Publication of TWI671814B publication Critical patent/TWI671814B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/0519Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05193Material with a principal constituent of the material being a solid not provided for in groups H01L2224/051 - H01L2224/05191, e.g. allotropes of carbon, fullerene, graphite, carbon-nanotubes, diamond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/05199Material of the matrix
    • H01L2224/0529Material of the matrix with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/05298Fillers
    • H01L2224/05299Base material
    • H01L2224/05386Base material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/291Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/29101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29199Material of the matrix
    • H01L2224/2929Material of the matrix with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/29298Fillers
    • H01L2224/29299Base material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8038Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/80399Material
    • H01L2224/80486Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/8038Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/80399Material
    • H01L2224/8049Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/81486Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/8149Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8338Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/83399Material
    • H01L2224/83486Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8338Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/83399Material
    • H01L2224/8349Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • H01L2224/83851Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester being an anisotropic conductive adhesive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Abstract

在各個實施例中,揭示一種用於形成一接合結構之方法。該方法可包含將一第一整合裝置晶粒裝配至一載體。在裝配之後,可薄化該第一整合裝置晶粒。該方法可包括在該第一整合裝置晶粒之一曝露表面上提供一第一層。可移除該第一層之至少一部分。可在無一介入黏著劑之情況下將一第二整合裝置晶粒直接接合至該第一整合裝置晶粒。

Description

堆疊晶粒和形成接合結構的方法
本領域係關於具有保護材料之接合結構及用於形成具有保護材料之接合結構之方法。
在各種封裝配置中,可有利的是提供薄化整合裝置晶粒,例如,使能夠在低剖面封裝(low-profile package)內使用多個整合裝置晶粒。舉例而言,三維(3D)整合技術常常利用兩個或多於兩個整合裝置晶粒堆疊於彼此之上且彼此電連接的封裝。用於晶粒薄化及/或3D整合之習知方法可具有有限的產品良率,此係因為在組裝期間賦予至晶粒之應力可損壞堆疊中之晶粒。此外,可具挑戰性的是堆疊具有不同厚度且可源自不同類型之基板及/或晶圓的晶粒。因此,仍不斷地需要用於堆疊整合裝置晶粒之改良型系統及方法。
本發明之一態樣包含一種用於形成一接合結構之方法,該方法包含:將一第一單粒化整合裝置晶粒裝配至一載體;在裝配之後,薄化該第一整合裝置晶粒;及在該第一整合裝置晶粒之一曝露表面上提供包含一第一層之一保護材料。
本發明之另一態樣包含一種接合結構,其包含:一載體;一第一整合裝置晶粒,其具有裝配至該載體之一上表面的一下表面,該第一整合裝置晶粒包含與該下表面相對之一上表面及在該第一整合裝置晶粒之該上表面與該下表面之間的一側表面;及一保護材料,其包含具有安置於該第一整合裝置晶粒之該側表面上之一第一部分的一第一層,該第一層硬於該第一整合裝置晶粒之側表面。
本發明之一另外態樣包含一種電子裝置,其包含如本文中所描述之接合結構。
本發明之一另外態樣包含一種用於形成一接合結構之方法,該方法包含:將一第一整合裝置晶粒裝配至一載體;及在裝配之後,在該第一整合裝置晶粒之一表面上提供包含一第一層之一保護材料;及平坦化該第一層之至少一部分以移除該第一整合裝置晶粒之一部分。
1‧‧‧接合結構
2‧‧‧基板
3‧‧‧第一整合裝置晶粒
3a‧‧‧第一晶粒
3b‧‧‧第一晶粒
3c‧‧‧第一晶粒
4‧‧‧第二晶粒
4a‧‧‧第二晶粒
4b‧‧‧第二晶粒
5‧‧‧緩衝層
6‧‧‧主動表面
7‧‧‧間隙/間距
8‧‧‧基板之上表面
9‧‧‧非導電襯套
9a‧‧‧遠側襯套部分
10‧‧‧互連件
11‧‧‧接合層
12‧‧‧第一層/第一保護層
13‧‧‧第一部分
14‧‧‧第二部分
15‧‧‧第二層/第二填料層
16‧‧‧第三部分
17‧‧‧第四部分
18‧‧‧晶粒之背側
19‧‧‧背表面
20‧‧‧非導電區域
22‧‧‧佈線介電層
24‧‧‧遮罩
25‧‧‧圖案化空間
26‧‧‧通孔或跡線
28‧‧‧測試襯墊
29‧‧‧通孔
30a‧‧‧第三晶粒
30b‧‧‧第三晶粒
34‧‧‧佈線層
36‧‧‧導電重佈層
37‧‧‧空隙
38‧‧‧孔隙
40‧‧‧額外保護層
45‧‧‧第三層/第三填料層
48‧‧‧第三層之第五部分
49‧‧‧第三層之第六部分
70‧‧‧用於形成接合結構之方法
72‧‧‧區塊
74‧‧‧區塊
76‧‧‧用於形成接合結構之方法
77‧‧‧區塊
78‧‧‧區塊
79‧‧‧區塊
80‧‧‧系統
82‧‧‧裝置封裝
此等態樣及其他態樣將自較佳實施例及隨附圖式之以下描述顯而易見,隨附圖式意在說明而非限制本發明,其中:
圖1A至圖1F為根據一個實施例的用於形成具有保護材料之接合結構之方法之各種階段的示意性側視橫截面圖。
圖2A至圖2K為根據另一實施例的用於形成具有保護材料之接合結構之方法之各種階段的示意性側視橫截面圖,其中在薄化之後形成電互連件。
圖3A至圖3O為根據另一實施例的用於形成接合結構之方法之各種階段的示意性側視橫截面圖,其中在處理期間之保護材料包含薄保護襯套及填料材料。
圖4A至圖4K為根據又一實施例的用於形成接合結構之方法之各種階段的示意性側視橫截面圖,其中保護材料包含在填料材料上方之額外保護層。
圖5A至圖5I為根據另一實施例的用於形成接合結構之方法之各種階段的示意性側視橫截面圖,其中在製造期間提供多個層作為保護材料。
圖6A至圖6E為用於形成多個晶粒具有不同初始厚度之接合結構之方法之各種階段的示意性側視橫截面圖。
圖7A為說明根據一個實施例的用於形成接合結構之方法的流程圖。
圖7B為說明根據另一實施例的用於形成接合結構之方法的流程圖。
圖8為根據各個實施例的併有一或多個接合結構之系統的示意圖。
本文中所揭示之各個實施例使單粒化整合裝置晶粒能夠被裝配至封裝結構(例如,封裝基板、晶圓、另一整合裝置晶粒等等)且在裝配之後被薄化。薄化單粒化整合裝置晶粒可輔助封裝組裝之各個態樣,包括(例如)曝露或形成互連件(諸如直通矽穿孔(through-silicon via)或TSV)。然而,藉由拋光或研磨來薄化晶粒可在晶粒中誘發應力,此可損壞或破壞晶粒之邊緣。在一些實施例中,可將保護材料(其可包含一或多個保護層)施加於整合裝置晶粒上方及/或周圍以在薄化期間及在一些配置中在後續處理步驟期間保護晶粒。
此外,本文中所揭示之各個實施例促進以改良型良率高效地堆疊整合裝置晶粒以及縮減賦予至晶粒之損壞及應力。整合裝置封裝及較大電子系統可併有不同類型之整合裝置晶粒,例如,具有不同功能性之晶 粒、由不同材料集形成之晶粒,及/或具有不同厚度之晶粒。可具挑戰性的是將此等多樣整合裝置晶粒併入至封裝中及/或以堆疊關係配置不同類型之晶粒。舉例而言,可難以接合由不同材料形成或被塗佈有不同材料之兩個晶粒。材料失配可針對堆疊晶粒引入熱及/或化學鍵結挑戰。此外,堆疊具有不同厚度之晶粒可不必要地增加總封裝高度及/或可涉及對準挑戰。有利地,本文中所揭示之實施例亦使能夠堆疊具有任意初始厚度之整合裝置晶粒。
因此,在各個實施例中,可將第一整合裝置晶粒裝配至載體,諸如基板(例如,晶圓、印刷電路板、平板、玻璃表面、包含介電層之表面、包含導電層或區段之表面等等)。在將第一晶粒裝配至載體之後,可薄化第一整合裝置晶粒。有利地,可在載體上將第一整合裝置晶粒及後續晶粒薄化至所要厚度。在各個實施例中,可使薄化晶粒超薄,此可縮減總封裝高度且使能夠在特定晶粒堆疊內使用眾多晶粒。薄化亦可促進曝露先前形成之互連件,或在薄化之後形成互連件,諸如直通矽穿孔(TSV)。在一些配置中,可將多個晶粒彼此鄰近地裝配於載體上,且可使用研磨程序、拋光程序、蝕刻程序或任何其他合適程序來薄化多個晶粒。在一些配置中,舉例而言,當藉由研磨來薄化及/或平坦化多個鄰近晶粒時,晶粒之邊緣可經受應力,此可造成晶粒之邊緣破壞、開裂或以其他方式損壞。為了縮減晶粒邊緣損耗之發生率,可藉由諸如濕式蝕刻或乾式蝕刻或其組合之低應力移除方法而自晶粒邊緣移除額外材料。
在一些實施例中,可運用蝕刻程序來薄化第一整合裝置晶粒(及鄰近裝置晶粒)。薄化可曝露有用於電連接堆疊晶粒之互連件(例如, 直通矽穿孔、跡線、接觸襯墊等等),或可促進形成此等互連件。安置於載體上之第一晶粒可被塗佈有保護材料,包括用以在研磨或拋光操作期間保護晶粒(包括晶粒邊緣)之第一保護層。在一些實施例中,可將第二層提供於第一層上方以填充第一層中之橫向間隙。可移除第二層及第一層之至少一部分。第二層及第一層之部分之移除可曝露通過第一整合裝置晶粒所形成之一或多個電互連件。可將第二整合裝置晶粒堆疊於第一整合裝置晶粒上。在一些實施例中,在無介入黏著劑之情況下將第二晶粒之非導電區域直接接合至第一晶粒之對應非導電區域。在一些實施例中,在無介入黏著劑之情況下將第二晶粒之非導電區域及電互連件兩者分別直接接合至第一晶粒之對應非導電區域及電互連件。
圖1A至圖1F為根據一個實施例的用於形成接合結構1之方法之各種階段的示意性側視橫截面圖。在圖1A中,可將一或多個第一整合裝置晶粒3(其可包含第一晶粒3a、3b)裝配至包含基板2之載體。基板2可包含任何合適類型之基板或插入物。舉例而言,在一些實施例中,基板2可包含矽基板(例如,晶圓之部分或全部)、玻璃基板,或絕緣體上矽(silicon on insulator;SOI)基板。將矽、玻璃或其他半導體材料用於基板2可有利地使基板2之上表面8能夠被拋光至極低表面粗糙度,使得可將第一晶粒3a、3b直接接合至基板2。舉例而言,此矽基板2可呈插入物之形式。然而,在其他實施例中,基板2可包含印刷電路板(PCB)、陶瓷基板、聚合物基板或任何其他合適基板,且在基板與裝置晶粒之間可或可不具有黏著層。雖然圖1A至圖1F所展示之載體包含基板,但在其他實施例中,第一整合裝置晶粒3被裝配至之載體可包含一或多個裝置晶粒、光學裝置,或任何 合適主動或被動裝置。
第一整合裝置晶粒3可包含任何合適類型之裝置晶粒。舉例而言,第一晶粒3a、3b中之每一者可包含處理器晶粒、記憶體晶粒、微機電系統(microelectromechanical systems;MEMS)晶粒、被動組件、光學裝置,或任何其他合適類型之裝置晶粒。在各個實施例中,可在第一晶粒3a、3b之主動表面6處或附近圖案化電路系統(諸如類似於電晶體之主動組件)。主動表面6可在與第一晶粒3a、3b之各別背側18相對的第一晶粒3a、3b之側上。背側18可或可不包括任何主動電路系統或被動裝置。第一晶粒3a、3b可為相同類型之整合裝置晶粒或不同類型之裝置晶粒。如圖1A所展示,第一晶粒3a可沿著基板2之表面而與晶粒3b橫向地隔開間隙7。在一個實施例中,鄰近晶粒之間的間距7與該等第一晶粒3a或3b之厚度相當。在其他實施例中,鄰近晶粒之間的間距7小於第一晶粒3a或3b之厚度的10倍,例如,較佳地小於第一晶粒3a或3b之厚度的5倍。在另一實施例中,鄰近晶粒之間的間隙7可小於該等晶粒之橫向尺寸中之一者。雖然圖1A中展示僅兩個第一晶粒3a、3b,但應瞭解,可將多於或少於兩個第一晶粒3a、3b裝配至基板2。另外,在一些實施例中,可在將第一晶粒3a、3b裝配至基板2之前測試第一晶粒3a、3b之適當電功能性。在一些實施例中,可僅選擇良裸晶粒(known good die;KGD)以供裝配至基板2。在其他實施例中,可在將晶粒裝配至基板2之後測試晶粒之電功能性。圖1A之第一晶粒3a、3b包含具有各種主動(及/或被動)組件之整合裝置晶粒。在其他實施例中,可將一或多個離散被動裝置裝配至基板而不形成為整合裝置晶粒之部分。
可在基板2之上表面8上之一或多個層中提供導電元件以提供至其他裝置之電連接及/或至基板2內之其他組件之佈線。在一些實施例中,一或多個層可包含佈線層34及緩衝層5,如圖1A所展示。佈線層34可包含重佈層(redistribution layer;RDL)或後段製程(back end of line;BEOL)層。舉例而言,在各個實施例中,佈線層34可包含銅、金、鋁、銦、鎵、錫、鎳、其各別合金或任何其他合適導電材料。佈線層34可經圖案化以在各種電路元件之間及/或在電路元件與基板2被連接至之較大電子系統之間橫越基板2引導電信號。舉例而言,在一些實施例中,佈線層34可包含具有嵌入式及圖案化金屬跡線之BEOL介電層。在各個實施例中,佈線層34可包含一個層或多個層。緩衝層5可在基板2與第一晶粒3a、3b之間提供於佈線層34上方。緩衝層5可包含非導電層,諸如聚合物層(例如,聚醯亞胺或氧化物)。在各個實施例中,緩衝層5可包含單一層或多個層。緩衝層5可相對柔順以便在第一晶粒3a、3b與基板2之間提供減震以考量機械及/或熱失配。緩衝層5可具有在1微米至10微米之範圍中或在3微米至6微米之範圍中的厚度,例如,在4微米至5微米之範圍中。應瞭解,雖然圖1A中說明兩個層(例如,緩衝層5及佈線層34),但在一些實施例中,可使用包括導電元件(其可嵌入於絕緣材料中)之僅一個層。
可通過每一第一晶粒3a、3b之至少一部分形成一或多個電互連件10。每一互連件10可形成於非導電襯套9內部。在各個實施例中,可使用形成一或多個空腔之鑲嵌程序來形成互連件10及非導電襯套9,且可將非導電襯套9及互連件10沈積於空腔(例如,溝槽)中。在各個實施例中,互連件10可包含直通基板穿孔(through substrate via:TSV)、跡線或 此兩者。在一些實施例中,互連件10可包含曝露於第一晶粒3a、3b之表面處的跡線或接觸襯墊。如圖1A所展示,可製造第一晶粒3a、3b,使得互連件10自主動表面6延伸通過第一晶粒3a、3b之初始厚度t i 之部分。在圖1A中,將互連件10說明為不始終延伸至第一晶粒3a、3b之背側18,但在其他實施例中,互連件10可延伸至背側18。互連件10可電連接至形成於第一晶粒3a、3b之主動表面6處或附近的電路元件。互連件10可與基板2之緩衝層5及/或佈線層34中之對應襯墊或跡線電耦接。
有利地,本文中所揭示之方法可與具有任何合適初始厚度t i (包括薄晶粒、厚晶粒、中等大小晶粒,或任何其他任意晶粒厚度)之第一晶粒3a、3b一起被利用。此外,雖然圖1A所展示之第一晶粒3a、3b具有大致相同的初始厚度t i ,但在一些實施例中,鄰近第一晶粒3a、3b之初始厚度t i 可不同。舉例而言,在一些實施例中,第一晶粒3a、3b之初始厚度t i 可在3微米至2000微米之範圍中,或更特定言之,在5微米至200微米之範圍中,或更特定言之,在5微米至100微米之範圍中。
可使用任何合適方法將第一晶粒3a、3b附接至基板2。舉例而言,在所說明之實施例中,可在無介入黏著劑之情況下將第一晶粒3a、3b直接接合至基板2。在直接接合配置中,第一晶粒3a、3b之非導電區域20可與基板2之對應非導電區域直接接觸及直接接合。主動表面6處之接合襯墊或跡線(諸如連接至導電互連件10之接合襯墊或跡線)可接觸及直接接合至佈線層34之對應金屬襯墊(或跡線或其他導電特徵),該等金屬襯墊可通過緩衝層5中之開口而曝露,且可凸出或凹入。
在一些實施例中,主動表面6處之接合襯墊或跡線中之一些 或全部(諸如連接至導電互連件10之接合襯墊或跡線)可直接接合至佈線層34之非導電特徵。在此等實施例中,在後續步驟中,可自背側薄化基板2,且可自薄化基板2形成導電接點以電耦接至第一晶粒3a及/或3b之主動表面上的導電接合襯墊或跡線。在其他實施例中,可運用任何合適黏著劑(諸如焊料、導電環氧樹脂、各向異性導電膜等等)將第一晶粒3a、3b黏附至基板2。
為了實現直接接合,在一些實施例中,可製備第一晶粒3a、3b與基板2之接合表面以供接合。可將第一晶粒3a、3b拋光至極高平滑度(例如,小於20nm表面粗糙度,或更特定言之,小於5nm表面粗糙度)。在一些實施例中,可將接合層11(例如,諸如氧化矽之介電質)沈積於第一晶粒3a、3b之主動表面6上且拋光至極高平滑度。相似地,可將基板2之接合表面(例如,基板2之上表面8或緩衝層5之上表面)拋光至極高平滑度(例如,小於20nm表面粗糙度,或更特定言之,小於5nm表面粗糙度)。在一些實施例中,可氟化接合表面(例如,緩衝層5、基板2之上表面8、接合層11,及/或主動表面6)以改良接合。接合表面亦可包括導電特徵,諸如接合襯墊。在一些實施例中,可運用合適物種將待接合表面進行封端且在接合之前進行活化。舉例而言,在一些實施例中,可極輕地蝕刻待接合表面以供活化且曝露於含氮溶液,且運用含氮物種進行封端。作為一個實例,可在極輕微的蝕刻之後將待接合表面曝露於氨浸液,及/或曝露於含氮電漿(運用或不運用單獨蝕刻)。
一旦製備表面,就可使第一晶粒3a、3b之非導電區域20與基板2之對應非導電區域接觸。活化表面之相互作用可致使第一晶粒3a、 3b之非導電區域20在無介入黏著劑之情況下、在不施加外部壓力之情況下、在不施加電壓之情況下及在室溫下與基板2之對應非導電區域直接接合。在各個實施例中,非導電區域之接合力可為大於凡得瓦爾鍵(Van der Waals bond)之共價鍵,且在第一晶粒3a之表面上之導電特徵與基板2之對應接觸襯墊之間施加顯著力。在一些實施例中,互連件10及/或接觸襯墊與第一晶粒3a、3b及基板2之外表面齊平。在其他實施例中,互連件10及/或接觸襯墊可在第一晶粒3a、3b及基板2之外表面上方延伸。在再其他實施例中,互連件10及/或接觸襯墊相對於第一晶粒3a、3b及基板2之外表面(例如,氧化物場區域)凹入。在各個實施例中,可在接合之後加熱基板2及第一晶粒3a、3b以加強非導電區域之間、導電區域之間及/或對置的導電區域與非導電區域之間的接合,以致使第一晶粒3a、3b與基板2接合。可貫穿美國專利第7,126,212號、第8,153,505號、第7,622,324號、第7,602,070號、第8,163,373號、第8,389,378號及第8,735,219號以及貫穿美國專利申請案第14/835,379號、第62/278,354號及第62/303,930號找到直接接合程序之額外細節,該等專利及專利申請案中之每一者之內容特此以引用的方式全部且出於所有目的而併入本文中。
雖然圖1A之實施例說明直接接合至基板2之第一晶粒3a、3b,但在其他實施例中,第一晶粒3a、3b可以其他方式裝配至基板2。舉例而言,在其他實施例中,第一晶粒3a、3b可運用焊球或其他電接點而連接至基板2。在再其他實施例中,第一晶粒3a、3b可運用各向異性導電膜或非導電膏而與基板2連接。
轉至圖1B,將第一晶粒3a、3b之背側18自初始厚度t i 薄化 至最終厚度t f ,如在第一晶粒3a、3b之背表面19與對置前表面之間所界定。舉例而言,如圖1B所展示,可使用合適蝕刻劑來蝕刻背側18達足以曝露互連件10及非導電襯套9之時間段。在一些實施例中,使用矽反應性離子蝕刻(reactive ion etch;RIE)技術來蝕刻第一晶粒3a、3b。可使用其他類型之薄化技術以薄化第一晶粒3a、3b。舉例而言,可使用其他類型之蝕刻程序,包括熟習此項技術者所知之各向異性或各向同性蝕刻技術(例如,乾式或濕式蝕刻程序)。可薄化第一晶粒3a、3b直至第一晶粒3a、3b具有所要最終厚度t f 。一旦薄化,互連件10及非導電襯套9就可在第一晶粒3a、3b之曝露的背表面19上方延伸且可通過第一晶粒3a、3b之曝露的背表面19而曝露。如圖1B所展示,在使用選擇性矽蝕刻之情況下,互連件10之遠側曝露末端可包含非導電襯套9之遠側襯套部分9a,其對應於在鑲嵌處理期間沈積於溝槽之底部中的非導電襯套9之部分。
在各個實施例中,第一晶粒3a、3b之最終厚度t f 可小於40微米、小於30微米或小於20微米。第一晶粒3a、3b之最終厚度t f 可在5微米至30微米之範圍中,或更特定言之,在5微米至15微米之範圍中,或更特定言之,在5微米至10微米之範圍中。第一晶粒3a、3b之最終厚度t f 可相同或可彼此不同。在各個實施例中,第一晶粒3a、3b之最終厚度t f 可小於300微米、小於200微米或小於100微米。在一些實施例中,第一晶粒3a、3b之最終厚度t f 可在40微米至100微米之範圍中。
轉至圖1C,可將保護材料提供於第一晶粒3a、3b上方及在鄰近第一晶粒3a、3b之間的基板2之部分上方。在一些實施例中,保護材料可包含沈積(例如,運用電漿沈積程序以促進較低溫度)於緩衝層5(或 在不存在緩衝層之情況下為基板2之上表面8)上方及第一晶粒3a、3b之背表面19上方的第一層12以塗佈緩衝層5(或在不存在緩衝層之情況下為基板2之上表面8)及第一晶粒3a、3b。在其他實施例中,可將第一層12層壓於基板2及第一晶粒3a、3b上。在其他實施例中,可藉由鑄漿成型方法、絲網印刷或塗佈或旋塗、3D印刷方法或藉由電泳方法或其組合來沈積第一層12。如圖1C所展示,第一層12可具有可不小於第一晶粒3a、3b之最終厚度t f 的厚度t c 。所施加之第一層12之厚度t c 可在0.5微米至50微米之範圍中,在1微米至35微米、5微米至30微米之範圍中,或更特定言之,在2微米至25微米之範圍中,或更特定言之,在5微米至15微米之範圍中,或在2微米至15微米之範圍中,或在5微米至10微米之範圍中。所施加之第一層12之厚度t c 可小於40微米,小於30微米或小於20微米。此外,如圖1C所展示,第一層12可包括安置於第一晶粒3a、3b之間的間隙7中的第一部分13及安置於第一晶粒3a、3b之背表面19上方的第二部分14。第二部分14可安置於曝露電互連件10及非導電襯套9周圍且可環繞曝露電互連件10及非導電襯套9。
有利地,第一層12可充當保護層以在後續處理步驟期間保護第一晶粒3a、3b。舉例而言,如下文結合圖1E所闡釋,可平坦化部分形成之接合結構1之背側。保護性第一層12可在移除操作(例如,拋光)期間有利地保護第一晶粒3a、3b(諸如晶粒邊緣),以防止第一晶粒3a、3b之邊緣及其他部分破裂或以其他方式損壞。因此,第一層12可在後續處理步驟期間有效地鎖定及密封第一晶粒3a、3b以保護第一晶粒3a、3b之結構完整性。
在一些實施例中,第一層12可硬於第一晶粒3a、3b之曝露的背表面19。第一層12相較於未填充之聚醯亞胺或環氧樹脂塗層可硬且緻密,以便保護第一晶粒3a、3b。舉例而言,第一層12可具有在12GPa至500GPa之範圍中的相對高楊氏模數(Young's modulus),或更特定言之,在20GPa至200GPa之範圍中。有益地,第一層12可具有與基板2之熱膨脹係數實質上匹配的熱膨脹係數。使熱膨脹係數匹配可有利地縮減第一晶粒3a、3b上之熱誘發性應力。在一些實施例中,第一層12之熱膨脹係數可在第一晶粒3a、3b之熱膨脹係數的25ppm/℃內,或更特定言之,在第一晶粒3a、3b之熱膨脹係數的20ppm//℃內。舉例而言,第一層12之熱膨脹係數可在0.3ppm/℃至22ppm/℃之範圍中,在0.5ppm/℃至至15ppm/℃之範圍中,在2ppm/℃至15ppm/℃之範圍中,或更特定言之,在0.5ppm/℃至12ppm/℃之範圍中,或更特定言之,在2ppm/℃至10ppm/℃之範圍中。
此外,可重要的是選擇第一層12,使得其具有足夠高的玻璃轉變溫度(glass transition temperature;GTT)。後續處理步驟可涉及將部分形成之接合結構1加熱至高溫。舉例而言,可在後續導電層(諸如RDL層)之處理期間及/或在接合期間將接合結構1加熱至大於150℃、大於200℃或大於250℃之溫度。一些聚合物、環氧樹脂及其他材料可在此高溫處理期間顯著地軟化。可重要的是針對第一層12選擇可耐受高溫處理且維持其幾何剖面及/或在各種熱處理步驟期間不會不可逆地變形之材料。因此,可有利的是選擇具有高GTT之第一層12,例如,GTT大於100℃、大於150℃、大於200℃、大於250℃或大於300℃。在一些實施例中,對於交聯材料,第一層之GTT可小於100℃,其限制條件為帕松比(Poisson ratio)大於0.4 且較佳地接近於0.5,例如,帕松比在0.25至0.8之範圍中,且熱分解溫度大於250℃或大於300℃。在一些實施例中,如本文中所闡釋,聚合物材料可用於第一層12(及/或用於諸如下文所描述之第二層15的額外保護層)。聚合物材料或基質可具有大於150℃、大於200℃、大於250℃、大於300℃或大於350℃之熔點,例如,在一些實施例中大於280℃。
因此,可重要的是選擇具有高楊氏模數之勁及/或硬的第一層12,其具有相似於基板之熱膨脹係數(例如,在矽或玻璃基板之狀況下相似於矽或玻璃之熱膨脹係數)的熱膨脹係數,且其具有超過用以形成接合結構1之最高處理溫度的玻璃轉變溫度或GTT。舉例而言,在一些實施例中,第一層12可包含矽、無機氧化物、無機氮化物、無機碳化物或碳酸鹽,例如,氧化矽、氮化矽、碳化矽、類鑽碳(diamond like carbon;DLC)或其他類型之半導體材料及非半導體材料。在其他實施例中,可使用聚合物。舉例而言,第一層12可包含聚醯亞胺或聚醯亞胺-醯胺。在一些實施例中,第一層12可包含Torlon®。在一些實施例中,如本文中所闡釋,第一層12可包含填充有填料粒子(諸如氧化物或氮化物粒子、碳酸鹽、雲母、經處理或未經處理之高嶺土、滑石,或經處理或未經處理之黏土材料,例如,膨潤土等等)之基底材料(諸如聚合物)。填料粒子可輔助縮減第一層12之熱膨脹係數及致使第一層12之CTE較接近於基板2之CTE。填料粒子可增加第一層12之硬度或勁度。填料含量可在10%至90%之間變化,例如,在20%與85%之間,或更特定言之,在30%與80%之間。填料粒子之大小可在2nm至小於20微米之範圍中,例如,在50nm與5微米之間。在一個實施例中,填料微粒之平均大小小於安置於第一晶粒3a及3b之間的間隙7 之30%。在其他實施例中,填料微粒之平均大小小於第一晶粒3a及3b之間的間隙7之10%,例如,小於第一晶粒3a及3b之間的間隙7之2%。在一些實施例中,間隙7中的填料微粒之平均大小小於最終晶粒厚度t f 之30%,例如,填料粒子中之至少一些小於晶粒之最終厚度t f 之5%。在一些實施例中,第一晶粒3a或3b之垂直側壁上或鄰近處的微粒之寬度或長度小於第一晶粒3a或3b之最終厚度tf之15%。因為在所說明之實施例中已經薄化第一晶粒3a、3b,所以此等材料可與第一層12一起被使用,而不會引入過多應力或過多成本。
在圖1D中,可將第二層15提供於第一層12上。第二層15可包含填充第一層12中之空間或間隙以促進平坦化的填料層。第二填料層15可包含上文針對第一層12所敍述之類型之微粒。如圖1D所展示,第二層15可包含在第一層12之第二部分14(其提供於第一晶粒3a、3b之背表面19上方)之間橫向地安置於第一部分13上方的第三部分16。第二層15亦可包括安置於第一層12之第二部分14上方的第四部分17。因此,如圖1D所展示,第二層15可充當填充第一層12之間隙的平坦化層,且無需具有上文針對第一層12所提到之硬度或CTE特性。第二層15可包含任何合適材料,諸如聚合物(例如,在一些實施例中為硬烘烤之平坦化負型光阻,或鑄漿成型或層壓之平坦化層)。
轉至圖1E,可研磨及/或拋光部分形成之接合結構1之背側,使得移除第二層15之至少部分及第一層12之至少部分。舉例而言,可回蝕或回拋光接合結構1,例如,使用化學機械拋光(CMP)技術、機械碾磨技術、研磨技術,或運用(例如)濕式雷射切除方法進行移除,及其組 合。在圖1E之實施例中,舉例而言,可大部分地或全部地移除第二層15。可選擇程序以停止於第一層12上。因此,可僅移除第一層12之部分,使得第一層12之第一部分13中之至少一些保持安置於第一晶粒3a、3b之間的間隙7中,且第一層12之第二部分14中之至少一些保持安置於第一晶粒3a、3b上方。
如圖1E所展示,移除步驟可藉由移除在互連件10之遠側末端處的非導電襯套9之遠側襯套部分9a來曝露導電互連件10之遠側末端。在圖1E中,互連件10之末端可在第一晶粒3a、3b上方稍微延伸。有利地,圖1E之移除步驟可在第一晶粒3a、3b上方至少留下第一層12之第二部分14之薄層。剩餘第二部分14可有益地防止互連件10在平坦化期間塗抹至第一晶粒3a、3b上。可製備第一層12之曝露表面(例如,第一部分13及第二部分14之曝露表面)、非導電襯套9及互連件10以供直接接合,如上文所闡釋。
在圖1F中,可將一或多個第二晶粒4(諸如第二晶粒4a、4b)堆疊於第一晶粒3a、3b上且電連接至第一晶粒3a、3b。如上文所闡釋,可製備第一晶粒3a、3b之接合表面及第二晶粒4a、4b之接合表面以供直接接合。舉例而言,可將接合表面拋光至高平滑度,運用合適物種進行活化。可使第二晶粒4a、4b之非導電區域與第一晶粒3a、3b之對應非導電區域接觸以將第二晶粒4a、4b直接接合至第一晶粒3a、3b。運用共價鍵來接合非導電區域之力可在第二晶粒4a、4b之表面上的導電特徵與第一晶粒3a、3b之背側上的對應導電特徵之間誘發內力,此可致使對應導電特徵接合在一起。在一些實施例中,舉例而言,第二晶粒4上之接觸襯墊可與第一整合 裝置晶粒3上之對應接觸襯墊直接接合。接觸襯墊可與互連件10連接(及/或可形成互連件10之部分)。後續退火處理可加強各別導電互連件特徵與第二晶粒4a、4b及第一晶粒3a、3b之非導電區域之間的接合。在一些實施例中,第一晶粒3a、3b及第二晶粒4a、4b每一者之互連件10可藉助於在第一晶粒3a、3b之互連件10與第二晶粒4a、4b之互連件10之間提供電連接之跡線而與重佈層或BEOL電連接(例如,藉由直接接合或藉由黏著技術)。
所得接合結構1可因此包括在無介入黏著劑之情況下直接接合至一或多個第一晶粒3a、3b之一或多個第二晶粒4a、4b,第一晶粒3a、3b又直接接合至基板2。在一些實施例中,第一晶粒3a、3b及第二晶粒4a、4b之各別互連件10亦可直接接合在一起。互連件10可包含形成於晶粒3至4中之直通矽穿孔(TSV)及在晶粒之主動表面6處的接觸襯墊。第一層12之第一部分13可橫向地安置於第一晶粒3a、3b之間。第一層之第一部分13可安置於第一晶粒3a、3b之側表面上。第一層12之第二部分14可垂直地安置於第一晶粒3a與第二晶粒4a之間,及第一晶粒3b與第二晶粒4b之間。第一層12之第二部分14可橫向地安置於電互連件10周圍,使得通過第一層12曝露電互連件10。在一些實施例中,可在組裝之後將堆疊第一整合裝置晶粒3、第二晶粒4一起封裝於整合裝置封裝中。在其他實施例中,可單粒化兩個堆疊第一整合裝置晶粒3、第二晶粒4且封裝於單獨整合裝置封裝中。
此外,雖然圖1A至圖1F中未展示,但應瞭解,可將圖1A至圖1F所描繪之程序重複任何合適次數,使得可將額外整合裝置晶粒堆疊 於第二晶粒4a、4b之上。可堆疊任何合適數目個及/或類型之整合裝置晶粒以界定接合結構1。舉例而言,整合裝置晶粒(第一晶粒3a、第一晶粒3b、第二晶粒4a、第二晶粒4b)可包含主動及/或被動電子組件。在一些實施例中,一或多個被動插入物可在插入物之對置側上連接晶粒。在一些實施例中,接合結構1可包括間隔物、熱散播器,或具有有限電功能性之其他組件。在一些實施例中,一或多個互連件可用於電屏蔽目的,例如,作為法拉弟籠結構(Faraday cage structure)之成形部件。本文中所描述之薄化晶粒可呈現用於積體電路封裝之較低剖面,且亦縮減互連件之總電阻,特別是在使用直接接合之實施例中,因此增加系統之總速度及封裝之可靠性。
圖2A至圖2K為根據另一實施例的用於形成接合結構1之方法之各種階段的示意性側視橫截面圖,其中在薄化之後形成電互連件10。除非另有提到,否則圖2A至圖2K所展示之參考數字表示與圖1A至圖1F之類似編號組件相同或相似的組件。此外,結合圖1A至圖1F所揭示之程序、材料、功能性及結構中之任一者可用於圖2A至圖2K之實施例中。不同於圖1A至圖1F之實施例,可在薄化之前形成保護材料,且可在薄化之後形成互連件10。
如同圖1A至圖1F,在圖2A中,可將第一晶粒3a、3b(其可包含KGD)裝配(例如,直接接合)至基板2。第一晶粒3a、3b可具有初始厚度t i 。然而,在圖2B中,在薄化第一晶粒3a、3b之前,可將第一層12施加於第一晶粒3a、3b之背側18上方及第一晶粒3a、3b之間的間隙7中。如同圖1A至圖1F,可沿著第一晶粒3a、3b之側及沿著第一晶粒3a、3b之間的間隙7中之基板2安置第一層12之第一部分13。第一層12可包 含上文結合圖1A至圖1F所描述之材料及性質中之任一者。第一層12可具有經選擇及處理使得不超過所要最終晶粒厚度t i 且縮減或消除整個層壓物接合結構1(參見圖2D)之翹曲的厚度。
轉至圖2C,可將第二層15沈積或以其他方式提供於第一層12上方。如同圖1D之實施例,可將第二層15之第三部分16安置於第一晶粒3a、3b之間的間隙7中,包括(例如)在第一層12之第一部分13之側表面之間。可將第二層15之第四部分17安置於第一層12之第二部分14上方。如上文所闡釋,第二層15可充當填料材料以促進接合結構1之背側之平坦化。在一些配置中,第二層15相比於第一層12可較不昂貴且較不硬。
轉至圖2D,可移除部分形成之接合結構1之背側以移除第二層15之至少部分(例如,全部)、第一層12之部分及第一晶粒3a、3b之部分以曝露第一晶粒3a、3b之曝露的背表面19。舉例而言,可研磨及/或搭接部分形成之接合結構1以將第一晶粒3a、3b薄化至所要最終厚度t f ,其可在與上文結合圖1A至圖1F所闡釋之範圍相同的範圍中。在圖2D中,第一層12之第一部分13之至少部分橫向地安置於第一晶粒3a、3b之間,包括(例如)沿著第一晶粒3a、3b之側。保護材料之第一層12因此保護晶粒之邊緣在晶粒薄化程序期間免於碎裂。
在圖2E中,可將佈線介電層22(其可包含氧化矽或其他合適絕緣體或半導體材料)沈積或以其他方式形成於部分形成之接合結構1之背側上方。舉例而言,視需要,可將佈線介電層22提供於第一層12之第一部分13上方及第一晶粒3a、3b之曝露的背表面19上方,且拋光至低粗糙度(例如,小於約0.5nm RMS)。轉至圖2F,可將遮罩24沈積於佈線介 電層22上方且可圖案化以界定用於互連件之位置。舉例而言,在一些實施例中,遮罩24可包含可經遮蔽及曝露於光源之光阻。對於正型抗蝕劑實例,可使用合適顯影劑來移除未經遮蔽之曝露部分以在遮罩24中界定圖案化空間25。在圖2G中,可使用合適材料移除程序(諸如蝕刻)來形成一或多個通孔或跡線26。舉例而言,可將蝕刻劑供應至部分形成之接合結構以通過在未由遮罩24覆蓋之位置處的第一晶粒3a、3b之厚度蝕刻通孔26。可使用任何合適蝕刻程序(例如,濕式蝕刻、乾式蝕刻、RIE等等)以界定通孔26。在一些實施例中,接合層5可充當蝕刻終止層。在其他實施例中,基板2之佈線層34或上表面可充當蝕刻終止層。在一些實施例中,可使用單或雙鑲嵌蝕刻程序以在佈線介電層22中界定通孔及溝槽,例如,用於形成重佈層(RDL)。在一些實施例中,鑲嵌結構之溝槽可延伸至薄化第一晶粒3a及/或3b中。
轉至圖2H,可移除遮罩24且清潔表面以自先前蝕刻方法移除非想要的污染物。可供應非導電襯套9及導電互連件10以填充通孔26。舉例而言,在一些實施例中,將障壁層沈積於第一晶粒3a、3b之半導體材料上方,且形成晶種層。可將通孔26(及任何橫向溝槽)填充有金屬以界定互連件10。在一些配置中,可將接合結構1退火以使金屬互連件10穩定或部分地穩定,且可拋光互連件10(及部分形成之接合結構1之背側),例如,使用CMP程序。圖2I說明在一些實施例中可將一或多個測試襯墊28併入於佈線介電層22內或上。測試襯墊28可用以促進在組裝期間對第一晶粒3a、3b與基板2之間的連續性進行電測試。
轉至圖2J,可將一或多個第二晶粒4a、4b堆疊於第一晶粒 3a、3b上且與第一晶粒3a、3b直接接合。在圖2J中,測試襯墊28亦可用以促進在組裝期間對第一晶粒3a與第二晶粒4a之間、第一晶粒3b與第二晶粒4b之間、第一晶粒3a與第二晶粒4b之間及/或第一晶粒3b與第二晶粒4a之間的連續性進行電測試。圖2K說明相似於圖2J之接合結構1的替代例,惟測試襯墊28係運用通孔29而連接至基板2除外。如上文所闡釋,第二晶粒4a、4b之表面上的互連件或導電特徵可與第一晶粒3a、3b之背表面之對應互連件或導電特徵直接接合。第二晶粒4a、4b之非導電區域亦可與第一晶粒3a、3b之對應非導電區域直接接合。在圖2J至圖2K之實施例中,將第二晶粒4a、4b說明為在薄化之前包括互連件10。在此配置中,可使用圖1A至圖1F之實施例以薄化第二晶粒4a、4b且製備第二晶粒4a、4b以用於與第三組晶粒(圖中未示)接合。然而,在其他實施例中,應瞭解,第二晶粒4a、4b在薄化之前可不包括互連件,且圖2A至圖2I之實施例可用以薄化第二晶粒4a、4b且在薄化之後提供互連件10。
圖3A至圖3O為根據另一實施例的用於形成接合結構1之方法之各種階段的示意性側視橫截面圖,其中保護材料包括包含薄保護襯套之第一層12。除非另有提到,否則圖3A至圖2O所展示之參考數字表示與圖1A至圖2K之類似編號組件相同或相似的組件。此外,結合圖1A至圖2K所揭示之程序、材料、功能性及結構中之任一者可用於圖3A至圖3O之實施例中。圖3A至圖3O之實施例大體上相似於上文結合圖1A至圖1F所揭示之實施例,惟第一層12包含薄於用於薄化晶粒之目標厚度的薄襯套且保持鄰近於薄化之後的薄化晶粒之保護材料進一步包含填料材料除外。
如同圖1A至圖1F,在圖3A中,將第一晶粒3a、3b裝配至 (例如,直接接合至)諸如基板2之載體。在圖3B中,薄化背對基板2的第一晶粒3a、3b之背側18,例如,藉由回蝕晶粒散裝材料(例如,矽)以留下經薄化的第一晶粒3a、3b之曝露的背表面19。可通過第一晶粒3a、3b之背表面19曝露互連件10及非導電襯套9。此外,如同圖1A至圖1F,在圖3C中,可將第一層12施加(例如,沈積、層壓等等)於第一晶粒3a、3b之背表面19上方及晶粒7之間的間隙7中。舉例而言,可沿著第一晶粒3a、3b之側表面及在基板2上方安置第一層12之第一部分13。可將第一層12之第二部分14安置於第一晶粒3a、3b之背表面19上方及互連件10周圍。
圖3C所展示之第一層12可與上文結合圖1A至圖2K所描述之第一層相同,惟圖3C中之第一層12可包含薄於經薄化的第一晶粒3a、3b之薄保護襯套層除外。舉例而言,第一層12可具有在300奈米至15微米之範圍中的厚度,或更特定言之,在1微米至10微米之範圍中,或在1微米至5微米之範圍中。在一些實施例中,第一層12可具有在2微米至10微米之範圍中的厚度,例如,在2微米至5微米之範圍中。如同圖1A至圖2K之實施例,第一層12可在後續處理步驟期間保護第一晶粒3a、3b(例如,晶粒邊緣)。第一層12可用以在處理期間鎖定及密封第一晶粒3a、3b。有利地,圖3C之保護性第一層12可包含氧化矽,其對於在與薄化晶粒一樣厚之較大氧化矽厚度中的使用原本可能太昂貴、耗時及/或有壓力。在其他實施例中,第一層12可包含具有上文結合圖1A至圖1F所闡釋之特性的材料中之任一者。
在圖3D中,可將保護材料之第二層15沈積於第一層12上方。第二層15可厚於第一層12。第二層15可包含填充有填料粒子之填料 材料(例如,聚合物)。舉例而言,第二層可包含具有聚合基底層之複合材料,聚合基底層填充有直徑在2nm至30nm之範圍中的粒子。在一些實施例中,填料粒子可包含氧化矽或氮化矽粒子。填料粒子可增強第二層15之硬度,且可改良與第一層12及第一晶粒3a、3b之熱匹配。複合第二層15可具有如上文所闡釋之高玻璃轉變溫度(GTT),例如,大於150℃、大於200℃、大於250℃或大於300℃。
因此,可有利的是選擇具有高GTT之第二層15,例如,GTT大於100℃、大於150℃、大於200℃、大於250℃或大於300℃。在一些實施例中,對於交聯材料,第一層之GTT可小於100℃,其限制條件為帕松比大於0.4且較佳地接近於0.5,例如,帕松比在0.25至0.8之範圍中,且熱分解溫度大於250℃或大於300℃。
如上文所闡釋,可重要的是選擇具有高楊氏模數之勁及/或硬的第二層15,其具有相似於基板之熱膨脹係數(例如,在矽或玻璃基板之狀況下相似於矽或玻璃之熱膨脹係數)的熱膨脹係數,且其具有超過用以形成接合結構1之最高處理溫度的玻璃轉變溫度或GTT。舉例而言,在一些實施例中,第二層15可包含矽、無機氧化物、無機氮化物、無機碳化物或碳酸鹽,例如,氧化矽、氮化矽、碳化矽、類鑽碳(DLC)或其他類型之半導體材料及非半導體材料。在其他實施例中,可使用聚合物。舉例而言,第二層15可包含聚醯亞胺或聚醯亞胺-醯胺。在一些實施例中,第二層125可包含Torlon®。在一些實施例中,如本文中所闡釋,第一層12可包含填充有填料粒子(諸如氧化物或氮化物粒子,或碳酸鹽,或雲母、經處理或未經處理之高嶺土、經處理之滑石或黏土材料,例如,未經處理之膨潤 土)之基底材料(諸如聚合物)。填料粒子可輔助縮減第二層15之熱膨脹係數及致使第二層15之CTE較接近於基板2或第一層12之CTE。填料可增加第一層12之硬度或勁度。第一層12中之填料含量可在10%至90%之間變化,例如,在20%與85%之間,或更特定言之,在30%與80%之間。填料粒子之大小可在2nm至小於20微米之範圍中,例如,在50nm與5微米之間。在一個實施例中,填料微粒之大小小於安置於第一晶粒3a及3b之間的間隙7之30%。在其他實施例中,填料微粒之大小小於第一晶粒3a及3b之間的間隙7之10%,例如,小於第一晶粒3a及3b之間的間隙7之2%。在一些實施例中,間隙7中的填料微粒之大小小於最終晶粒厚度tf之30%,且較佳地小於晶粒之最終厚度tf之5%。在一些實施例中,鄰近於第一晶粒3a或3b之微粒之寬度或長度可小於第一晶粒3a或3b之最終厚度tf之15%。因為在所說明之實施例中已經薄化第一晶粒3a、3b,所以此等材料可與第一層12一起被使用,而不會引入過多壓力或過多費用。
第二層15可包含填充第一層12中之空間或間隙以促進平坦化的填料層。第二填料層15可包含上文針對第一層12所敍述之類型之微粒。第二層15可具有在4微米至120微米之範圍中的厚度,或更特定言之,在8微米至45微米之範圍中。如所展示,第二層15包含鄰近於第一晶粒3a、3b(諸如在晶粒之間的間隙7中,其中多個晶粒橫向地排列,如所展示)之第三部分16,及在第一晶粒3a、3b上方之第四部分17。
如同圖1A至圖1F之實施例,可部分地移除部分形成之接合結構1之背側,例如,可使用(例如)平坦化或拋光(例如,CMP)程序來移除第二層15之部分及第一層12之部分。如圖3E所展示,可移除第 二層15之第四部分17,且可移除互連件10之部分以曝露互連件10之導電區域(例如,可移除遠側襯套部分9a)。在圖3E中,第一層12之第一部分13可保持鄰近於晶粒側壁而安置,在所說明之實施例中安置於第一晶粒3a、3b之間的間隙7中,且第二層15之第三部分16可鄰近於晶粒側壁而安置,在所說明之實施例中安置於第一部分13之側之間的間隙7中。第一層12之第二部分14之至少部分可保持安置於第一晶粒3a、3b上方及曝露互連件10及非導電襯套9周圍。如上文所闡釋,第一層12之第二部分14可防止互連件10在平坦化期間橫越第一晶粒3a、3b而塗抹。包括第一層12及第二層15之剩餘部分(例如,第三部分16)的保護材料可在平坦化期間有益地保護第一晶粒3a、3b之拐角。在一些實施例(圖中未示)中,連同互連件10之部分一起僅移除第二層15之第四部分17之部分以曝露互連件10之導電區域(例如,可移除遠側襯套部分9a)。在此組態中,在移除或平坦化程序之後,第二層15橫向地環繞導電互連件10。
在圖3F中,可製備接合結構1以用於與第二晶粒4a、4b直接接合,如上文所闡釋。可將導電重佈層36施加於第一晶粒3a、3b上方,例如,在第一層12之第二部分14上方及在第二層15之第三部分16上方。可將第二晶粒4a、4b與第一晶粒3a、3b直接接合。此外,如圖3G至圖3K所展示,可薄化及製備第二晶粒4a、4b以供後續直接接合,如結合圖3A至圖3E所闡釋。在圖3L中,可將第三晶粒30a、30b堆疊於第二晶粒4a、4b上且與第二晶粒4a、4b直接接合。可將任何合適數目個整合裝置晶粒堆疊及接合在一起以形成最終接合結構1。在一些其他應用中,可無需重佈層,如在圖3F中,可製備接合結構1以用於與第二晶粒4a、4b直接接合。 第二晶粒4a、4b之互連特徵可與第一晶粒3a、3b直接接合。
圖3M說明相似於圖3J所展示之接合結構的至少部分形成之接合結構1,惟在第二層15內可存在空隙37(例如,氣穴)除外。空隙37可不負面地影響接合結構1或其總成之機械效能,且可有利地降低層壓物中之有效應力,因此縮減接合結構1之弓曲。空隙37之存在可有利地降低總成之導電元件之間的介電質之k值且縮減寄生電容。相似地,在圖3N中,第二層15可包含具有多個孔隙38之多孔材料。孔隙38可為有序的或隨機的。在一些配置中,孔隙38可以網路連接結構而定向。在圖3O中,在保護材料僅包含第一層12的相似於圖1A至圖2K之實施例中,第一層12可包含填充第一晶粒3a、3b之間的整個空間或間隙7之均質材料。在第一層12中可存在或可不存在空隙37。
有利地,圖3A至圖3O之實施例可使能夠使用包括第一層12(例如,氧化矽)之保護材料以保護在處理期間之第一晶粒3a、3b及在鄰近第一晶粒3a、3b之間的第二層15之第三部分16。第二填料層15可包含任何合適材料(且可包括空隙或孔隙)以促進平坦化。相較於薄化的第一晶粒3a、3b之厚度(其在較厚層中原本可能太昂貴及/或太有壓力而不能應用),使用第一層12作為襯套可使能夠使用較薄氧化矽或其他相似材料。圖3A至圖3O之實施例在用於薄化第一晶粒3a、3b之目標厚度介於約2微米與240微米之間(例如,介於3微米與50微米之間)的情況下特別有用,但並不限於此情形。
圖4A至圖4K為根據又一實施例的用於形成接合結構1之方法之各種階段的示意性側視橫截面圖,其中第一晶粒3a、3b之間的介電 層對稱(或大致對稱)且包括額外保護層。除非另有提到,否則圖4A至圖4K所展示之參考數字表示與圖1A至圖3O之類似編號組件相同或相似的組件。此外,結合圖1A至圖3O所揭示之程序、材料、功能性及結構中之任一者可用於圖4A至圖4K之實施例中。圖4A至圖4K之實施例大體上相似於上文結合圖3A至圖3K所揭示之實施例,惟保護材料包括施加於第二層15上方之額外保護層40除外。
舉例而言,在圖4A中,可將一或多個第一晶粒3a、3b裝配及直接接合至包含基板2之載體。在圖4B中,可(例如)藉由蝕刻來部分地移除第一晶粒3a、3b之背側18,以曝露互連件10及非導電襯套9。在圖4C中,可將保護材料(其包含薄襯套)之第一層12施加於經薄化的第一晶粒3a、3b之曝露的背表面19上方及基板2上方。在圖4D中,可將第二填料層15施加於第一層12上方。在圖4E中,可部分地移除部分形成之接合結構1之背側,例如,可自第一晶粒3a、3b上之第一層12上方移除第二層15之第四部分17,且亦可使第二層15之第三部分16鄰近於第一晶粒3a、3b或在第一晶粒3a、3b之間部分地凹入於間隙7內。在一些實施例中,第二層15(第二保護層)可包含具有0.4與0.5之間的帕松比之柔順聚合層。可以各種方式(例如,藉由蝕刻)來移除第二層15。在其他實施例中,可藉由方向性或各向同性蝕刻來移除第二層15。在一些實施例中,亦可移除第一層12之部分。
然而,不同於圖3A至圖3O之實施例,保護材料可進一步包括施加於部分形成之接合結構1之背側上方的額外保護層40(第三保護層)。舉例而言,如圖4F所展示,可將額外保護層40施加(例如,沈積) 於第二層之第三部分16上方、第一層12之第二部分14上方以及曝露互連件10及非導電襯套9周圍。額外保護層40可與第一保護層12相似或相同。舉例而言,在所說明之實施例中,第一層12及額外保護層40可包含矽或基底無機或有機介電材料。然而,上文針對第一層12所描述之材料中之任一者亦可用於額外保護層40。
有益地,額外保護層40可提供鄰近於晶粒之對稱保護材料,其可充當晶粒間介電層。在無額外保護層40之一些配置中,當拋光(例如,藉由CMP)接合結構1之背側時,在第一晶粒3a、3b之間的區域中可存在表面凹陷,例如,在第二層15之第三部分16中的表面凹陷。舉例而言,第二層15可包含不與第一或第三層一樣硬之材料。拋光第二層15之第三部分16可造成可負面地影響晶粒之堆疊及接合的表面凹陷及/或可產生空隙或未對準。因此,提供額外保護層40可填入第二層15(其可硬)之第三部分16之凹入區域,且可保護第二層15免於表面凹陷且進一步保護第一晶粒3a、3b。此外,保護材料之對稱性針對基板2上之第一晶粒3a、3b之間的空腔提供平衡的熱膨脹係數(CTE)。
因此,在圖4F中,保護材料可包含第一層12(其可形成於第一晶粒3a、3b之側壁及背表面19上方)、在第一晶粒3a、3b之間的第二層15之第三部分16,及提供於第三部分16之背側及第一晶粒3a、3b上方的額外保護層40。在所說明之實施例中,可將額外保護層40施加於在第一晶粒3a、3b上的第一層12之第二部分14上方及第二層15之第三部分16上方。然而,在其他配置中,可將額外保護層40僅提供於第二層15之第三部分16上方,且可不沈積於第一層12或第一晶粒3a、3b上方。
在圖4G中,可(例如)使用CMP來平坦化(例如,拋光)部分形成之接合結構1之背側。平坦化可經組態以停止於額外保護層40上,且移除互連件10及非導電襯套9之曝露部分,使得互連件10及非導電襯套9與額外保護層40實質上齊平。在圖4H中,可將第二晶粒4a、4b堆疊於第一晶粒3a、3b上且直接接合至第一晶粒3a、3b,如上文所闡釋。圖4I至圖4K說明亦可以相似於結合圖4A至圖4G所描述之方式的方式薄化及製備第二晶粒4a、4b以供直接接合。此外,熟習此項技術者鑒於本文中之揭示內容而應易於瞭解,可相似地堆疊額外(第三、第四等等)晶粒,且圖4A至圖4K之對稱保護材料亦可包括第二層15中之空隙,相似於圖3M及圖3N所說明之鑰孔及孔隙。
圖5A至圖5I為根據另一實施例的用於形成接合結構1之方法之各種階段的示意性側視橫截面圖,其中在堆疊之前將多於兩個介電層提供於晶粒上方。除非另有提到,否則圖5A至圖5I所展示之參考數字表示與圖1A至圖4K之類似編號之組件相同或相似的組件。此外,結合圖1A至圖4K所揭示之程序、材料、功能性及結構中之任一者可用於圖5A至圖5I之實施例中。圖5A至圖5I之實施例大體上相似於上文結合圖3A至圖4K所揭示之實施例,惟在薄化及堆疊之前將三個層施加於第一晶粒3a、3b上方除外。
如圖5A所展示,可將第一晶粒3a、3b裝配至包含基板2之載體及與該載體直接接合。第一晶粒3a、3b之初始厚度t i 可厚於在一些配置中之厚度。舉例而言,初始厚度t i 可在30微米至1500微米之範圍中,在200微米至1000微米之範圍中,或在500微米至1000微米之範圍中。如 上文所闡釋,本文中所揭示之方法可與為任何合適厚度之晶粒一起被利用。在圖5B中,保護材料包括第一保護層12,其可在第一晶粒3a、3b被薄化之前沈積於第一晶粒3a、3b上方。如上,第一層12可薄於用於薄化晶粒之目標厚度,且可在後續處理期間保護第一晶粒3a、3b(例如,晶粒邊緣)。在圖5C中,保護材料亦包括第二填料層15,其可提供於第一層12上方。如圖5C所展示,第二填料層15可不完全地填充或平坦化部分形成之接合結構1。實情為,如圖5C所展示,在第二層15之部分之間可存在空間47。然而,第二填料層15之厚度可大於用於薄化晶粒之目標厚度。
為了平坦化部分形成之結構,在圖5D中,可將第三填料層45提供於第二層15上方以填充留存於第二層15中之空間47。可將第三層45之第五部分48安置於空間47中。可將第三層45之第六部分49安置於第二層15上方,例如,在第二層15之第四部分17上方。第三層45可為任何合適材料。舉例而言,第三層45可包含上文針對第一層12或第二層15所描述之任何合適材料。在圖5E中,可至少部分地移除部分形成之接合結構1之背側,例如,藉由研磨及/或拋光(例如,藉由CMP)。研磨或拋光可移除大多數或全部第三層45,以及第二層15及第一層12之部分。研磨或拋光可終止於非導電襯套9之遠側襯套部分9a處或附近。
轉至圖5F,可移除(例如,藉由回蝕)第一晶粒3a、3b之背側18,以便曝露薄化第一晶粒3a、3b之背表面19。背側18之移除可曝露互連件10及非導電襯套9。在圖5G中,可將額外保護層40(例如,諸如氧化矽之介電層)提供於部分形成之結構上方。舉例而言,可將額外保護層40安置於第二層之第三部分16上方、第一層12之第一部分13之邊緣 上方、第一晶粒3a、3b之背表面19上方,以及非導電襯套9及互連件10周圍。在圖5H中,可移除額外保護層40之部分及/或互連件10之部分以曝露互連件10之導電材料。舉例而言,可拋光(例如,藉由CMP)部分形成之接合結構1以移除額外保護層40之上部分及非導電襯套9之遠側襯套部分9a。轉至圖5I,可製備第一晶粒3a、3b以供直接接合(如上文所闡釋),且可將第二晶粒4a、4b堆疊於第一晶粒3a、3b上且直接接合至第一晶粒3a、3b。可重複圖5A至圖5H之步驟以堆疊及接合任何合適數目個整合裝置晶粒以形成最終接合結構1。雖然序列取決於針對第一層12(襯套)、第二層15(填料)及額外保護層40(頂蓋)所選擇之材料及厚度而不同,但所得結構可相似於圖4A至圖4K之實施例中的對稱保護材料。
圖6A至圖6E為用於形成多個第一晶粒3a至3c具有不同初始厚度t i 之接合結構1之方法之各種階段的示意性側視橫截面圖。除非另有提到,否則圖6A至圖6E所展示之參考數字表示與圖1A至圖5I之類似編號組件相同或相似的組件。此外,結合圖1A至圖5I所揭示之程序、材料、功能性及結構中之任一者可用於圖6A至圖6E之實施例中。
在圖6A中,可將多個第一晶粒3a至3c裝配至且直接接合至包含基板2之載體。然而,不同於上文所說明之實施例,鄰近第一晶粒3a至3c可具有不同初始厚度。初始厚度可為任何合適厚度。舉例而言,初始厚度可在40微米至2000微米之範圍中,在100微米至1500微米之範圍中,在200微米至1000微米之範圍中,在500微米至1000微米之範圍中等等。
轉至圖6B,可將包括第一層12之保護材料提供於第一晶粒 3a至3c上方及基板2上方。如上文所闡釋,第一層12可在處理期間保護晶粒。在圖6C中,可將第二層15提供於第一層上方以輔助平坦化部分形成之接合結構1。如同圖5A至圖5I之實施例,第二層15可不完全地平坦化及填充第一層12中之間隙。因此,可將第三層45提供於第二層15上方以填充第二層15中之間隙且完成接合結構1之平坦化。雖然圖6D中展示三個介電層(第一層12、第二層15、第三層45),但應瞭解,可提供額外介電層以平坦化部分形成之結構。相反地,第一及第二層可由單一保形或襯裡保護層替換。
在圖6E中,可部分地移除部分形成之接合結構1之背側,例如,可藉由(例如)研磨、拋光及/或蝕刻來移除第三層45、第二層15及第一層12之部分。在所說明之實施例中,可在薄化之後提供互連件及襯套,如圖2A至圖2K所展示。然而,在其他實施例中,可在薄化之前形成互連件及襯套。在圖6E之實施例中,第一晶粒3a至3c可具有大約相同的最終厚度t f ,即使初始厚度可顯著地不同亦如此。因此,有利地,本文中所揭示之實施例可使能夠使用具有不同厚度之晶粒,且將此等晶粒併入至堆疊及接合結構1中。在一些實施例中,在基板或載體2上堆疊多個晶粒以形成接合結構之後,可自背側(圖中未示)薄化基板2且處理基板2以在貫通基板上方形成電耦接結構且在基板2中形成導電互連件10。可將基板單粒化成多個封裝,其中每一封裝包含一或多個經堆疊的第一晶粒3a或經堆疊的第一晶粒3a、3b。可將單粒化堆疊晶粒或封裝裝配於另一基板、板或另一封裝上。
圖7A為說明根據一個實施例的用於形成接合結構之方法70 的流程圖。方法70可結合圖1A至圖6E之實施例而使用。在區塊72中,可將第一單粒化整合裝置晶粒裝配至載體。如本文中所闡釋,第一晶粒可包含任何合適類型之整合裝置晶粒。在一些實施例中,晶粒可包含KGD,例如,可在裝配之前測試晶粒。如本文中所闡釋,在一些實施例中,可將晶粒直接接合至載體,例如,可合適地製備晶粒及載體以供接合。可在無介入黏著劑之情況下及在不施加外部壓力之情況下將晶粒及載體之非導電及導電區域彼此直接接合。
轉至區塊74,在裝配之後,可薄化第一整合裝置晶粒。舉例而言,在一些配置中,可蝕刻、研磨或拋光第一晶粒之背側(其可與主動或前側相對)以移除第一晶粒之部分。薄化第一晶粒可使能夠使用呈低剖面封裝配置之多個裝置晶粒。此外,如本文中所闡釋,在一些實施例中,可在薄化之前或在薄化之後在第一晶粒中形成互連件(例如,TSV)。在圖1A至圖6E之實施例中,可將保護材料(其可包括第一層12、第二層15、額外保護層40及第三層45中之一或多者之各種部分)施加於第一晶粒上方及安置於晶粒之間的載體之部分上方。可在薄化之前或在薄化之後提供保護材料。在各個實施例中,可將額外裝置晶粒堆疊於第一晶粒上且連接至(例如,直接接合至)第一晶粒。
圖7B為說明根據另一實施例的用於形成接合結構之方法76的流程圖。方法76可結合圖1A至圖6E之實施例而使用。在區塊77中,可將第一整合裝置晶粒(其可被單粒化)裝配至載體。如本文中所闡釋,第一晶粒可包含任何合適類型之整合裝置晶粒。在一些實施例中,晶粒可包含KGD,例如,可在裝配之前測試晶粒。如本文中所闡釋,在一些實施 例中,可將晶粒直接接合至載體,例如,可合適地製備晶粒及載體以供接合。可在無介入黏著劑之情況下及在不施加外部壓力之情況下將晶粒及載體之非導電及導電區域彼此直接接合。
轉至區塊78,在裝配之後,可在第一整合裝置晶粒之表面上提供包含第一層之保護材料。有益地,第一層可保護第一晶粒之邊緣在平坦化或其他處理步驟期間免於碎裂。第一層可包含CTE接近於第一晶粒之CTE且具有相對高GTT的相對硬材料。可將第一層提供於第一晶粒之曝露背表面上方及鄰近晶粒之間的載體之部分上方。如本文中所闡釋,在一些實施例中,保護材料可包括安置於第一晶粒上方及/或鄰近晶粒之間的空間中的額外層(諸如第二層15、第三層45及額外保護層40之部分)。
在區塊79中,可平坦化第一層之至少一部分以移除第一整合裝置晶粒之部分。舉例而言,在一些實施例中,可使用化學機械拋光(CMP)技術以移除第一層中之一些,此在一些實施例中可曝露互連件。在保護材料包含多個層之實施例中,可在平坦化期間部分地或全部地移除其他層。有利地,保護材料可在平坦化程序期間保護晶粒。如本文中所闡釋,可將額外裝置晶粒堆疊於第一整合裝置晶粒上且連接至(例如,直接接合至)第一整合裝置晶粒。
圖8為根據各個實施例的併有一或多個接合結構1之系統80的示意圖。系統80可包含任何合適類型之電子裝置,諸如行動電子裝置(例如,智慧型手機、平板計算裝置、膝上型電腦等等)、桌上型電腦、汽車或其組件、立體聲系統、醫療裝置、攝影機,或任何其他合適類型之系統。在一些實施例中,電子裝置可包含微處理器、圖形處理器、電子記錄 裝置,或數位記憶體。系統80可包括機械及電連接至系統80(例如,藉助於一或多個主板)之一或多個裝置封裝82。每一裝置封裝82可包含一或多個接合結構1。圖8所展示之接合結構1可包含上文結合圖1A至圖7B所展示及描述之接合結構1中之任一者。接合結構1可包括執行用於系統80之各種功能的一或多個整合裝置晶粒。
因此,本文中所揭示之實施例可有利地使能夠在封裝級下在單粒化之後薄化晶粒。使用包括第一保護層12之保護材料可有益地在拋光期間保護晶粒,且尤其是保護晶粒邊緣。第一保護層12可在處理期間鎖定及密封晶粒。此外,保護材料可進一步包括在第一層12之間隙之間的第二填料材料,其可有益地促進結構之平坦化。在一些實施例中,第三填料材料及實際上任何合適數目個填料材料可用以促進結構之平坦化。在一些實施例中,第二填料材料可包括嵌入式填料粒子以改良填料材料之機械及熱性質。在一些實施例中,可將額外保護層40提供於第二層15(或其他層)上方以提供抵抗表面凹陷且改良總良率之對稱介電結構。在將單粒化晶粒裝配於基板上之後的薄化亦可促進晶粒之後續堆疊及接合。
有利地,本文中所揭示之方法可使用具有任何合適初始厚度之晶粒,且鄰近晶粒可具有不同厚度。此外,由於蝕刻之量可小於在其他程序中之量,故可縮減晶粒(例如,矽晶粒)之蝕刻時間。此外,在一些實施例中,由於可在形成互連件之前薄化晶粒,故亦可縮減用於拋光、鍍敷及提供導電互連件之時間。
在一個實施例中,揭示一種用於形成一接合結構之方法。該方法可包含將一第一單粒化整合裝置晶粒裝配至一載體。該方法可包含在 裝配之後薄化該第一整合裝置晶粒。該方法可包含在該第一整合裝置晶粒之一曝露表面上提供包含一第一層之一保護材料。
在另一實施例中,揭示一種接合結構。該接合結構可包括一載體,及一第一整合裝置晶粒,其具有裝配至該載體之一上表面的一下表面。該第一整合裝置晶粒可包含與該下表面相對之一上表面及在該第一整合裝置晶粒之該上表面與該下表面之間的一側表面。該接合結構可包含一保護材料,其包含具有安置於該第一整合裝置晶粒之該側表面上之一第一部分的一第一層,該第一層硬於該第一整合裝置晶粒之側表面。
在另一實施例中,揭示一種用於形成一接合結構之方法。該方法可包含將一第一整合裝置晶粒裝配至一載體。該方法可包含:在裝配之後,在該第一整合裝置晶粒之一表面上提供包含一第一層之一保護材料。該方法可包含平坦化該第一層之至少一部分以移除該第一整合裝置晶粒之一部分。
出於概述所揭示之實施例及相比於先前技術所達成之優點的目的,本文中已描述某些目標及優點。當然,應理解,根據任何特定實施例,可未必達成所有此等目標或優點。因此,舉例而言,熟習此項技術者將認識到,可以如本文中所教示或建議而達成或最佳化一個優點或一群優點而未必達成如本文中可能教示或建議之其他目標或優點的方式來體現或進行所揭示之實施方案。
所有此等實施例皆意欲在本發明之範圍內。此等及其他實施例將自參考附圖的實施例之以下詳細描述而對於熟習此項技術者變得易於顯而易見,申請專利範圍並不限於所揭示之任何特定實施例。雖然本文中 已揭示某些實施例及實例,但熟習此項技術者應理解,所揭示之實施方案超出特定揭示之實施例而延伸至其他替代性實施例及/或用途以及其明顯修改及等效者。此外,雖然已詳細地展示及描述若干變化,但基於本發明,其他修改對於熟習此項技術者將易於顯而易見。亦預料到,可進行實施例之特定特徵及態樣的各種組合或子組合且其仍在該範圍內。應理解,所揭示之實施例之各種特徵及態樣可彼此組合或取代,以便形成所揭示之實施方案之變化模式。因此,希望本文中所揭示之主題之範圍不應受到上文所描述的特定揭示之實施例限制,而應僅藉由接下來的申請專利範圍之公平閱讀予以判定。
本發明亦包含以下條項:
條項1:一種用於形成一接合結構之方法,該方法包含:將一第一單粒化整合裝置晶粒裝配至一載體;在裝配之後,薄化該第一整合裝置晶粒;及在該第一整合裝置晶粒之一曝露表面上提供包含一第一層之一保護材料。
條項2:如條項1之方法,其進一步包含移除該第一層之至少一部分。
條項3:如條項2之方法,其中該第一層硬於該第一整合裝置晶粒之該曝露表面。
條項4:如條項2至3中任一項之方法,其中該第一層具有在該第一整合裝置之一熱膨脹係數之15ppm/℃內的一熱膨脹係數。
條項5:如條項2至4中任一項之方法,其中該第一層包含一矽基介電質。
條項6:如條項2至5中任一項之方法,其中該第一層包含一聚合物。
條項7:如條項6之方法,其中該聚合物具有大於250℃之一玻璃轉變溫度。
條項8:如條項6之方法,其中該聚合物具有大於250℃之一玻璃轉變溫度及在0.25與0.8之間的一帕松比。
條項9:如條項6或8之方法,其中該聚合物在其中包含複數個填料粒子。
條項10:如條項9之方法,其中該等填料粒子之大小在2nm至30nm之一範圍中。
條項11:如條項1至10中任一項之方法,其進一步包含移除該第一整合裝置晶粒之一背側之一部分以曝露該第一整合裝置晶粒之一背表面。
條項12:如條項2至11中任一項之方法,其進一步包含提供通過該第一整合裝置晶粒之至少該曝露表面所曝露的一電互連件。
條項13:如條項12之方法,其中提供該第一層包含圍繞該電互連件沈積該第一層。
條項14:如條項11或13之方法,其中移除該第一整合裝置晶粒之該背側之該部分包含曝露該電互連件。
條項15:如條項11至14中任一項之方法,其中移除該背側之該部分包含蝕刻該第一整合裝置晶粒之該背側。
條項16:如條項2至15中任一項之方法,其中提供該第一層包含將該第一層沈積至不小於該第一整合裝置晶粒之一厚度的一厚度,該第一整合裝置晶粒之該厚度界定於該第一整合裝置晶粒之一背表面與一前表面之 間。
條項17:如條項16之方法,其中該第一整合裝置晶粒之該厚度小於20微米。
條項18:如條項2至17中任一項之方法,其進一步包含在該第一層上提供一第二層。
條項19:如條項18之方法,其進一步包含移除該第二層之至少一部分。
條項20:如條項2至19中任一項之方法,其進一步包含平坦化留存於該第一整合裝置晶粒上方的該第一層之一剩餘部分。
條項21:如條項12至20中任一項之方法,其進一步包含在無一介入黏著劑之情況下將一第二整合裝置晶粒之一第二電互連件直接接合至該電互連件。
條項22:如條項21之方法,其進一步包含將該第二整合裝置晶粒之一非導電部分直接接合至該第一層。
條項23:如條項1至22中任一項之方法,其中將該第一整合裝置晶粒裝配至該載體包含將該第一整合裝置晶粒接合至一基板。
條項24:如條項23之方法,其中該基板包含玻璃、矽或一陶瓷材料。
條項25:如條項23至24中任一項之方法,其中將該第一整合裝置晶粒接合至該基板包含在無一介入黏著劑之情況下將該第一整合裝置晶粒之導電及非導電層直接接合至該基板。
條項26:如條項2至25中任一項之方法,其進一步包含在一第三整合裝置晶粒之一曝露表面上提供該第一層,該第三整合裝置晶粒鄰近於該第一整合裝置晶粒而橫向地定位。
條項27:如條項26之方法,其進一步包含曝露該第三整合裝置晶粒之一第三電互連件,及在無一介入黏著劑之情況下將一第四整合裝置晶粒之一第四電互連件直接接合至該第三電互連件。
條項28:如條項26至27中任一項之方法,其中該第一電裝置晶粒及該第三電裝置晶粒裝配於一基板上,其中提供該第一層包含在該第一整合裝置晶粒及該第三整合裝置晶粒之背表面上方及在該第一整合裝置晶粒與該第三整合裝置晶粒之間的該基板之一上表面上方保形地塗佈該第一層。
條項29:如條項28之方法,其進一步包含在該第一層上方沈積一第二層,使得該第二層之一部分橫向地安置於該第一層之安置於該第一整合裝置晶粒及該第三整合裝置晶粒上方的各別部分之間。
條項30:如條項12至29中任一項之方法,其中提供該電互連件包含在移除該第一層之該至少一部分之後形成該電互連件。
條項31:如條項30之方法,其中形成該電互連件包含在該第一整合裝置晶粒中圖案化一溝槽及運用導電材料來填充該溝槽。
條項32:如條項2至31中任一項之方法,其中提供該第一層包含將該第一層沈積至小於該第一整合裝置晶粒之一厚度的一厚度,該第一整合裝置晶粒之該厚度界定於該第一整合裝置晶粒之一背表面與該第一整合裝置晶粒之一前表面之間。
條項33:如條項32之方法,其進一步包含將該第一層沈積至在1微米至15微米之一範圍中的一厚度。
條項34:如條項32至33中任一項之方法,其進一步包含在該第一層上方提供一第二層,該第二層厚於該第一層。
條項35:如條項34之方法,其中一第三整合裝置晶粒鄰近於該第一整合裝置晶粒而安置且與該第一整合裝置晶粒橫向地隔開一間隙,其中提供該第二層包含在該第一晶粒與該第三晶粒之間的該間隙中沈積該第二層。
條項36:如條項34至35中任一項之方法,其進一步包含移除該第二層之一部分以曝露一電互連件。
條項37:如條項34至36中任一項之方法,其進一步包含在該第二層上方提供一第三層。
條項38:如條項37之方法,其中該第三層包含與該第一層相同的材料。
條項39:如條項37至38中任一項之方法,其進一步包含在安置於該第一整合裝置晶粒上方的該第一層之一對應第一部分上方施加該第三層之一第一部分,及在該第二層之一對應部分上方施加該第三層之一第二部分。
條項40:如條項39之方法,其進一步包含在該第一整合裝置晶粒被裝配至的該載體之一上表面上方施加該第一層之一第二部分。
條項41:如條項40之方法,其進一步包含在該第一層之該第二部分上方施加該第二層之該對應部分。
條項42:一種接合結構,其包含:一載體;一第一整合裝置晶粒,其具有裝配至該載體之一上表面的一下表面,該第一整合裝置晶粒包含與該下表面相對之一上表面及在該第一整合裝置晶粒之該上表面與該下表面之間的一側表面;及一保護材料,其包含具有安置於該第一整合裝置晶粒之該側表面上之一第一部分的一第一層,該第一層硬於該第一整合裝置晶粒之側表面。
條項43:如條項42之結構,其進一步包含直接接合至該第一整合裝置晶粒之該上表面的一第二整合裝置晶粒。
條項44:如條項43之結構,其中該第一層之一第二部分安置於該第一整合裝置晶粒與該第二整合裝置晶粒之間的該第一整合裝置晶粒之該上表面上。
條項45:如條項42至43中任一項之結構,其中該第一整合裝置晶粒之一電互連件直接接合至該第二整合裝置晶粒之一第二電互連件。
條項46:如條項45之結構,其中該電互連件包含在該第一整合裝置晶粒之該上表面處的一接觸襯墊及形成於該第一整合裝置晶粒中之一直通矽穿孔。
條項47:如條項44至46中任一項之結構,其中該第一層之該第二部分橫向地安置於該電互連件周圍,使得該電互連件通過該第一層而曝露。
條項48:如條項42至47中任一項之結構,其中該第一層具有在該第一整合裝置晶粒之一熱膨脹係數之10ppm/℃內的一熱膨脹係數。
條項49:如條項42至48中任一項之結構,其中該第一層包含二氧化矽。
條項50:如條項42至49中任一項之結構,其中該第一層包含一聚合物。
條項51:如條項50之結構,其中該聚合物具有大於250℃之一玻璃轉變溫度。
條項52:如條項50或51之結構,其中該聚合物在其中包含複數個填料粒子。
條項53:如條項52之結構,其中該等填料粒子之大小在2nm至30nm之一範圍中。
條項54:如條項42至53中任一項之結構,其中該保護材料包含安置於該第一整合裝置晶粒之該側表面上的該第一層之該第一部分上方的一第二填料層。
條項55:如條項54之結構,其中該保護材料包含具有安置於該第一層之該第二部分上方之一第一部分及安置於該第二填料層上方之一第二部分的一第三層。
條項56:如條項42至55中任一項之結構,其中該載體包含一基板,該基板包含玻璃或矽。
條項57:如條項56之結構,其中該第一整合裝置晶粒直接接合至該基板。
條項58:一種電子裝置,其包含如條項42至57中任一項之結構。
條項59:如條項58之電子裝置,其中該電子裝置包含一智慧型手機、一平板計算裝置、一膝上型電腦或一攝影機。
條項60:如條項58之電子裝置,其中該電子裝置包含一微處理器、一圖形處理器、一電子記錄裝置或數位記憶體。
條項61:一種用於形成一接合結構之方法,該方法包含:將一第一整合裝置晶粒裝配至一載體;及在裝配之後,在該第一整合裝置晶粒之一表面上提供包含一第一層之一保護材料;及平坦化該第一層之至少一部分以移除該第一整合裝置晶粒之一部分。
條項62:如條項61之方法,其中該第一層硬於該第一整合裝置晶粒。
條項63:如條項61至62中任一項之方法,其中該第一層具有在該第一整合裝置晶粒之一熱膨脹係數之10ppm/℃內的一熱膨脹係數。
條項64:如條項61至63中任一項之方法,其中該第一層包含二氧化矽。
條項65:如條項61至63中任一項之方法,其中該第一層包含一聚合物。
條項66:如條項65之方法,其中該聚合物具有大於250℃之一玻璃轉變溫度。
條項67:如條項65或66之方法,其中該聚合物在其中包含複數個填料粒子。
條項68:如條項67之方法,其中該等填料粒子之大小在2nm至30nm之一範圍中。
條項69:如條項61至68中任一項之方法,其進一步包含移除該第一整合裝置晶粒之一背側之一部分以曝露該第一整合裝置晶粒之一背表面。
條項70:如條項61至69中任一項之方法,其進一步包含提供通過該第一整合裝置晶粒之至少一曝露背表面所曝露的一電互連件。
條項71:如條項61至70中任一項之方法,其中提供該第一層包含將該第一層沈積至不小於該第一整合裝置晶粒之一厚度的一厚度,該第一整合裝置晶粒之該厚度界定於該第一整合裝置晶粒之一背表面與一前表面之間。
條項72:如條項71之方法,其中該第一整合裝置晶粒之該厚度小於20 微米。
條項73:如條項61至72中任一項之方法,其進一步包含在該第一層上提供一第二層。
條項74:如條項73之方法,其進一步包含移除該第二層之至少一部分。
條項75:如條項61至74中任一項之方法,其進一步包含平坦化留存於該第一整合裝置晶粒上方的該第一層之一剩餘部分。
條項76:如條項70至75中任一項之方法,其進一步包含在無一介入黏著劑之情況下將一第二整合裝置晶粒之一第二電互連件直接接合至該電互連件。
條項77:如條項76之方法,其進一步包含將該第二整合裝置晶粒之一非導電部分直接接合至該第一層。
條項78:如條項61至77中任一項之方法,其中將該第一整合裝置晶粒裝配至該載體包含將該第一整合裝置晶粒接合至一基板。
條項79:如條項78之方法,其中該基板包含玻璃或矽。

Claims (30)

  1. 一種用於形成接合結構之方法,該方法包含:在沒有介入黏著劑下,將單粒化的第一整合裝置晶粒直接接合至載體,以使得單粒化的該第一整合裝置晶粒的各自接合表面和該載體直接接觸;在直接接合之後,薄化該第一整合裝置晶粒;及在該第一整合裝置晶粒之曝露表面上提供包含第一層之保護材料。
  2. 如請求項1之方法,其進一步包含移除該第一層之至少一部分。
  3. 如請求項2之方法,其中該第一層具有在該第一整合裝置之熱膨脹係數之15ppm/℃內的熱膨脹係數。
  4. 如請求項2之方法,其中該第一層包含矽基介電質或聚合物。
  5. 如請求項4之方法,其中該第一層包含聚合物,且其中該聚合物具有大於250℃之玻璃轉變溫度。
  6. 如請求項4之方法,其中該第一層包含聚合物,且其中該聚合物在其中包含複數個填料粒子。
  7. 如請求項1之方法,其進一步包含移除該第一整合裝置晶粒之背側之部分以曝露該第一整合裝置晶粒之背表面。
  8. 如請求項1之方法,其進一步包含在該第一層上提供第二層及移除該第二層之至少一部分。
  9. 如請求項2之方法,其進一步包含平坦化留存於該第一整合裝置晶粒上方的該第一層之剩餘部分。
  10. 如請求項1之方法,其進一步包含在第三整合裝置晶粒之曝露表面上提供該第一層,該第三整合裝置晶粒鄰近於該第一整合裝置晶粒而橫向地定位。
  11. 如請求項8之方法,其進一步包含在該第二層上方提供第三層。
  12. 一種用於形成接合結構之方法,該方法包含:將單粒化的第一整合裝置晶粒裝配至載體;在裝配之後,薄化該第一整合裝置晶粒;及在該第一整合裝置晶粒之曝露表面上提供包含第一層之保護材料;移除該第一層之至少一部分;以及提供通過該第一整合裝置晶粒之至少該曝露表面所曝露的電互連件。
  13. 如請求項12之方法,其中提供該第一層包含圍繞該電互連件沉積該第一層。
  14. 如請求項12之方法,其中提供該電互連件包含在移除該第一層之該至少一部分之後形成該電互連件。
  15. 如請求項12之方法,其中將單粒化的該第一整合裝置晶粒裝配至該載體包含將單粒化的該第一整合裝置晶粒直接接合至該載體,以使得單粒化的該第一整合裝置晶粒的各自接合表面和該載體直接接觸。
  16. 一種用於形成接合結構之方法,該方法包含:將單粒化的第一整合裝置晶粒裝配至載體;在裝配之後,薄化該第一整合裝置晶粒;及在該第一整合裝置晶粒之曝露表面上提供包含第一層之保護材料,其中提供該保護材料包含將該第一層沉積至不小於該第一整合裝置晶粒之厚度的厚度,該第一整合裝置晶粒之該厚度界定於該第一整合裝置晶粒之背表面與前表面之間。
  17. 如請求項16之方法,其中將單粒化的該第一整合裝置晶粒裝配至該載體包含將單粒化的該第一整合裝置晶粒直接接合至該載體,以使得單粒化的該第一整合裝置晶粒的各自接合表面和該載體直接接觸。
  18. 一種接合結構,其包含:載體;第一整合裝置晶粒,其具有在沒有介入黏著劑下,直接接合至該載體之上表面的下表面,以使得該第一整合裝置晶粒的該下表面和該載體的該上表面直接接觸,該第一整合裝置晶粒包含與該下表面相對之上表面及在該第一整合裝置晶粒之該上表面與該下表面之間的側表面;第二整合裝置晶粒,其直接接合至該第一整合裝置晶粒的該上表面;及保護材料,其包含具有安置於該第一整合裝置晶粒之該側表面上之第一部分的第一層。
  19. 如請求項18之接合結構,其中該第一層之第二部分安置於該第一整合裝置晶粒與該第二整合裝置晶粒之間的該第一整合裝置晶粒之該上表面上。
  20. 如請求項18之接合結構,其中該第一層具有在該第一整合裝置晶粒之熱膨脹係數之10ppm/℃內的熱膨脹係數。
  21. 如請求項18之接合結構,其中該保護材料包含安置於該第一整合裝置晶粒之該側表面上的該第一層之該第一部分上方的第二填料層。
  22. 如請求項21之接合結構,其中該保護材料包含具有安置於該第一層之該第二部分上方之第一部分及安置於該第二填料層上方之第二部分的第三層。
  23. 如請求項18之接合結構,其中該第一層的楊氏模數在20GPa至200GPa之範圍中。
  24. 如請求項18之接合結構,其中該第一層比該第一整合裝置晶粒的塊狀半導體材料還硬。
  25. 一種用於形成接合結構之方法,該方法包含:在沒有介入黏著劑下,將第一整合裝置晶粒直接接合至載體,以使得該第一整合裝置晶粒的各自接合表面和該載體直接接觸;在直接接合之後,在該第一整合裝置晶粒之表面上提供包含第一層之保護材料;及平坦化該第一層之至少一部分以移除該第一整合裝置晶粒之部分。
  26. 如請求項25之方法,其進一步包含移除該第一整合裝置晶粒之背側之部分以曝露該第一整合裝置晶粒之背表面。
  27. 如請求項25之方法,其中提供該第一層包含將該第一層沉積至不小於該第一整合裝置晶粒之厚度的厚度,該第一整合裝置晶粒之該厚度界定於該第一整合裝置晶粒之背表面與前表面之間。
  28. 如請求項25之方法,其進一步包含在該第一層上提供第二層及移除該第二層之至少一部分。
  29. 一種用於形成接合結構之方法,該方法包含:將第一整合裝置晶粒裝配至載體;在裝配之後,在該第一整合裝置晶粒之表面上提供包含第一層之保護材料;及平坦化該第一層之至少一部分以移除該第一整合裝置晶粒之部分,其中該第一層具有在該第一整合裝置晶粒之熱膨脹係數之10ppm/℃內的熱膨脹係數。
  30. 如請求項29之方法,其中將該第一整合裝置晶粒裝配至該載體包含將該第一整合裝置晶粒直接接合至該載體,以使得該第一整合裝置晶粒的各自接合表面和該載體直接接觸。
TW106114643A 2016-05-19 2017-05-03 堆疊晶粒和形成接合結構的方法 TWI671814B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/159,649 2016-05-19
US15/159,649 US10204893B2 (en) 2016-05-19 2016-05-19 Stacked dies and methods for forming bonded structures

Publications (2)

Publication Number Publication Date
TW201806015A TW201806015A (zh) 2018-02-16
TWI671814B true TWI671814B (zh) 2019-09-11

Family

ID=60326080

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106114643A TWI671814B (zh) 2016-05-19 2017-05-03 堆疊晶粒和形成接合結構的方法
TW108120324A TWI698925B (zh) 2016-05-19 2017-05-03 堆疊晶粒和形成接合結構的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108120324A TWI698925B (zh) 2016-05-19 2017-05-03 堆疊晶粒和形成接合結構的方法

Country Status (6)

Country Link
US (6) US10204893B2 (zh)
EP (1) EP3437126A4 (zh)
KR (2) KR102066719B1 (zh)
CN (1) CN109314088B (zh)
TW (2) TWI671814B (zh)
WO (1) WO2017200717A1 (zh)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US9536814B2 (en) * 2014-02-24 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Die stacking apparatus and method
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10204893B2 (en) * 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10861763B2 (en) 2016-11-26 2020-12-08 Texas Instruments Incorporated Thermal routing trench by additive processing
US10811334B2 (en) * 2016-11-26 2020-10-20 Texas Instruments Incorporated Integrated circuit nanoparticle thermal routing structure in interconnect region
US11676880B2 (en) 2016-11-26 2023-06-13 Texas Instruments Incorporated High thermal conductivity vias by additive processing
US11004680B2 (en) 2016-11-26 2021-05-11 Texas Instruments Incorporated Semiconductor device package thermal conduit
US10256188B2 (en) 2016-11-26 2019-04-09 Texas Instruments Incorporated Interconnect via with grown graphitic material
US10529641B2 (en) 2016-11-26 2020-01-07 Texas Instruments Incorporated Integrated circuit nanoparticle thermal routing structure over interconnect region
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
US20180182665A1 (en) * 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
CN112385035A (zh) * 2018-07-06 2021-02-19 伊文萨思粘合技术公司 微电子组件
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
CN110875193B (zh) * 2018-09-04 2021-08-10 中芯集成电路(宁波)有限公司 晶圆级封装方法及封装结构
CN110875207B (zh) * 2018-09-04 2021-05-07 中芯集成电路(宁波)有限公司 晶圆级封装方法及封装结构
CN110875205B (zh) * 2018-09-04 2021-07-09 中芯集成电路(宁波)有限公司 晶圆级封装方法及封装结构
US11094571B2 (en) * 2018-09-28 2021-08-17 Rohinni, LLC Apparatus to increase transferspeed of semiconductor devices with micro-adjustment
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) * 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
KR20210013429A (ko) 2019-07-25 2021-02-04 삼성전자주식회사 반도체 패키지 및 그의 제조 방법
US20210118864A1 (en) * 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
KR20210071539A (ko) 2019-12-06 2021-06-16 삼성전자주식회사 인터포저, 반도체 패키지, 및 인터포저의 제조 방법
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
CN115088068A (zh) 2019-12-23 2022-09-20 伊文萨思粘合技术公司 用于接合结构的电冗余
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) * 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
CN114551264A (zh) 2020-11-26 2022-05-27 群创光电股份有限公司 封装元件的制作方法
US20230215836A1 (en) * 2021-12-23 2023-07-06 Adeia Semiconductor Bonding Technologies Inc. Direct bonding on package substrates

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8461017B2 (en) * 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
US20130307165A1 (en) * 2012-05-18 2013-11-21 Lexvu Opto Microelectronics Technology (Shanghai) Ltd. Method for low temperature wafer bonding and bonded structure

Family Cites Families (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4337694A (en) * 1979-12-31 1982-07-06 Brown Stanford M System for handling and baling metallic scrap material
JPH0272642A (ja) 1988-09-07 1990-03-12 Nec Corp 基板の接続構造および接続方法
JPH0344067A (ja) 1989-07-11 1991-02-25 Nec Corp 半導体基板の積層方法
US5019673A (en) * 1990-08-22 1991-05-28 Motorola, Inc. Flip-chip package for integrated circuits
JPH04337694A (ja) * 1991-05-15 1992-11-25 Nec Yamagata Ltd 電子部品保護用樹脂膜
CA2083072C (en) 1991-11-21 1998-02-03 Shinichi Hasegawa Method for manufacturing polyimide multilayer wiring substrate
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JPH07193294A (ja) 1993-11-01 1995-07-28 Matsushita Electric Ind Co Ltd 電子部品およびその製造方法
KR960009074A (ko) 1994-08-29 1996-03-22 모리시다 요이치 반도체 장치 및 그 제조방법
DE4433330C2 (de) 1994-09-19 1997-01-30 Fraunhofer Ges Forschung Verfahren zur Herstellung von Halbleiterstrukturen mit vorteilhaften Hochfrequenzeigenschaften sowie eine Halbleiterwaferstruktur
JP3979687B2 (ja) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド ハロゲンをドープした酸化珪素膜の膜安定性を改良する方法
KR100274333B1 (ko) * 1996-01-19 2001-01-15 모기 쥰이찌 도체층부착 이방성 도전시트 및 이를 사용한 배선기판
US5956605A (en) * 1996-09-20 1999-09-21 Micron Technology, Inc. Use of nitrides for flip-chip encapsulation
US6221753B1 (en) 1997-01-24 2001-04-24 Micron Technology, Inc. Flip chip technique for chip assembly
JP4032454B2 (ja) 1997-06-27 2008-01-16 ソニー株式会社 三次元回路素子の製造方法
US6097096A (en) 1997-07-11 2000-08-01 Advanced Micro Devices Metal attachment method and structure for attaching substrates at low temperatures
JP2000100679A (ja) 1998-09-22 2000-04-07 Canon Inc 薄片化による基板間微小領域固相接合法及び素子構造
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
JP2001102479A (ja) 1999-09-27 2001-04-13 Toshiba Corp 半導体集積回路装置およびその製造方法
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
JP2001313350A (ja) * 2000-04-28 2001-11-09 Sony Corp チップ状電子部品及びその製造方法、並びにその製造に用いる疑似ウエーハ及びその製造方法
JP4322402B2 (ja) 2000-06-22 2009-09-02 大日本印刷株式会社 プリント配線基板及びその製造方法
JP3440057B2 (ja) 2000-07-05 2003-08-25 唯知 須賀 半導体装置およびその製造方法
US6423640B1 (en) 2000-08-09 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Headless CMP process for oxide planarization
JP3420748B2 (ja) 2000-12-14 2003-06-30 松下電器産業株式会社 半導体装置及びその製造方法
JP2002353416A (ja) 2001-05-25 2002-12-06 Sony Corp 半導体記憶装置およびその製造方法
US6887769B2 (en) 2002-02-06 2005-05-03 Intel Corporation Dielectric recess for wafer-to-wafer and die-to-die metal bonding and method of fabricating the same
TWI309074B (en) 2002-02-07 2009-04-21 Advanced Epitaxy Technology Method of forming semiconductor device
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US7105980B2 (en) 2002-07-03 2006-09-12 Sawtek, Inc. Saw filter device and method employing normal temperature bonding for producing desirable filter production and performance characteristics
JP4083502B2 (ja) 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
JP4579489B2 (ja) 2002-09-02 2010-11-10 新光電気工業株式会社 半導体チップ製造方法及び半導体チップ
US6822326B2 (en) 2002-09-25 2004-11-23 Ziptronix Wafer bonding hermetic encapsulation
US7023093B2 (en) 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
JP2004193493A (ja) 2002-12-13 2004-07-08 Nec Machinery Corp ダイピックアップ方法および装置
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
TW586677U (en) 2003-01-22 2004-05-01 Via Tech Inc Stack structure of chip package
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
TWI239629B (en) * 2003-03-17 2005-09-11 Seiko Epson Corp Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US6908027B2 (en) 2003-03-31 2005-06-21 Intel Corporation Complete device layer transfer without edge exclusion via direct wafer bonding and constrained bond-strengthening process
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
TWI275168B (en) 2003-06-06 2007-03-01 Sanyo Electric Co Semiconductor device and method for making the same
US6873049B2 (en) * 2003-07-31 2005-03-29 The Boeing Company Near hermetic power chip on board device and manufacturing method therefor
US6867073B1 (en) 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
KR100538158B1 (ko) 2004-01-09 2005-12-22 삼성전자주식회사 웨이퍼 레벨 적층 칩 접착 방법
US20050161808A1 (en) 2004-01-22 2005-07-28 Anderson Douglas G. Wafer, intermediate wafer assembly and associated method for fabricating a silicon on insulator wafer having an improved edge profile
WO2006019156A1 (ja) * 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
US20060057945A1 (en) 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US20060076634A1 (en) 2004-09-27 2006-04-13 Lauren Palmateer Method and system for packaging MEMS devices with incorporated getter
US7262492B2 (en) 2004-09-28 2007-08-28 Intel Corporation Semiconducting device that includes wirebonds
FR2880184B1 (fr) 2004-12-28 2007-03-30 Commissariat Energie Atomique Procede de detourage d'une structure obtenue par assemblage de deux plaques
GB0505680D0 (en) 2005-03-22 2005-04-27 Cambridge Display Tech Ltd Apparatus and method for increased device lifetime in an organic electro-luminescent device
JP4275096B2 (ja) 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
US7354862B2 (en) 2005-04-18 2008-04-08 Intel Corporation Thin passivation layer on 3D devices
JP4983049B2 (ja) 2005-06-24 2012-07-25 セイコーエプソン株式会社 半導体装置および電子機器
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7682937B2 (en) 2005-11-25 2010-03-23 Advanced Laser Separation International B.V. Method of treating a substrate, method of processing a substrate using a laser beam, and arrangement
US7193423B1 (en) 2005-12-12 2007-03-20 International Business Machines Corporation Wafer-to-wafer alignments
US7781309B2 (en) 2005-12-22 2010-08-24 Sumco Corporation Method for manufacturing direct bonded SOI wafer and direct bonded SOI wafer manufactured by the method
US20070158024A1 (en) 2006-01-11 2007-07-12 Symbol Technologies, Inc. Methods and systems for removing multiple die(s) from a surface
TWI299552B (en) 2006-03-24 2008-08-01 Advanced Semiconductor Eng Package structure
US7972683B2 (en) 2006-03-28 2011-07-05 Innovative Micro Technology Wafer bonding material with embedded conductive particles
US7750488B2 (en) 2006-07-10 2010-07-06 Tezzaron Semiconductor, Inc. Method for bonding wafers to produce stacked integrated circuits
KR100809696B1 (ko) 2006-08-08 2008-03-06 삼성전자주식회사 사이즈가 상이한 복수의 반도체 칩이 적층된 멀티 칩패키지 및 그 제조방법
JP5011981B2 (ja) 2006-11-30 2012-08-29 富士通株式会社 デバイス素子製造方法およびダイシング方法
US8178964B2 (en) 2007-03-30 2012-05-15 Advanced Chip Engineering Technology, Inc. Semiconductor device package with die receiving through-hole and dual build-up layers over both side-surfaces for WLP and method of the same
US8178963B2 (en) 2007-01-03 2012-05-15 Advanced Chip Engineering Technology Inc. Wafer level package with die receiving through-hole and method of the same
US20080165521A1 (en) 2007-01-09 2008-07-10 Kerry Bernstein Three-dimensional architecture for self-checking and self-repairing integrated circuits
US7803693B2 (en) 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
JP2008258383A (ja) 2007-04-04 2008-10-23 Spansion Llc 半導体装置及びその製造方法
DE102007020656B4 (de) * 2007-04-30 2009-05-07 Infineon Technologies Ag Werkstück mit Halbleiterchips, Halbleiterbauteil und Verfahren zur Herstellung eines Werkstücks mit Halbleiterchips
US20090001599A1 (en) 2007-06-28 2009-01-01 Spansion Llc Die attachment, die stacking, and wire embedding using film
US20090029274A1 (en) 2007-07-25 2009-01-29 3M Innovative Properties Company Method for removing contamination with fluorinated compositions
US8044497B2 (en) * 2007-09-10 2011-10-25 Intel Corporation Stacked die package
JP2009135348A (ja) 2007-12-03 2009-06-18 Panasonic Corp 半導体チップと半導体装置およびそれらの製造方法
US7871902B2 (en) 2008-02-13 2011-01-18 Infineon Technologies Ag Crack stop trenches
CN102113089B (zh) * 2008-03-05 2014-04-23 伊利诺伊大学评议会 可拉伸和可折叠的电子器件
WO2009114345A1 (en) 2008-03-07 2009-09-17 3M Innovative Properties Company Dicing tape and die attach adhesive with patterned backing
KR20090106822A (ko) 2008-04-07 2009-10-12 삼성전자주식회사 웨이퍼 본딩 방법 및 그 방법에 의해 본딩된 웨이퍼 구조체
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US8349635B1 (en) 2008-05-20 2013-01-08 Silicon Laboratories Inc. Encapsulated MEMS device and method to form the same
WO2010024678A1 (en) 2008-09-01 2010-03-04 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Chip die clamping device and transfer method
US9893004B2 (en) 2011-07-27 2018-02-13 Broadpak Corporation Semiconductor interposer integration
JP2010073964A (ja) 2008-09-19 2010-04-02 Fujitsu Microelectronics Ltd 半導体装置の製造方法
US7843052B1 (en) 2008-11-13 2010-11-30 Amkor Technology, Inc. Semiconductor devices and fabrication methods thereof
WO2010059781A1 (en) 2008-11-19 2010-05-27 Semprius, Inc. Printing semiconductor elements by shear-assisted elastomeric stamp transfer
KR100945800B1 (ko) 2008-12-09 2010-03-05 김영혜 이종 접합 웨이퍼 제조방법
US8476165B2 (en) 2009-04-01 2013-07-02 Tokyo Electron Limited Method for thinning a bonding wafer
JP2010245383A (ja) 2009-04-08 2010-10-28 Elpida Memory Inc 半導体装置および半導体装置の製造方法
JP5304536B2 (ja) 2009-08-24 2013-10-02 ソニー株式会社 半導体装置
US8482132B2 (en) 2009-10-08 2013-07-09 International Business Machines Corporation Pad bonding employing a self-aligned plated liner for adhesion enhancement
US9202769B2 (en) 2009-11-25 2015-12-01 Stats Chippac, Ltd. Semiconductor device and method of forming thermal lid for balancing warpage and thermal management
EP2339614A1 (en) 2009-12-22 2011-06-29 Imec Method for stacking semiconductor chips
FR2954585B1 (fr) 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies Procede de realisation d'une heterostructure avec minimisation de contrainte
US8138014B2 (en) 2010-01-29 2012-03-20 Stats Chippac, Ltd. Method of forming thin profile WLCSP with vertical interconnect over package footprint
JP2011171614A (ja) * 2010-02-22 2011-09-01 Casio Computer Co Ltd 半導体装置及び半導体装置の製造方法
JP5517800B2 (ja) 2010-07-09 2014-06-11 キヤノン株式会社 固体撮像装置用の部材および固体撮像装置の製造方法
US8481406B2 (en) 2010-07-15 2013-07-09 Soitec Methods of forming bonded semiconductor structures
US8415808B2 (en) 2010-07-28 2013-04-09 Sandisk Technologies Inc. Semiconductor device with die stack arrangement including staggered die and efficient wire bonding
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US8288201B2 (en) 2010-08-25 2012-10-16 Stats Chippac, Ltd. Semiconductor device and method of forming FO-WLCSP with discrete semiconductor components mounted under and over semiconductor die
KR20120032254A (ko) 2010-09-28 2012-04-05 삼성전자주식회사 반도체 적층 패키지 및 이의 제조 방법
FR2966283B1 (fr) 2010-10-14 2012-11-30 Soi Tec Silicon On Insulator Tech Sa Procede pour realiser une structure de collage
US8377798B2 (en) 2010-11-10 2013-02-19 Taiwan Semiconductor Manufacturing Co., Ltd Method and structure for wafer to wafer bonding in semiconductor packaging
US8476146B2 (en) 2010-12-03 2013-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a low CTE layer
US8620164B2 (en) 2011-01-20 2013-12-31 Intel Corporation Hybrid III-V silicon laser formed by direct bonding
JP5659033B2 (ja) 2011-02-04 2015-01-28 株式会社東芝 半導体装置の製造方法
US8988299B2 (en) 2011-02-17 2015-03-24 International Business Machines Corporation Integrated antenna for RFIC package applications
US8623702B2 (en) 2011-02-24 2014-01-07 Stats Chippac, Ltd. Semiconductor device and method of forming conductive THV and RDL on opposite sides of semiconductor die for RDL-to-RDL bonding
US20120235283A1 (en) 2011-03-16 2012-09-20 Memc Electronic Materials, Inc. Silicon on insulator structures having high resistivity regions in the handle wafer
US8501537B2 (en) 2011-03-31 2013-08-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures formed using such methods
US8716105B2 (en) 2011-03-31 2014-05-06 Soitec Methods for bonding semiconductor structures involving annealing processes, and bonded semiconductor structures and intermediate structures formed using such methods
KR20120123919A (ko) 2011-05-02 2012-11-12 삼성전자주식회사 칩 적층 반도체 패키지 제조 방법 및 이에 의해 제조된 칩 적층 반도체 패키지
KR102235927B1 (ko) 2011-05-24 2021-04-05 소니 주식회사 반도체 장치
JP5982748B2 (ja) 2011-08-01 2016-08-31 ソニー株式会社 半導体装置、半導体装置の製造方法、および電子機器
US8697493B2 (en) 2011-07-18 2014-04-15 Soitec Bonding surfaces for direct bonding of semiconductor structures
US8710648B2 (en) 2011-08-09 2014-04-29 Alpha & Omega Semiconductor, Inc. Wafer level packaging structure with large contact area and preparation method thereof
US8441131B2 (en) 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
KR101906408B1 (ko) 2011-10-04 2018-10-11 삼성전자주식회사 반도체 패키지 및 그 제조 방법
US9123830B2 (en) 2011-11-11 2015-09-01 Sumitomo Bakelite Co., Ltd. Manufacturing method for semiconductor device
TWI467736B (zh) 2012-01-04 2015-01-01 Univ Nat Chiao Tung 立體積體電路裝置
US8698308B2 (en) 2012-01-31 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Bump structural designs to minimize package defects
JP5994274B2 (ja) 2012-02-14 2016-09-21 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
CN103377911B (zh) 2012-04-16 2016-09-21 中国科学院微电子研究所 提高化学机械平坦化工艺均匀性的方法
US8809123B2 (en) 2012-06-05 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit structures and hybrid bonding methods for semiconductor wafers
US9142517B2 (en) 2012-06-05 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding mechanisms for semiconductor wafers
US8723309B2 (en) 2012-06-14 2014-05-13 Stats Chippac Ltd. Integrated circuit packaging system with through silicon via and method of manufacture thereof
US8759961B2 (en) * 2012-07-16 2014-06-24 International Business Machines Corporation Underfill material dispensing for stacked semiconductor chips
US8963336B2 (en) 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
US8735219B2 (en) 2012-08-30 2014-05-27 Ziptronix, Inc. Heterogeneous annealing method and device
US20140070405A1 (en) * 2012-09-13 2014-03-13 Globalfoundries Inc. Stacked semiconductor devices with a glass window wafer having an engineered coefficient of thermal expansion and methods of making same
US9368404B2 (en) 2012-09-28 2016-06-14 Plasma-Therm Llc Method for dicing a substrate with back metal
DE102012224310A1 (de) 2012-12-21 2014-06-26 Tesa Se Gettermaterial enthaltendes Klebeband
US20140175655A1 (en) 2012-12-22 2014-06-26 Industrial Technology Research Institute Chip bonding structure and manufacturing method thereof
TWI518991B (zh) 2013-02-08 2016-01-21 Sj Antenna Design Integrated antenna and integrated circuit components of the shielding module
US8946784B2 (en) 2013-02-18 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
US8802538B1 (en) 2013-03-15 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for hybrid wafer bonding
US9443796B2 (en) 2013-03-15 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Air trench in packages incorporating hybrid bonding
US9054063B2 (en) 2013-04-05 2015-06-09 Infineon Technologies Ag High power single-die semiconductor package
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
FR3007403B1 (fr) 2013-06-20 2016-08-05 Commissariat Energie Atomique Procede de realisation d'un dispositif microelectronique mecaniquement autonome
KR102077153B1 (ko) 2013-06-21 2020-02-14 삼성전자주식회사 관통전극을 갖는 반도체 패키지 및 그 제조방법
JP2015012244A (ja) 2013-07-01 2015-01-19 株式会社東芝 半導体発光素子
US9929050B2 (en) 2013-07-16 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure
US9324698B2 (en) 2013-08-13 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip structure and method of forming same
WO2015040798A1 (ja) 2013-09-20 2015-03-26 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
US9723716B2 (en) 2013-09-27 2017-08-01 Infineon Technologies Ag Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
KR102143518B1 (ko) * 2013-10-16 2020-08-11 삼성전자 주식회사 칩 적층 반도체 패키지 및 그 제조 방법
US9257399B2 (en) 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9530730B2 (en) 2013-11-08 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Configurable routing for packaging applications
JP6441025B2 (ja) 2013-11-13 2018-12-19 株式会社東芝 半導体チップの製造方法
US9570421B2 (en) 2013-11-14 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Stacking of multiple dies for forming three dimensional integrated circuit (3DIC) structure
JP2015115446A (ja) 2013-12-11 2015-06-22 株式会社東芝 半導体装置の製造方法
US9437572B2 (en) 2013-12-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive pad structure for hybrid bonding and methods of forming same
US9768038B2 (en) * 2013-12-23 2017-09-19 STATS ChipPAC, Pte. Ltd. Semiconductor device and method of making embedded wafer level chip scale packages
US9653442B2 (en) 2014-01-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and methods of forming same
US9343433B2 (en) 2014-01-28 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with stacked dies and methods of forming the same
US9293437B2 (en) 2014-02-20 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Functional block stacked 3DIC and method of making same
US20150255349A1 (en) 2014-03-07 2015-09-10 JAMES Matthew HOLDEN Approaches for cleaning a wafer during hybrid laser scribing and plasma etching wafer dicing processes
US9355997B2 (en) 2014-03-12 2016-05-31 Invensas Corporation Integrated circuit assemblies with reinforcement frames, and methods of manufacture
US20150262902A1 (en) 2014-03-12 2015-09-17 Invensas Corporation Integrated circuits protected by substrates with cavities, and methods of manufacture
US9418924B2 (en) 2014-03-20 2016-08-16 Invensas Corporation Stacked die integrated circuit
US9230941B2 (en) 2014-03-28 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding structure for stacked semiconductor devices
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
US9076860B1 (en) 2014-04-04 2015-07-07 Applied Materials, Inc. Residue removal from singulated die sidewall
US8975163B1 (en) 2014-04-10 2015-03-10 Applied Materials, Inc. Laser-dominated laser scribing and plasma etch hybrid wafer dicing
US9601463B2 (en) 2014-04-17 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) and the methods of making the same
US9472458B2 (en) 2014-06-04 2016-10-18 Semiconductor Components Industries, Llc Method of reducing residual contamination in singulated semiconductor die
KR102275705B1 (ko) 2014-07-11 2021-07-09 삼성전자주식회사 웨이퍼 대 웨이퍼 접합 구조
US9601437B2 (en) 2014-09-09 2017-03-21 Nxp B.V. Plasma etching and stealth dicing laser process
US9536848B2 (en) 2014-10-16 2017-01-03 Globalfoundries Inc. Bond pad structure for low temperature flip chip bonding
US9673096B2 (en) 2014-11-14 2017-06-06 Infineon Technologies Ag Method for processing a semiconductor substrate and a method for processing a semiconductor wafer
US9394161B2 (en) 2014-11-14 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. MEMS and CMOS integration with low-temperature bonding
US9899442B2 (en) 2014-12-11 2018-02-20 Invensas Corporation Image sensor device
US9508660B2 (en) 2015-02-10 2016-11-29 Intel Corporation Microelectronic die having chamfered corners
DE102015103274A1 (de) 2015-03-06 2016-09-08 HARTING Electronics GmbH Kabelabdichtung
JP6738591B2 (ja) 2015-03-13 2020-08-12 古河電気工業株式会社 半導体ウェハの処理方法、半導体チップおよび表面保護テープ
US10068862B2 (en) 2015-04-09 2018-09-04 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming a package in-fan out package
KR101664411B1 (ko) 2015-06-04 2016-10-14 주식회사 에스에프에이반도체 웨이퍼 레벨의 팬 아웃 패키지 제조방법
US9741620B2 (en) 2015-06-24 2017-08-22 Invensas Corporation Structures and methods for reliable packages
US9656852B2 (en) 2015-07-06 2017-05-23 Taiwan Semiconductor Manufacturing Company Ltd. CMOS-MEMS device structure, bonding mesa structure and associated method
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10075657B2 (en) 2015-07-21 2018-09-11 Fermi Research Alliance, Llc Edgeless large area camera system
US10352991B2 (en) 2015-07-21 2019-07-16 Fermi Research Alliance, Llc Edgeless large area ASIC
US9728521B2 (en) 2015-07-23 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bond using a copper alloy for yield improvement
US9559081B1 (en) 2015-08-21 2017-01-31 Apple Inc. Independent 3D stacking
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9754891B2 (en) 2015-09-23 2017-09-05 International Business Machines Corporation Low-temperature diffusion doping of copper interconnects independent of seed layer composition
WO2017052652A1 (en) 2015-09-25 2017-03-30 Intel Corporation Combination of semiconductor die with another die by hybrid bonding
US10032751B2 (en) 2015-09-28 2018-07-24 Invensas Corporation Ultrathin layer for forming a capacitive interface between joined integrated circuit components
US9666560B1 (en) 2015-11-25 2017-05-30 Invensas Corporation Multi-chip microelectronic assembly with built-up fine-patterned circuit structure
US9496239B1 (en) 2015-12-11 2016-11-15 International Business Machines Corporation Nitride-enriched oxide-to-oxide 3D wafer bonding
US9852988B2 (en) 2015-12-18 2017-12-26 Invensas Bonding Technologies, Inc. Increased contact alignment tolerance for direct bonding
US9881882B2 (en) 2016-01-06 2018-01-30 Mediatek Inc. Semiconductor package with three-dimensional antenna
US20170200659A1 (en) 2016-01-08 2017-07-13 International Business Machines Corporation Porous underfill enabling rework
US9923011B2 (en) 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US10446532B2 (en) 2016-01-13 2019-10-15 Invensas Bonding Technologies, Inc. Systems and methods for efficient transfer of semiconductor elements
US10636767B2 (en) 2016-02-29 2020-04-28 Invensas Corporation Correction die for wafer/die stack
US10026716B2 (en) 2016-04-15 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC formation with dies bonded to formed RDLs
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
KR102505856B1 (ko) 2016-06-09 2023-03-03 삼성전자 주식회사 웨이퍼 대 웨이퍼 접합 구조체
KR102521881B1 (ko) 2016-06-15 2023-04-18 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9818729B1 (en) 2016-06-16 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package structure and method
KR102570582B1 (ko) 2016-06-30 2023-08-24 삼성전자 주식회사 반도체 패키지 및 그 제조 방법
US9859254B1 (en) 2016-06-30 2018-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and a manufacturing method thereof
US9941241B2 (en) 2016-06-30 2018-04-10 International Business Machines Corporation Method for wafer-wafer bonding
US9966360B2 (en) 2016-07-05 2018-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US9892961B1 (en) 2016-08-09 2018-02-13 International Business Machines Corporation Air gap spacer formation for nano-scale semiconductor devices
US10672741B2 (en) 2016-08-18 2020-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
KR102649471B1 (ko) 2016-09-05 2024-03-21 삼성전자주식회사 반도체 패키지 및 그의 제조 방법
US10446487B2 (en) 2016-09-30 2019-10-15 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10672663B2 (en) 2016-10-07 2020-06-02 Xcelsis Corporation 3D chip sharing power circuit
US10580735B2 (en) 2016-10-07 2020-03-03 Xcelsis Corporation Stacked IC structure with system level wiring on multiple sides of the IC die
US10762420B2 (en) 2017-08-03 2020-09-01 Xcelsis Corporation Self repairing neural network
US9722098B1 (en) 2016-10-18 2017-08-01 Ase Electronics (M) Sdn Bhd Semiconductor device package and method of manufacturing the same
US10304801B2 (en) 2016-10-31 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Redistribution layers in semiconductor packages and methods of forming same
US20180130768A1 (en) 2016-11-09 2018-05-10 Unisem (M) Berhad Substrate Based Fan-Out Wafer Level Packaging
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
US10453832B2 (en) 2016-12-15 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Seal ring structures and methods of forming same
US10002844B1 (en) 2016-12-21 2018-06-19 Invensas Bonding Technologies, Inc. Bonded structures
EP3563411B1 (en) 2016-12-28 2021-04-14 Invensas Bonding Technologies, Inc. Method of processing a substrate on a temporary substrate
US20180182665A1 (en) 2016-12-28 2018-06-28 Invensas Bonding Technologies, Inc. Processed Substrate
WO2018126052A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US20180190583A1 (en) 2016-12-29 2018-07-05 Invensas Bonding Technologies, Inc. Bonded structures with integrated passive component
US10276909B2 (en) 2016-12-30 2019-04-30 Invensas Bonding Technologies, Inc. Structure comprising at least a first element bonded to a carrier having a closed metallic channel waveguide formed therein
US10431614B2 (en) 2017-02-01 2019-10-01 Semiconductor Components Industries, Llc Edge seals for semiconductor packages
US9865567B1 (en) 2017-02-02 2018-01-09 Xilinx, Inc. Heterogeneous integration of integrated circuit device and companion device
US10522499B2 (en) 2017-02-09 2019-12-31 Invensas Bonding Technologies, Inc. Bonded structures
US10629577B2 (en) 2017-03-16 2020-04-21 Invensas Corporation Direct-bonded LED arrays and applications
US10515913B2 (en) 2017-03-17 2019-12-24 Invensas Bonding Technologies, Inc. Multi-metal contact structure
US10508030B2 (en) 2017-03-21 2019-12-17 Invensas Bonding Technologies, Inc. Seal for microelectronic assembly
JP6640780B2 (ja) 2017-03-22 2020-02-05 キオクシア株式会社 半導体装置の製造方法および半導体装置
US10784191B2 (en) 2017-03-31 2020-09-22 Invensas Bonding Technologies, Inc. Interface structures and methods for forming same
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10580823B2 (en) 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10446441B2 (en) 2017-06-05 2019-10-15 Invensas Corporation Flat metal features for microelectronics applications
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10658335B2 (en) 2017-06-16 2020-05-19 Futurewei Technologies, Inc. Heterogenous 3D chip stack for a mobile processor
US10707145B2 (en) 2017-09-08 2020-07-07 Kemet Electronics Corporation High density multi-component packages
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10332899B2 (en) 2017-09-29 2019-06-25 Intel Corporation 3D package having edge-aligned die stack with direct inter-die wire connections
US11031285B2 (en) 2017-10-06 2021-06-08 Invensas Bonding Technologies, Inc. Diffusion barrier collar for interconnects
US11251157B2 (en) 2017-11-01 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Die stack structure with hybrid bonding structure and method of fabricating the same and package
US10672820B2 (en) 2017-11-23 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonded structure
US11011503B2 (en) 2017-12-15 2021-05-18 Invensas Bonding Technologies, Inc. Direct-bonded optoelectronic interconnect for high-density integrated photonics
US11380597B2 (en) 2017-12-22 2022-07-05 Invensas Bonding Technologies, Inc. Bonded structures
US10923408B2 (en) 2017-12-22 2021-02-16 Invensas Bonding Technologies, Inc. Cavity packages
US11127738B2 (en) 2018-02-09 2021-09-21 Xcelsis Corporation Back biasing of FD-SOI circuit blocks
US10727219B2 (en) 2018-02-15 2020-07-28 Invensas Bonding Technologies, Inc. Techniques for processing devices
US11169326B2 (en) 2018-02-26 2021-11-09 Invensas Bonding Technologies, Inc. Integrated optical waveguides, direct-bonded waveguide interface joints, optical routing and interconnects
US11256004B2 (en) 2018-03-20 2022-02-22 Invensas Bonding Technologies, Inc. Direct-bonded lamination for improved image clarity in optical devices
US10991804B2 (en) 2018-03-29 2021-04-27 Xcelsis Corporation Transistor level interconnection methodologies utilizing 3D interconnects
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US10790262B2 (en) 2018-04-11 2020-09-29 Invensas Bonding Technologies, Inc. Low temperature bonded structures
US10964664B2 (en) 2018-04-20 2021-03-30 Invensas Bonding Technologies, Inc. DBI to Si bonding for simplified handle wafer
US10937743B2 (en) 2018-04-30 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mixing organic materials into hybrid packages
US11398258B2 (en) 2018-04-30 2022-07-26 Invensas Llc Multi-die module with low power operation
US10403577B1 (en) 2018-05-03 2019-09-03 Invensas Corporation Dielets on flexible and stretchable packaging for microelectronics
US11469138B2 (en) 2018-05-04 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via for coupling attached component upper electrode to substrate
US11004757B2 (en) 2018-05-14 2021-05-11 Invensas Bonding Technologies, Inc. Bonded structures
US11276676B2 (en) 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10510629B2 (en) 2018-05-18 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method of forming same
US10727204B2 (en) 2018-05-29 2020-07-28 Advances Micro Devices, Inc. Die stacking for multi-tier 3D integration
US10923413B2 (en) 2018-05-30 2021-02-16 Xcelsis Corporation Hard IP blocks with physically bidirectional passageways
US11171117B2 (en) 2018-06-12 2021-11-09 Invensas Bonding Technologies, Inc. Interlayer connection of stacked microelectronic components
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US10910344B2 (en) 2018-06-22 2021-02-02 Xcelsis Corporation Systems and methods for releveled bump planes for chiplets
US10333623B1 (en) 2018-06-25 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Optical transceiver
WO2020010056A1 (en) 2018-07-03 2020-01-09 Invensas Bonding Technologies, Inc. Techniques for joining dissimilar materials in microelectronics
US11158606B2 (en) 2018-07-06 2021-10-26 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
US20200035641A1 (en) 2018-07-26 2020-01-30 Invensas Bonding Technologies, Inc. Post cmp processing for hybrid bonding
US11515291B2 (en) 2018-08-28 2022-11-29 Adeia Semiconductor Inc. Integrated voltage regulator and passive components
US11296044B2 (en) 2018-08-29 2022-04-05 Invensas Bonding Technologies, Inc. Bond enhancement structure in microelectronics for trapping contaminants during direct-bonding processes
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US10868353B2 (en) 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Electronic device and manufacturing method thereof
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11158607B2 (en) 2018-11-29 2021-10-26 Apple Inc. Wafer reconstitution and die-stitching
US11244920B2 (en) 2018-12-18 2022-02-08 Invensas Bonding Technologies, Inc. Method and structures for low temperature device bonding
KR20210104742A (ko) 2019-01-14 2021-08-25 인벤사스 본딩 테크놀로지스 인코포레이티드 접합 구조체
US11387202B2 (en) 2019-03-01 2022-07-12 Invensas Llc Nanowire bonding interconnect for fine-pitch microelectronics
US11901281B2 (en) 2019-03-11 2024-02-13 Adeia Semiconductor Bonding Technologies Inc. Bonded structures with integrated passive component
US10770430B1 (en) 2019-03-22 2020-09-08 Xilinx, Inc. Package integration for memory devices
US10854578B2 (en) 2019-03-29 2020-12-01 Invensas Corporation Diffused bitline replacement in stacked wafer memory
US11610846B2 (en) 2019-04-12 2023-03-21 Adeia Semiconductor Bonding Technologies Inc. Protective elements for bonded structures including an obstructive element
US11205625B2 (en) 2019-04-12 2021-12-21 Invensas Bonding Technologies, Inc. Wafer-level bonding of obstructive elements
US11373963B2 (en) 2019-04-12 2022-06-28 Invensas Bonding Technologies, Inc. Protective elements for bonded structures
US11355404B2 (en) 2019-04-22 2022-06-07 Invensas Bonding Technologies, Inc. Mitigating surface damage of probe pads in preparation for direct bonding of a substrate
US11385278B2 (en) 2019-05-23 2022-07-12 Invensas Bonding Technologies, Inc. Security circuitry for bonded structures
US20200395321A1 (en) 2019-06-12 2020-12-17 Invensas Bonding Technologies, Inc. Sealed bonded structures and methods for forming the same
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
US11094613B2 (en) 2019-08-22 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US20210098412A1 (en) 2019-09-26 2021-04-01 Invensas Bonding Technologies, Inc. Direct gang bonding methods and structures
US20210118864A1 (en) 2019-10-21 2021-04-22 Invensas Corporation Non-Volatile Dynamic Random Access Memory
US11862602B2 (en) 2019-11-07 2024-01-02 Adeia Semiconductor Technologies Llc Scalable architecture for reduced cycles across SOC
US11762200B2 (en) 2019-12-17 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded optical devices
US11876076B2 (en) 2019-12-20 2024-01-16 Adeia Semiconductor Technologies Llc Apparatus for non-volatile random access memory stacks
CN115088068A (zh) 2019-12-23 2022-09-20 伊文萨思粘合技术公司 用于接合结构的电冗余
US11721653B2 (en) 2019-12-23 2023-08-08 Adeia Semiconductor Bonding Technologies Inc. Circuitry for electrical redundancy in bonded structures
US20210242152A1 (en) 2020-02-05 2021-08-05 Invensas Bonding Technologies, Inc. Selective alteration of interconnect pads for direct bonding
CN115943489A (zh) 2020-03-19 2023-04-07 隔热半导体粘合技术公司 用于直接键合结构的尺寸补偿控制
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
WO2021236361A1 (en) 2020-05-19 2021-11-25 Invensas Bonding Technologies, Inc. Laterally unconfined structure
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
US20220139869A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
WO2022094587A1 (en) 2020-10-29 2022-05-05 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
TW202243181A (zh) 2020-12-28 2022-11-01 美商英帆薩斯邦德科技有限公司 具有直通基板穿孔的結構以及形成此結構的方法
JP2024501016A (ja) 2020-12-28 2024-01-10 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 基板貫通ビアを有する構造体及びそれを形成する方法
US20220208723A1 (en) 2020-12-30 2022-06-30 Invensas Bonding Technologies, Inc. Directly bonded structures
WO2022147459A1 (en) 2020-12-30 2022-07-07 Invensas Bonding Technologies, Inc. Structure with conductive feature and method of forming same
JP2024513304A (ja) 2021-03-03 2024-03-25 アデイア セミコンダクター ボンディング テクノロジーズ インコーポレイテッド 直接接合のためのコンタクト構造
KR20230164153A (ko) 2021-03-31 2023-12-01 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 캐리어의 직접 결합 및 분리
US20220320035A1 (en) 2021-03-31 2022-10-06 Invensas Bonding Technologies, Inc. Direct bonding methods and structures
KR20230163554A (ko) 2021-03-31 2023-11-30 아데이아 세미컨덕터 본딩 테크놀로지스 인코포레이티드 캐리어의 직접 결합 및 분리
US20230005850A1 (en) 2021-06-30 2023-01-05 Invensas Bonding Technologies, Inc. Element with routing structure in bonding layer
CN117859202A (zh) 2021-07-16 2024-04-09 美商艾德亚半导体接合科技有限公司 用于接合结构的光学阻塞保护元件
US20230036441A1 (en) 2021-08-02 2023-02-02 Invensas Bonding Technologies, Inc. Protective semiconductor elements for bonded structures
US20230067677A1 (en) 2021-09-01 2023-03-02 Invensas Bonding Technologies, Inc. Sequences and equipment for direct bonding
WO2023034738A1 (en) 2021-09-01 2023-03-09 Adeia Semiconductor Technologies Llc Stacked structure with interposer
WO2023049812A1 (en) 2021-09-24 2023-03-30 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with active interposer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8461017B2 (en) * 2010-07-19 2013-06-11 Soitec Methods of forming bonded semiconductor structures using a temporary carrier having a weakened ion implant region for subsequent separation along the weakened region
US20130307165A1 (en) * 2012-05-18 2013-11-21 Lexvu Opto Microelectronics Technology (Shanghai) Ltd. Method for low temperature wafer bonding and bonded structure

Also Published As

Publication number Publication date
TW201935552A (zh) 2019-09-01
EP3437126A1 (en) 2019-02-06
US20230131849A1 (en) 2023-04-27
WO2017200717A1 (en) 2017-11-23
CN109314088A (zh) 2019-02-05
TWI698925B (zh) 2020-07-11
KR102066719B1 (ko) 2020-01-15
US10879226B2 (en) 2020-12-29
US10204893B2 (en) 2019-02-12
US20170338214A1 (en) 2017-11-23
US11658173B2 (en) 2023-05-23
TW201806015A (zh) 2018-02-16
CN109314088B (zh) 2021-03-09
KR102281018B1 (ko) 2021-07-22
US20240145458A1 (en) 2024-05-02
KR20200006196A (ko) 2020-01-17
US20230130580A1 (en) 2023-04-27
US20210183847A1 (en) 2021-06-17
EP3437126A4 (en) 2019-07-03
US20190189607A1 (en) 2019-06-20
KR20180132990A (ko) 2018-12-12
US11837596B2 (en) 2023-12-05

Similar Documents

Publication Publication Date Title
TWI671814B (zh) 堆疊晶粒和形成接合結構的方法
CN112470270B (zh) Tsv上的偏移焊盘
TWI546915B (zh) 多重中介層基板電路組件以及其製造方法
JP4716819B2 (ja) インターポーザの製造方法
TW201125097A (en) Chip package
JP2007180529A (ja) 半導体装置およびその製造方法
TWI663661B (zh) 半導體封裝結構及其製造方法
JP5559773B2 (ja) 積層半導体装置の製造方法
TWI820545B (zh) 半導體裝置及其製造方法
TWI834682B (zh) 模製直接接合且互連的堆疊
TW202226396A (zh) 半導體裝置及其製造方法