KR100928840B1 - 기판처리장치 및 반응용기의 착탈 방법 - Google Patents

기판처리장치 및 반응용기의 착탈 방법 Download PDF

Info

Publication number
KR100928840B1
KR100928840B1 KR1020070090375A KR20070090375A KR100928840B1 KR 100928840 B1 KR100928840 B1 KR 100928840B1 KR 1020070090375 A KR1020070090375 A KR 1020070090375A KR 20070090375 A KR20070090375 A KR 20070090375A KR 100928840 B1 KR100928840 B1 KR 100928840B1
Authority
KR
South Korea
Prior art keywords
reaction vessel
jig
reaction
substrate
detachable jig
Prior art date
Application number
KR1020070090375A
Other languages
English (en)
Other versions
KR20080027723A (ko
Inventor
코지 시바타
마사히로 타타
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20080027723A publication Critical patent/KR20080027723A/ko
Application granted granted Critical
Publication of KR100928840B1 publication Critical patent/KR100928840B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49799Providing transitory integral holding or handling portion
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/4981Utilizing transitory attached element or associated separate material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판을 처리하는 처리실을 안쪽에 가지는 반응용기와, 상기 반응용기의 외주측으로부터 상기 기판을 가열하는 가열장치와, 상기 처리실을 폐색하는 개체와, 상기 반응용기를 상기 가열 장치내로부터 착탈할 때에 상기 개체에 재치되는 착탈 치구와, 상기 반응용기의 안쪽벽에 반응용기의 하단보다 윗쪽에 설치되어 상기 반응용기를 상기 가열 장치내로부터 착탈할 때에 상기 착탈 치구의 윗면과 맞닿는 지지부가 구비되어 있다.
반응용기, 착탈치구

Description

기판처리장치 및 반응용기의 착탈 방법{Apparatus for substrate treatment and Method for adapting/removing of reaction vessel}
본 발명은 기판처리장치 및 반응용기의 착탈 방법에 관한 것으로서, 예를 들면 반도체 제조장치에 사용되는 기판처리장치 및 반응용기의 착탈 방법에 관한 것이다.
도 20에, 종래의 기판처리장치에 사용되고 있는, 복수의 웨이퍼를 동시에 처리하는 배치식의 종형 처리로의 단면도를 나타낸다.
종형 처리로(302)는 도시하지 않는 광체내에 설치된다. 이 종형 처리로(302)는, 히터 유니트(306)와 히터 유니트(306)내에 삽입되는 반응용기(307)로 구성된다. 반응용기(307)는, 반응관(303)과, 반응관(303)을 지지하는 노구 플랜지(309)로 구성된다. 반응관(303)은, 아우터 튜브(305)와 아우터 튜브(305)내에 설치되는 이너 튜브(304)로 구성된다. 노구 플랜지(309)는, 가스 공급관(도시하지 않음)과 배기관(331)을 갖춘다. 노구 플랜지(309)는 금속제이다(예를 들면, 특허 문헌 1 참조). 이너 튜브(304)의 안쪽에, 복수매의 웨이퍼(200)를 다단으로 장전한 보트(317)가 삽입된다. 보트(317)를 지지하는 씰 캡(319)에 의해 노구 플랜지(309)가 닫혀진다.
가스 공급관으로부터 반응관(303)내에 가스를 공급하면서, 반응 후의 잔류가스 등을 배기관(331)으로부터 배기시키는 과정에서 보트(317)에 장전 된 복수매의 웨이퍼(200)가처리된다.
이러한 종형 처리로(302)를 조립하려면, 종형 처리로(302)의 하부 스페이스를 이용해, 종형 처리로(302)에의 반응관(303)의 삽탈(揷脫)을 실시할 필요가 있다. 종형 처리로(302)에의 반응용기(307)의 삽탈은 도시하지 않는 보트 엘리베이터 및 착탈 장치에 의해 행해지고 있다(예를 들면, 특허 문헌 2 참조). 히터 베이스(351)에 고정된 히터 유니트(306)의 하부에 개구된 개구부로부터 반응용기(307)를 장착한다. 반응용기(307)는, 배기관(331)이 돌출되어 있기 때문에, 배기관(331)보다 위쪽까지를 히터 유니트(306)내에 삽입하게 되어, 반응용기(307)의 일부는 히터 유니트(306)로부터 밀려나와 있다. 배기관(331)은 가스의 흐름을 고려해, 원통형으로 구경이 큰 것이 바람직하다.
그러나, 배기관(331)의 구경이 크면 반응용기(307)가 히터 유니트(306)로부터 밀려나온 부분이 길어져, 종형 처리로(302) 자체가 커진다. 한편, 반응용기(307)의 높이를 낮게 함으로써, 종형 처리로(302)를 작게 하는 것은 가능하지만, 반응용기(307)의 높이를 낮게 하면, 웨이퍼 처리 매수가 감소해 생산 능력이 떨어지므로 바람직하지 않다. 여기서, 반응용기(307)의 높이를 높게 해 웨이퍼를 대량으로 처리하는 장치를 라지 배치 장치라고 하고, 반응용기(307)의 높이를 낮게 해 웨이퍼를 소량으로 처리하는 장치를 스몰 배치 장치라고 한다.
특허 문헌 1 : 일본특허공개번호 1996-120453호 공보
특허 문헌 2 : 일본특허공개번호 1999-67679호 공보
반응용기 구조를 그대로 적용시키면, 장치 높이가 높아져, 라지 배치 장치를 실제로 클린 룸에 설치하려고 해도, 클린 룸의 높이보다 높아져 버리므로, 반응용기(307)를 히터 유니트(306)내에 설치하는 것이 곤란하게 되어 버린다.
메인트넌스시에 종형 처리로(302)를 조립하면, 도 21에 도시한 바와 같이, 종형 처리로(302)의 하부에 인접해 마련한 예비실로서의 이재실(324)을 이용해, 종형 처리로(302)에의 반응용기(307)의 삽탈을 실시할 필요가 있다. 종형 처리로(302)에의 반응용기(307)의 착탈은, 대차(臺車), 보트 엘리베이터 및 씰 캡(219)에 재치되는 착탈 치구(300)를 이용해 행해진다.
도시한 바와 같이 노구 플랜지(309)에 배기관(331)이 형성되어 있는 경우에는, 웨이퍼 처리 능력을 떨어뜨리지 않는 한, 이 노구 플랜지(309)에 아우터 튜브(305)를 연결한 반응용기 본체의 높이가 높아지기 때문에, 이재실(324)의 제약으로부터, 아우터 튜브(305)로 노구 플랜지(309)를 따로 따로 탈착하지 않으면 안 된다.
또한, 도 22에 도시한 바와 같이, 아우터 튜브(305)에 배기관(331)이 일체로 형성되어 있는 경우에도, 장치 높이를 바꾸지 않는 조건에서는, 아우터 튜브(305)와 노구 플랜지(309)를 착탈 치구(300)를 이용해 함께 탈착하려고 하면, 히터 유니트(306)로부터 아우터 튜브(305)(부호 D 부분)가 빠져 나가지 않고, 아우터 튜브(306)와 노구 플랜지(309)를 동시에 착탈할 수 없게 된다. 그 때문에, 메인트 넌스시에는, 아우터 튜브(305)와 노구 플랜지(309)를 분할해, 아우터 튜브(306)의 탈착과 노구 플랜지의 탈착을 2회 이상으로 나누어 실시하지 않으면 안 된다. 따라서, 착탈 치구(300)의 구조가 복잡하게 되고, 메인트넌스 작업에 시간이 걸리게 된다.
본 발명의 과제는, 장치 높이를 바꾸는 일 없이, 또한 반응용기 사이즈를 바꾸는 일 없이, 반응용기의 메인트넌스를 실시하는 것이 가능한 기판처리장치 및 반응용기의 착탈 방법을 제공하는 것에 있다.
본 발명의 하나의 형태에 의하면, 기판을 처리하는 처리실을 안쪽에 가지는 반응용기와 상기 반응용기의 외주측으로부터 상기 기판을 가열하는 가열 장치와, 상기 처리실을 폐색하는 개체(蓋體)와, 상기 반응용기를 상기 가열 장치내로부터 착탈할 때에 상기 개체에 재치되는 착탈 치구와, 상기 반응용기의 안쪽벽에 상기 반응용기의 하단보다 윗쪽 에 설치되어 상기 반응용기를 상기 가열 장치내로부터 착탈할 때에 상기 착탈 치구의 윗면과 맞닿는 지지부를 구비하고 있는 기판처리장치가 제공된다.
본 발명에 의하면, 장치 높이를 바꾸는 일 없이, 또 반응용기 사이즈를 바꾸는 일 없이, 반응용기의 메인트넌스를 실시할 수 있다.
본 발명을 실시하기 위한 최선의 형태에 대해, 기판처리장치는, 일례로서 반도체 장치(IC)의 제조 방법에 있어서 처리 공정을 실시하는 반도체 제조장치로서 구성되어 있다. 한편, 이하의 설명에는, 반도체 제조장치로서 기판에 산화, 확산 처리나 CVD처리 등을 행하는 종형의 반도체 제조장치(이하, 단지 처리 장치라고 한다)에 적용했을 경우에 대해 말한다. 도 2는, 본 발명의 실시의 형태에 적용되는 처리 장치의 경사 투시도이다. 또한, 도 3은 도 2에 나타내는 처리 장치의 측면 투시도이다.
도 2 및 도 3에 도시한 바와 같이, 실리콘 등으로 구성되는 웨이퍼(기판) (200)을 수납한 웨이퍼 캐리어로서 후프(기판 수용기, 이하 포드라고 한다)(110)가 사용되고 있는 본 실시의 형태의 처리 장치(100)는 광체(111)를 갖추고 있다. 광체(111)의 정면벽(111a)의 정면 전방부에는 메인트넌스가 가능하도록 설치된 개구부로서의 정면 메인트넌스구(103)가 개설되고, 이 정면 메인트넌스구(103)를 개폐하는 정면 메인트넌스문 (104, 104)이 각각 설치되어 있다. 광체(111)의 정면벽(111a)에는 포드 반입 반출구(기판 수용기 반입 반출구)(112)가 광체(111)의 내외를 연통하도록 개설되고 있고, 포드 반입 반출구(112)는 프론트 셔터(기판 수용기 반입 반출구 개폐 기구)(113)에 의해 개폐되게 되어 있다.  
포드 반입 반출구(112)의 정면 전방측에는 로드 포트(기판 수용기 수수대)(114)가 설치되어 있고, 로드 포트(114)는 포드(110)를 재치하여 위치 맞춤하도록 구성되어 있다. 포드(110)는 공정내 반송 장치(도시하지 않음)에 의해 로드 포트(114)상에 반입되고, 또한, 로드 포트(114)상으로부터 반출되게 되어 있다.
광체(111)내의 전후방향의 실질적으로 중앙부에 있어서의 상부에는, 회전식 포드선반(기판 수용기 재치 선반)(105)이 설치되어 있고, 회전식 포드선반(105)은 복수개의 포드(110)를 보관하도록 구성되어 있다. 즉, 회전식 포드선반(105)은 수직으로 입설되어 수평면내에서 간헐적으로 회전되는 지주(116)와 지주(116)에 상중하단(上中下段)의 각 위치에 있어서 방사상으로 지지되는 복수매의 선반판(기판 수용기 재치대)(117)을 갖추고 있고, 복수매의 선반판(117)은 포드(110)를 복수개씩 각각 재치한 상태로 보지하도록 구성되어 있다.
광체(111)내에 있어서의 로드 포트(114)와 회전식 포드선반(105)과의 사이에는, 포드 반송 장치(기판 수용기 반송 장치)(118)가 설치되어 있고, 포드 반송 장치(118)는, 포드(110)를 보지한 채로 승강 가능한 포드 엘리베이터(기판 수용기 승강기구)(118a)와 반송 기구로서의 포드 반송 기구(기판 수용기 반송 기구)(118b)로 구성되어 있고, 포드 반송 장치(118)는 포드 엘리베이터(118a)와 포드 반송 기구(118b)의 연속 동작에 의해, 로드 포트(114), 회전식 포드선반(105), 포드오프너(기판 수용기 개체 개폐 기구)(121)의 사이에서 포드(110)를 반송하도록 구성되어 있다.
광체(111)내의 전후방향의 실질적으로 중앙부에 있어서의 하부에는, 서브 광체(119)가 후단에 걸쳐서 구축되어 있다. 서브 광체(119)의 정면벽(119a)에는 웨이퍼(200)를 서브 광체(119)내에 대해서 반입 반출하기 위한 웨이퍼 반입 반출구(기판 반입 반출구)(120)가 한 벌, 수직 방향 상하2단으로 나란히 개설되고 있고, 상하단의 웨이퍼 반입 반출구(120, 120)에는 한 벌의 포드오프너(121, 121)가 각각 설치되어 있다.
포드오프너(121)는 포드(110)를 재치하는 재치대(122, 122)와 포드(110)의 캡(덮개)을 착탈하는 캡 착탈 기구(덮개 착탈 기구)(123, 123)를 갖추고 있다. 포드오프너(121)는 재치대(122)에 재치된 포드(110)의 캡을 캡 착탈 기구(123)에 의해 착탈함으로써, 포드(110)의 웨이퍼 출입구를 개폐하도록 구성되어 있다.
서브 광체(119)는 포드 반송 장치(118)나 회전식 포드선반(105)의 설치 공간으로부터 유체적으로 격절된 이재실(124)을 구성하고 있다. 이재실(124)의 앞쪽 영역에는 웨이퍼 이재 기구(기판 이재 기구)(125)가 설치되어 있고, 웨이퍼 이재 기구(125)는, 웨이퍼(200)를 수평 방향으로 회전 내지 직동(直動) 가능한 웨이퍼 이재 장치(기판 이재 장치)(125a) 및 웨이퍼 이재 장치(125a)를 승강시키기 위한 웨이퍼 이재 장치 엘리베이터(기판 이재 장치 승강기구)(125b)로 구성되어 있다. 도 2에 모식적으로 도시한 바와 같이 웨이퍼 이재 장치 엘리베이터(125b)는, 내압의 광체(111) 우측 단부와 서브 광체(119)의 이재실(124) 전방 영역 우단부와의 사이에 설치되어 있다. 이들 웨이퍼 이재 장치 엘리베이터(125b) 및 웨이퍼 이재 장치(125a)의 연속 동작에 의해, 웨이퍼 이재 장치(125a)의 트위저(기판 보지체)(125c)를 웨이퍼(200)의 재치부로서 보트(기판 보지구)(217)에 대해서 웨이퍼(200)를 장전(챠징) 및 탈장(디스챠징) 하도록 구성되어 있다.
이재실(124)의 뒤측 영역에는, 보트(217)를 수용해 대기시키는 대기부(126)가 구성되어 있다. 대기부(126)의 윗쪽에는, 종형 처리로(202)가 설치되어 있다. 종형 처리로(202)의 하단부는, 노구 셔터(노구 개폐 기구)(147)에 의해 개폐되도록 구성되어 있다.
도 2에 모식적으로 도시한 바와 같이, 내압의 광체(111) 우측 단부와 서브 광체(119)의 대기부(126) 우단부와의 사이에는 보트(217)를 승강시키기 위한 보트 엘리베이터(기판 보지구 승강기구) (115)가 설치되어 있다. 보트 엘리베이터(115)의 승강대에 연결된 연결구로서의 암(128)(도 3 참조)에는 개체(蓋體)로서의 씰 캡(219)이 수평으로 고정되어 있고, 씰 캡(219)은 보트(217)를 수직으로 지지해, 종형 처리로(202)의 하단부를 폐색하도록 구성되어 있다.
보트(217)는 복수 본의 보지부재를 갖추고 있어, 복수매(예를 들면, 50매~125매 정도)의 웨이퍼(200)를 그 중심을 가지런히 해 수직 방향으로 정렬시킨 상태에서, 각각 수평으로 유지하도록 구성되어 있다.
도 2에 모식적으로 도시한 바와 같이 이재실(124)의 웨이퍼 이재 장치 엘리베이터(125b)측 및 보트 엘리베이터(115)측과 반대측인 좌측 단부에는, 청정화한 분위기 혹은 불활성 가스의 클린 에어(133)를 공급하도록 공급팬 및 방진 필터로 구성된 클린 유니트(134)가 설치되어 있고, 웨이퍼 이재 장치(125a)와 클린 유니트(134)와의 사이에는, 도시 하지 않았으나, 웨이퍼의 원주 방향의 위치를 정합시키는 기판 정합 장치로서의 노치 맞춤 장치(135)가 설치되어 있다.
클린 유니트(134)로부터 불어 나온 클린 에어(133)는, 노치 맞춤 장치(135) 및 웨이퍼 이재 장치(125a), 대기부(126)에 있는 보트(217)에 유통된 후에, 도시하지 않는 덕트에 의해 빨려 들여가, 광체(111)의 외부로 배기되거나, 혹은 클린 유니트(134)의 흡입측인 일차측(공급측)에까지 순환되어 다시 클린 유니트(134)에 의 해, 이재실(124)내로 불어지도록 구성되어 있다.
다음에, 본 실시의 형태의 처리 장치의 동작에 대해 설명한다.
도 2 및 도 3에 도시한 바와 같이, 포드(110)가 로드 포트(114)에 공급되면, 포드 반입 반출구(112)가 프론트 셔터(113)에 의해 개방되고, 로드 포트(114) 위의 포드(110)는 포드 반송 장치(118)에 의해 광체(111)의 내부에 포드 반입 반출구(112)로부터 반입된다.
반입된 포드(110)는 회전식 포드선반(105)의 지정된 선반판(117)에 포드 반송 장치(118)에 의해 자동적으로 반송되어 수수되고, 일시적으로 보관된 후, 선반판(117)으로부터 한쪽의 포드오프너(121)에 반송되어 재치대(122)에 이재되거나, 혹은 직접 포드오프너(121)에 반송되어 재치대(122)에 이재된다. 이 때, 포드오프너(121)의 웨이퍼 반입 반출구(120)는 캡 착탈 기구(123)에 의해 닫혀져 있고, 이재실(124)에는 클린 에어(133)가 유통되어 충만되어 있다. 예를 들면, 이재실(124)에는 클린 에어(133)로서 질소 가스가 충만함으로써, 산소 농도가 20 ppm 이하로 광체(111)의 내부(대기 분위기)의 산소 농도보다 훨씬 더 낮게 설정되어 있다.
재치대(122)에 재치된 포드(110)는 그 개구측 단면이 서브 광체(119)의 정면벽(119a)에 있어서의 웨이퍼 반입 반출구(120)의 개구연변부에 눌려짐과 동시에, 그 캡이 캡 착탈 기구(123)에 의해 벗겨져 웨이퍼 출입구가 개방된다.
포드(110)가 포드오프너(121)에 의해 개방되면, 웨이퍼(200)는 포드(110)로부터 웨이퍼 이재 장치(125a)의 트위저(125c)에 의해 웨이퍼 출일구를 통해서 픽업되고, 노치 맞춤 장치(135)로 웨이퍼를 정합한 후, 이재실(124)의 후방에 있는 대 기부(126)에 반입되어 보트(217)에 장전(챠징)된다. 보트(217)에 웨이퍼(200)를 수수한 웨이퍼 이재 장치(125a)는 포드(110)로 돌아와, 다음의 웨이퍼(200)를 보트(217)에 장전한다.
상기 한쪽(상단 또는 하단)의 포드오프너(121)에 있어서의 웨이퍼 이재 기구(125)에 의한 웨이퍼의 보트(217)에의 장전 작업중에, 다른쪽(하단 또는 상단)의 포드오프너(121)에는 회전식 포드선반(105)로부터 다른 포드(110)가 포드 반송 장치(118)에 의해 반송되어 이재되고, 포드오프너(121)에 의한 포드(110)의 개방 작업이 동시 진행된다.
미리 지정된 매수의 웨이퍼(200)가 보트(217)에 장전되면, 노구 셔터(147)에 의해 닫혀져 있던 종형 처리로(202)의 하단부가, 노구 셔터(147)에 의해 개방된다. 이어서, 웨이퍼(200)군을 보지한 보트(217)는 씰 캡(219)이 보트 엘리베이터(115)에 의해 상승됨으로써 종형 처리로(202)내에 반입(로딩)되어 간다.
로딩 후에는, 종형 처리로(202)에서 웨이퍼(200)에 임의의 처리가 실시된다. 처리 후에는, 노치 맞춤 장치(135)에서의 웨이퍼의 정합 공정을 제외하고, 상술한 반대의 순서로, 웨이퍼(200) 및 포드(110)는 광체(111)의 외부로 반출된다.
다음으로, 상술한 종형 처리로(202)의 상세에 대하여 설명하고, 또한 히터 유니트 (206)로부터 반응용기(207)를 착탈하는 착탈 장치에 대해 설명한다.
[처리로]
상술한 처리로에 대해 상세하게 설명한다.
도 1은 본 발명의 실시의 형태에 적합하게 이용되는 처리 장치인 종형 처리 로(202)의 개략 구성도이며, 종단면도로서 나타나고 있다.
도 1에 도시한 바와 같이, 종형 처리로(202)는 가열 장치로서의 히터를 가지는 히터 유니트(206)를 갖춘다. 히터 유니트(206)는 유천원통형상(천정이 있는 원통형상)을 하고 있고, 보지판으로서의 히터 베이스(251)에 지지됨으로써 수직으로 설치되어 있다.
히터 유니트(206)는, 유천원통형상의 단열 부재(271), 상기 단열 부재(271)를 덮는 금속판의 히터 커버(272) 및 상기 단열 부재(271)의 내면을 따라 입설된 발열선 지지기둥 (273), 상기 발열선 지지기둥(273)에 의해 지지되는 코일 형태로 성형된 히터로서의 발열선(274)으로 주로 구성되어 있다.
히터 유니트(206)의 안쪽에는, 히터 유니트(206)와 동심원상으로 반응관(203)이 배설되어 있다. 반응관(203)은 내부 반응관으로서의 이너 튜브(204)와 그 바깥쪽에 설치된 외부 반응관으로서의 아우터 튜브(205)로 구성되어 있다.
이너 튜브(204)는, 이너 튜브(204)내에서 웨이퍼(200)를 처리할 때에, 상단 내(內)플랜지(286)의 윗면에 재치된다. 이 이너 튜브(204)는, 비금속이며 내열 내식성을 가지는 재료, 예를 들면 석영(SiO2) 또는 탄화 실리콘(SiC) 등의 내열성 재료로 구성된다. 상단 및 하단이 개구하고, 하단에 플랜지(281)를 가진 원통 형상에 형성되어 있다. 이너 튜브(204)의 중공부에는 처리실(201)이 형성되어 있고, 기판으로서의 웨이퍼(200)를 후술하는 보트(217)에 의해 수평 자세로 수직 방향으로 다단으로 정렬한 상태로 수용 가능하게 구성되어 있다.
아우터 튜브(205)는, 비금속이며 내열 내식성을 가지는 재료, 예를 들면 석 영 또는 탄화 실리콘 등의 내열성 재료로 구성되고, 내경이 이너 튜브(204)의 외경보다 크게 상단이 폐색하고 하단이 개구하고, 하단에 플랜지(283)를 가진 원통 형상으로 형성되어 있고, 이너 튜브(204)와 동심원상으로 설치되어 있다.
아우터 튜브(205)의 하부에는, 아우터 튜브(205)와 동심원상으로 연결되고, 반응관(203)의 하단을 보지하는 보지구로서의 인렛 매니폴드(inlet manifold)(209)가 배설되어 있다. 인렛 매니폴드(209)는, 내열 내식성을 가지는 재료, 예를 들면 스텐레스 등으로 되어 있고, 상단 및 하단이 개구하고, 상단에 외(外)플랜지(285) 및 내(內)플랜지(286)를, 하단에 플랜지(287)를 가진 원통 형상으로 형성되어 있다. 인렛 매니폴드(209)는, 내플랜지(286)가 이너 튜브(204)의 플랜지(281)에 계합하고 있고, 외플랜지(285)가 아우터 튜브(205)의 플랜지(283)에 계합하고 있고,, 이너 튜브(204), 아우터 튜브(205)를 각각 지지하도록 설치되어 있다.
한편 인렛 매니폴드(209)와 아우터 튜브(205)와의 사이에는 씰 부재로서의 도시하지 않는 O링이 설치되어 있다. 인렛 매니폴드(209)는 히터 베이스(251)에 지지된다. 인렛 매니폴드(209)가 히터 베이스(251)에 지지됨으로써, 반응관(203)은 수직으로 고정된 상태가 되어 있다.
인렛 매니폴드(209)에는 가스 도입부로서의 단수 또는 복수의 가스 공급관(230)이 처리실(201)내에 연통하도록 접속되어 있다. 가스 공급관(230)의 접속측의 반대측인 상류측에는, 가스 유량 제어기로서의 MFC(매스 플로우 콘트롤러)(241)를 개재하여 도시하지 않는 처리 가스 공급원이나 불활성 가스 공급원이 접속되어 있다. MFC(241)에는, 가스 유량 제어부(235)가 전기적으로 접속되어 있고, 공급하는 가스의 유량이 원하는 양이 되도록 원하는 타이밍으로 제어하도록 구성되어 있다.
인렛 매니폴드(209)의 하부에는, 인렛 매니폴드(209)의 하단 개구를 기밀하게 폐색 가능한 개체로서의 씰 캡(219)이 설치되어 있다. 씰 캡(219)은 인렛 매니폴드(209)의 하단에 수직 방향 아래 쪽으로부터 당접되게 되어 있다. 씰 캡(219)은 예를 들면 스텐레스등의 금속으로 되어 있고, 원반상으로 형성되어 있다. 씰 캡(219)의 윗면에는 인렛 매니폴드(209)의 하단과 당접하는 씰 부재로서의 O링(289)이 설치된다. 씰 캡(219)의 처리실(201)과 반대 측에는, 보트(217)를 회전시키는 회전 기구(254)가 설치되어 있다. 회전 기구(254)의 회전축(255)은 씰 캡(219)을 관통해, 후술하는 보트(217)에 접속되어 있고, 보트(217)를 회전시킴으로써 웨이퍼(200)를 회전시키도록 구성되어 있다. 씰 캡(219)은 반응관(203)의 외부에 수직으로 설비된 승강기구로서의 보트 엘리베이터(115)에 의해 수직 방향으로 승강되도록 구성되어 있고, 이것에 의해 보트(217)를 처리실(201)에 대해 반입 반출하는 것이 가능하도록 되어 있다. 회전 기구(254) 및 보트 엘리베이터(115)에는, 구동 제어부(237)가 전기적으로 접속되어 있고, 원하는 동작을 하도록 원하는 타이밍에서 제어하도록 구성되어 있다.
히터 유니트(206)와 씰 캡(219)과의 사이에 위치하는 반응용기(207)의 측벽, 예를 들면 아우터 튜브(205)의 측벽에, 반응용기(207)내를 배기하는 배기관(231)이 일체 성형되어 있다. 본 실시의 형태에는, 복수의 배기관(231)은, 원통직관(直管)형상을 하고 있고, 히터 유니트(206)의 하단에서 외부로 노출되어 있는 아우터 튜 브(205)의 하부에 일체적으로 설치되어 있다. 배기관(231)의 방향은, 광체(111)의 일측면에 접하는 메인트넌스 영역(210)측을 향하고 있다(도 4 참조).
상술한 반응관(203)과 인렛 매니폴드(209)에 의해 반응용기(207)가 형성된다.
도 1에 도시한 바와 같이, 배기관(231)의 하류 측에는 압력 검출기로서의 압력 센서(245) 및 압력 조정 장치(242)를 개재하여 진공 펌프 등의 진공 배기 장치(246)가 접속되어 있고, 처리실(201)내의 압력이 소정의 압력(진공도)이 되도록 진공 배기할 수 있도록구성되어 있다. 압력 조정 장치(242) 및 압력 센서(245)에는, 압력 제어부(236)가 전기적으로 접속되어 있고, 압력 제어부(236)는 압력 센서(245)에 의해 검출된 압력에 근거해 압력 조정 장치(242)에 의해 처리실(201)내의 압력이 원하는 압력이 되도록 원하는 타이밍에서 제어하도록 구성되어 있다.
기판 보지구로서의 보트(217)는, 예를 들면 석영이나 탄화규소등의 내열성 재료로 되어 있고, 복수매의 웨이퍼(200)를 수평 자세로 서로 중심을 가지런히 한 상태로 정렬시켜 다단으로 유지하도록 구성되어 있다. 한편, 보트(217)의 하부에는, 예를 들면 석영이나 탄화규소등의 내열성 재료로 되는 원판 형상을 한 단열 부재로서의 단열판(216)이 수평 자세로 다단으로 복수매 배치되어 있고, 히터 유니트(206)로부터의 열이 인렛 매니폴드(209) 측에 전해지기 어렵도록 구성되어 있다.
반응관(203)내에는, 온도 검출기로서의 온도 센서(263)가 설치되어 있다. 히터 유니트(206)의 특히 발열선(274)과 온도 센서(263)에는, 전기적으로 온도 제어 부(238)가 접속되어 있고, 온도 센서(263)에 의해 검출된 온도 정보에 근거해 히터 유니트(206)로의 통전(通電) 상태를 조정함으로써 처리실(201)내의 온도가 원하는 온도 분포가 되도록 원하는 타이밍에서 제어하도록 구성되어 있다.
가스 유량 제어부(235), 압력 제어부(236), 구동 제어부(237), 온도 제어부(238)는, 조작부, 입출력부도 구성하고, 기판처리장치 전체를 제어하는 주제어부(239)에 전기적으로 접속되어 있다. 이들 가스 유량 제어부(235), 압력 제어부(236), 구동 제어부(237), 온도 제어부(238), 주제어부(239)는 콘트롤러(240)로서 구성되어 있다.
다음에, 상기 구성에 따른 종형 처리로(202)를 이용한 반도체 디바이스의 제조 공정의 한 공정으로서 CVD법에 의해 웨이퍼(200)상에 박막을 형성하는 방법에 대해 설명한다. 한편, 이하의 설명에 있어서, 기판처리장치로서의 처리 장치를 구성하는 각부의 동작은 콘트롤러(240)에 의해 제어된다.
복수매의 웨이퍼(200)가 보트(217)에 장전(웨이퍼 챠지) 되면, 도 1에 도시한 바와 같이, 복수매의 웨이퍼(200)를 보지한 보트(217)는, 보트 엘리베이터(115)에 의해 들어 올려져 처리실(201)에 반입(보트 로딩)된다. 이 상태에서, 씰 캡(219)은 O링(289)을 개재하여 인렛 매니폴드(209)의 하단을 밀봉한 상태가 된다.
처리실(201)내가 원하는 압력(진공도)이 되도록 진공 배기 장치(246)에 의해 진공 배기된다. 이 때, 처리실(201)내의 압력은, 압력 센서(245)로 측정되고, 이 측정된 압력에 근거해 압력 조정 장치(242)가 피드백 제어된다. 또한, 처리실(201)내가 원하는 온도가 되도록 히터 유니트(206)에 의해 가열된다. 이 때, 처리실(201)내가 원하는 온도 분포가 되도록, 온도 센서(263)가 검출한 온도 정보에 근거해 히터 유니트(206)에의 통전 상태가 피드백 제어된다. 이어서, 회전 기구(254)에 의해, 보트(217)가 회전됨으로써, 웨이퍼(200)가 회전된다.
그 다음에, 처리 가스 공급원으로부터 공급되고 MFC(241)로 원하는 유량이 되도록 제어된 가스는, 가스 공급관(230)으로부터 처리실(201)내에 도입된다. 도입된 가스는 처리실(201)내를 상승해, 이너 튜브(204)의 상단 개구로부터 통상 공간(250)으로 유통되고 배기관(231)으로부터 배기된다. 가스는 처리실(201)내를 통과할 때에 웨이퍼(200)의 윗면과 접촉하고, 이 때의 열CVD 반응에 의해 웨이퍼(200)의 윗면상에 박막이 퇴적(디포지션)된다.
미리 설정된 처리 시간이 경과하면, 불활성 가스 공급원으로부터 가스 공급관(230)을 개재하여 불활성 가스가 공급되고, 처리실(201)내가 불활성 가스로 치환되는 것과 동시에, 처리실(201)내의 압력이 상압으로 복귀된다.
그 후, 보트 엘리베이터(115)에 의해 씰 캡(219)이 하강되고, 인렛 매니폴드(209)의 하단이 개구되는 것과 동시에, 처리가 끝난 웨이퍼(200)가 보트(217)에 보지된 상태로 인렛 매니폴드(209)의 하단으로부터 반응관(203)의 외부로 반출(보트 언로딩)된다. 그 후, 처리가 끝난 웨이퍼(200)는 보트(217)로부터 꺼내진다(웨이퍼 디스챠지).
[착탈 장치]
그런데, 이러한 종형 처리로(202)를 조립하려면, 종형 처리로(202)의 하부에 인접해 마련된 예비실로서의 이재실(124)을 이용해, 종형 처리로(202)로의 반응용 기(207)의 삽탈을 실시할 필요가 있다. 종형 처리로(202)에의 반응용기(207)의 착탈은, 대차, 보트 엘리베이터(115) 및 씰 캡(219)에 재치되는 착탈 치구를 이용해 행해진다.
우선, 광체(111)의 메인트넌스 영역(210) 회전을 설명하고, 다음으로 반응용기 착탈의 개략적인 설명을 한다. 또한, 착탈 치구의 상세한 설명을 실시한다.
도 4에 도시한 바와 같이, 종형 처리로(202) 중, 특히 반응용기(207)의 교환 작업이 적당한 간격으로 필요하게 된다. 종형 처리로(202)의 교환 작업을 실시하는 경우는, 보트 엘리베이터(115)를 이용해 반응용기(207)를 승강시킴으로써, 장치의 배면 하부의 이재실(124)을 통해 반응용기(207)의 교환을 실시한다. 이렇게 함으로써, 대차(500)를 이용해 반응용기(207)의 교환 작업을 실시할 수가 있다. 한편, 반응용기(207)의 교환 작업에는, 반응용기(207) 자체를 새롭게 교환하는 작업에 한정하지 않고, 반응용기(207)에 부착한 반응 생성물을 종형 처리로(202) 바깥에서 습식 세정하고, 반응 생성물이 제거된 반응용기를 다시 설비하는 작업도 포함된다.
처리 장치는, 종형 처리로(202)의 하부 측에 연설되는 예비실로서의 이재실(124)과 이재실(124)의 일측면으로 개구되는 메인트넌스 개구부(278)를 가진다. 메인트넌스 개구부(278)는 문(279)을 구비하고, 문(279)을 여는 것으로써, 이재실(124)의 일측면에 인접하는 메인트넌스 영역(210)로 연통 가능하게 설치된다. 또한, 이 메인트넌스 개구부(278)는, 반응용기(207)의 지지부인 내플랜지(286) 및 착탈 치구(400)가 반응용기(207)를 지지한 상태에서, 이재실(124)과 메인트넌스 영역(210)과의 사이에서 수평 이동 가능하게 하고 있다. 한편, 메인트넌스 개구부(278)는, 반응용기(207)의 하단인 하단 외플랜지(287) 및 착탈 치구(400)가 반응용기(207)를 지지한 상태로는, 이재실(124)과 메인트넌스 영역(210)과의 사이에서, 수평 이동할 수 없도록 개구되어 있다.
[반응용기 착탈의 개략 설명]
상술한 보트 엘리베이터(115), 대차(500) 및 착탈 치구(400)를 이용해 실시하는 종형 처리로(202)에의 반응용기(207)의 착탈 방법(주로 장착 방법)의 개략 설명을 실시한다.
도 5는 반응용기(207) 중 아우터 튜브(205) 및 인렛 매니폴드(209)를 접합한 반응용기 본체(208)의 조립순서를 나타내는 개략 설명도, 도 6은 이너 튜브(204)의 조립순서를 나타내는 개략 설명도이다.
먼저 도 5를 이용해 반응용기 본체(208) 조립 순서에 대해 설명한다. 여기서, 착탈 치구(400)는, 씰 캡(219)에 재치되는 공통 치구용 어댑터(401) 및 공통 치구(402)와 대차(500)상에 설치되는 슬라이드 암(505)으로부터 씰 캡(219)에 이재되는 아우터 치구(403)로 구성된다.
(a) 광체(111)의 일측면에 면하는 메인트넌스 영역(210)측의 메인트넌스 개구부(278)의 문(279)을 연다. 보트 엘리베이터(115)를 최하 위치까지 강하시킨다(도 4 참조). 보트 엘리베이터(115)에 재치되는 씰 캡(219)상에, 착탈 치구로서의 공통 치구용 어댑터(401)를 고정하고, 이 공통 치구용 어댑터(401)에 공통 치구(402)를 감합한다. 대차(500)(도 4 참조)의 슬라이드 암(505)을 메인트넌스 영역(210)로 후퇴시킨 위치에서, 슬라이드 암(505)에 착탈 치구로서의 아우터 치구(403)를 재치한다.
(b) 그리고 아우터 치구(403)상에, 아우터 튜브(205) 및 인렛 매니폴드(209)를 접합한 반응용기 본체(208)를 입설한다. 반응용기 본체(208)를 아우터 치구(403)를 개재하여 입설한 슬라이드 암(505)을, 대차(500)의 핸들(501)을 가지고, 메인트넌스 개구부(278)를 통하여, 히터 유니트(206)의 하부까지 전진시켜, 반응용기 본체(208)의 중심을 히터 유니트(206)의 축심에 맞춘다.
(c) 보트 엘리베이터(115)에 의해 씰 캡(219)을 개재하여 공통 치구용 어댑터(401)에 감합하고 있는 공통 치구(402)를 상승시켜, 공통 치구(402)상에 아우터 치구(403)를 수재 한다.
(d) 아우터 치구(403)가 슬라이드 암(505)으로부터 이반(離反)하는 위치까지 상승시키고, 상기 슬라이드 암(505)을 후퇴 시킨다.
(e) 또한, 공통 치구(402)를 상승시켜, 아우터 튜브(205)를 히터 유니트(206)내에 삽입한다. 인렛 매니폴드(209)를 히터 베이스(251)에 고착하고, 반응용기 본체(208)를 히터 유니트(206)에 장착한다.
(f) 씰 캡(219)을 최하 위치까지 강하시켜, 공통 치구(402)상에 수재한 아우터 치구(403)를 제거한다.
이것에 의해 히터 유니트(206)에의 반응용기 본체(208)의 조립이 끝난다.
반응용기 본체(208)의 해체는 전술한 장착과는 반대의 순서로 행해진다.
다음에, 이너 튜브(17)의 조립에 대해 도 6에 의해 설명한다. 여기서, 착탈 치구(400)는, 씰 캡(219)에 재치되는 공통 치구용 어댑터(401) 및 공통 치구(402) 와 대차(500)상에 설치되는 슬라이드 암(505)으로부터 씰 캡(219)에 이재되는 이너 치구(404)로 구성된다.
(a) 슬라이드 암(505)상에 착탈 치구로서의 이너 치구(404)를 재치한다.
(b) 슬라이드 암(505)상에 이너 치구(404)를 개재하여 이너 튜브(204)를 입설한다.
(c) 이너 튜브(204)를 이너 치구(404)를 개재하여 입설한 슬라이드 암(505)을 전진시켜, 슬라이드 암(505)을 히터 유니트(206)의 하부까지 전진시키고, 이너 튜브(204)의 중심을 히터 유니트(206)의 축심에 맞춘다. 이 때, 인렛 매니폴드(209)의 내플랜지에 마련한 절결부(후술)와 이너 튜브(204)의 플랜지(281)에 마련한 구부(駒部)(후술)가 겹치도록 상하 위치를 맞춘다.
(d) 보트 엘리베이터(115)에 의해 씰 캡(219)을 개재하여 공통 치구용 어댑터(401)에 감합되어 있는 공통 치구(402)를 상승시켜, 공통 치구(402)상에 이너 치구(404)를 수재한다. 이너 치구(404)가 슬라이드 암(505)으로부터 이반하는 위치까지 상승시키고, 상기 슬라이드 암(505)을 후퇴시킨다.
(e) 그리고, 공통 치구(402)를 상승시켜, 이너 튜브(204)를 반응용기 본체(208)내에 삽입해, 이너 튜브(204)의 하단에 있는 플랜지(281)를 인렛 매니폴드(209)의 내플랜지(286)(도 1 참조)보다 높은 위치로 가져온다. 이너 튜브(204)를 회전해, 상기 구부의 위치를 절결부와 어긋나는 위치로 한다. 씰 캡(219)을 강하하면, 내플랜지(286)상에 이너 튜브(204)가 재치되게 된다. 이것에 의해 반응용기 본체(208)기 종형 처리로(202)에 장착된다.
(f) 씰 캡(219)을 최하 위치까지 강하시켜, 공통 치구(402)상에 수재한 이너 치구(404)를 제거한다.
이것에 의해 히터 유니트(206)에의 반응용기 본체(208)의 장착이 끝난다.
이너 튜브(204)의 해체는 전술한 장착과는 반대의 순서로 행해진다.
이와 같이 하여, 반응용기 본체{아우터 튜브(205)+인렛 매니폴드(209)}(208), 이너 튜브(204)는 각각 개별적으로 착탈이 가능하고, 착탈 작업시에 반응용기 본체(208), 이너 튜브(204)는, 대차(500), 보트 엘리베이터(115)에 의해 지지를 받으므로, 작업자는 히터 베이스(251)에의 볼트의 착탈만을 실시하면 되어 작업이 현저하게 간략화되고, 종형 처리로 외에서의 반응용기(207)와 이너 튜브(204)와의 조립해체작업이 없어지므로 착탈 작업 순서가 간략화됨과 동시에, 중량물의 지지를 실시할 필요가 없게 안전성이 향상해, 일인작업이 가능해져 노동력을 절감할 수 있다.
[반응용기 착탈의 상세 설명]
우선, 착탈 치구의 설명을 실시한 후, 착탈 방법을 설명한다. 착탈 치구(400)는 대차(500)와 보트 엘리베이터(115)에 수재된다. 대차(500)의 슬라이드 암(505)상에는 아우터 치구(403) 또는 이너 치구(404)가 착탈 치구 A로서 재치된다. 보트 엘리베이터(115)의 씰 캡(219)상에는, 공통 치구(402)가 착탈 치구 B로서 재치된다.
이미 인렛 매니폴드(209)에 대해서는 개략을 설명했지만, 여기에는, 반응용기(207) 착탈의 관점에서 인렛 매니폴드(209)를 더 상세하게 설명한다.
도 7은 인렛 매니폴드(209)의 상세 설명도이며, (a)는 사시도, (b)는 평면도, (c)는 c-c단면도, (d)는(c)의 주요부 확대 단면도이다.
도 7에 도시한 바와 같이, 실시의 형태의 인렛 매니폴드(209)는 편평한 원통 형상을 하고 있다. 인렛 매니폴드(209)의 상단에는 바깥쪽으로 연장한 상단의 외플랜지(285)와 안쪽으로 연장한 내플랜지(286)가 일체로 형성되어 있다. 본 실시의 형태에 의하면, 내플랜지(286)는, 상단의 외플랜지(285)와 실질적으로 동일한 면높이에 설치된 상단 내플랜지일 수도 있고, 상단 내플랜지보다 낮은 높이에 설치된 중단 내플랜지일 수도 있다. 또한, 인렛 매니폴드(209)의 하단에는 바깥쪽으로 연장하는 하단의 외플랜지(287)가 일체로 형성되어 있다.
내플랜지(286)는, 인렛 매니폴드(209)의 안쪽벽에, 인렛 매니폴드(209)의 하단보다 윗쪽 에 설치되어, 인렛 매니폴드(209)를 히터 유니트(206)내로부터 착탈할 때에 아우터 치구(403)의 윗면과 맞닿도록 되어 있다.
내플랜지(286)에는, 반응용기 본체(208)를 히터 유니트(206)내로부터 착탈하는 경우에 있어서, 아우터 치구(403)에 맞닿을 때, 반응용기 본체(208)의 중량이 가중되게 되어 있다. 따라서 내플랜지(286)는, 그 반응용기 본체(208)의 하중을 견디는 강도를 가지도록 구성된다.
인렛 매니폴드(209)에는, 상하단의 외플랜지(285), (287)간의 통상(筒狀)부에 복수의 가스 공급관(230)을 부착하기 위한 취부구멍(도시하지 않음)이 설치되어 있다.
인렛 매니폴드(209)에는, 지름 방향 바깥쪽으로 돌출된 고정용의 취부편(288)이 원주방향으로 등간격으로 설치되어 있다. 고정용의 취부편(288)에는 볼트 삽통공(277)이 형성되고, 볼트 삽통공(277)에 삽입한 볼트를 히터 베이스(251)에 돌려 넣어, 인렛 매니폴드(209)를 히터 베이스(251)에 고정하는 것이 가능하게 되어 있다.
취부편(288)은, 그 일단이 하단 외플랜지(287)의 안쪽에 고착되고 상하단 외플랜지(285), (287)간으로부터 비스듬히 위쪽으로 돌출되고, 그 외단이 상단 외플랜지(285)와 동일한 면이 되도록 연장하고 있다. 그 연장부에는, 상술한 볼트 삽통공(277)이 설치되어 있다.
인렛 매니폴드(209)의 상단 외플랜지(285)상에는 아우터 튜브(205)가 재치된다. 상단 내플랜지(286)상에는 이너 튜브(204)가 재치된다. 상단 외플랜지(285)의 윗면에는, 아우터 튜브(205)와 인렛 매니폴드(209)사이를 밀봉하기 위한 O링 수납용의 오목부(凹溝)(282)가 설치되어 있다.
내플랜지(286)에는, 지름 방향 바깥쪽으로 절결한 이너 튜브(204)의 구부 삽입용의 절결부(298)가 원주방향으로 등간격으로 설치되어 있다. 또한, 내플랜지(286)에는, 이너 치구(404)의 위치 결정 핀 삽입용 절결부(299)가 원주방향으로 등간격으로 설치되어 있다.
또한, 내플랜지(286)에는 이너 튜브(204)의 안쪽에 오도록 배치되는 이너 튜브 탈락 방지용의 핀(296)이 입설되어 있다.
도 8에 도시한 바와 같이, 인렛 매니폴드(209)내에 삽입되는 이너 튜브(204)의 플랜지(281)의 외주 주위의 원주를 3등분한 위치에 지름 방향 바깥쪽으로 구부(292)가 적어도 3개소에 돌설되어 있다. 플랜지(281)의 외경은 내플랜지(286)의 내경보다 작고, 구부(292)의 내경은 플랜지(281)의 외경과 동일하다. 플랜지(281)에는 후술하는 세트 핀(511)과 감합 가능한 핀 구멍(291)이 뚫려 있다.
내플랜지(286)에는, 상술한 것처럼 구부(292)가 통과 가능한 절결부(298)가 적어도 3개소에 설치되고, 상기 절결부(298)에 구부(292)가 합치한 상태로 이너 튜브(204)의 플랜지(281)가 내플랜지(286)를 통과 가능하도록 되어 있다.
이너 튜브(204)의 조립은, 아우터 튜브(205)의 조립 후 행해진다. 이너 튜브(204)의 플랜지(281)에 이너 튜브(204)를 재치하고, 구부(292)를 절결부(298)에 위치 맞춤하여 아우터 튜브(205)의 하부에서 이너 튜브(204)를 삽입하고, 구부(292)가 절결부(298)를 통과한 상태에서 이너 튜브(204)의 플랜지(281)를 회전하고, 구부(292)와 절결부(298)의 위치를 비켜 놓아 이너 튜브(204)의 플랜지(281)를 내플랜지(286)에 재치한다. 이너 튜브(204)의 플랜지(281)는 구부(292)를 개재하여 내플랜지(286)에 승재하고, 이너 튜브(204)는 이너 튜브(204)의 플랜지(281)를 개재하여 내플랜지(286)에 입설한다.
도 9는 본 실시의 형태의 아우터 치구(403)의 설명도이며, (a)는 슬라이드 암(505) 및 아우터 치구(403)와의 관계를 나타내는 사시도, (b)는 아우터 치구(403)의 분해 사시도, (c)는 이면측에서 본 사시도, (d)는 단면도, (e)는 아우터 치구(403)를 감합시켰을 때의 확대 단면도이다. 아우터 치구(403)은, 반응용기 본체(208)를 입설한 채로 슬라이드 암(505)으로부터 씰 캡(219)에 이재하는 착탈 치구이다. 이 아우터 치구(403)는, 슬라이드 암(505)을 구성하는 2개의 발톱(506) 위에 재치되는 원판상의 아우터 어태치먼트(411)와, 아우터 어태치먼트(411)의 윗면의 상기 내플랜지(286)와의 접촉 부분을 완충하는 완충부(412)로 구성된다. 이 완충부(412)는, 본 실시의 형태에서는, 아우터 어태치먼트(411)의 윗면 외주에 설치되는 링 상태의 쿠션으로 구성되는 경우도 있다.
상술한 슬라이드 암(505)을 구성하는 2개의 발톱(506)에는 핀 구멍(507)이 설치되어 이 핀 구멍(507)에 세트 핀(508)이 세트되도록 되어 있다.
아우터 어태치먼트(411)는, 그 중앙에 관통공(413)이 설치되어 있다. 아우터 어태치먼트(411) 하면측의 관통공(413)의 외주에는, 공통 치구(402)의 정수리부에 형성한 테이퍼 형상의 오목부(441)와 계합하는 테이퍼 형상의 볼록부(凸溝)(414)가 형성되어 있다.
또한, 아우터 어태치먼트(411)는, 그 하면에, 구부(415)가 설치되어 있다. 이 구부(415)는, 공통 치구(402)의 폭을 가지고, 아우터 어태치먼트(411)의 측면의 일방향으로부터 공통 치구(402)를 착탈할 수 있도록 일측면이 개구하고 있다.
또한, 착탈 치구에 형성되고 있는 원판부로서의 아우터 어태치먼트(411)는, 그 상면 외주에 완충부(412)와 감합시키기 위한 위치 결정 핀(416)이 설치되어 있다. 또한, 이 위치결정 핀(416)은, 아우터 치구(403)의 윗면으로부터 돌출되어, 인렛 매니폴드(209)의 위치 결정 핀 삽입용 절결부(299)와 계합하게 되어 있다. 또한, 아우터 어태치먼트(411)에는, 슬라이드 암(505)과의 위치 맞춤용의 관통공(417)이 설치되어 있다. 이 관통공(417)에는, 슬라이드 암(505)세트 핀(508)이 계합하게 되어 있다.
특히 도 9(e)에 도시한 바와 같이, 착탈 치구로서의 아우터 치구(403)는, 적어도 지지부로서의 내플랜지(286)와 맞닿는 개소의 수직 방향의 두께(T)가, 반응용기(207)로서의 인렛 매니폴드(209)의 하단과 내플랜지(286)의 하단과의 수직 방향의 길이(L)보다 두껍도록 구성되어 있다.
또한, 착탈 치구의 원판부로서의 아우터 치구(403)는, 그 외경(R2)이, 인렛 매니폴드(209)의 내플랜지(286)보다 아래쪽의 내경(R3)보다 작고, 내플랜지(286)의 선단이 그리는 내경(R1)보다 큰 외경으로 되어 있다.
이것에 의해, 반응용기(207)의 일부로서의 인렛 매니폴드(209)의 하단인 외플랜지(287)에는, 반응용기 본체(208)를 히터 유니트(206)내로부터 착탈할 때에, 반응용기 본체(208)로서의 아우터 튜브(205) 및 인렛 매니폴드(207)의 중량이 가중되지 않게 되어 있다.
즉, 보지구로서의 인렛 매니폴드(209)의 하단은, 아우터 튜브(205)를 히터 유니트(206)내로부터 착탈할 때에, 아우터 치구(403)와 비접촉하게 되어 있다.
원판부로서의 아우터 어태치먼트(411)는 금속제면 좋고, 바람직하게는 경량으로 작업성이 좋은 알루미늄 합금에 의해 형성하면 좋다. 완충부(412)는 수지재등의 쿠션으로 구성하면 좋고, 바람직하게는 그 쿠션은 내마모성이 뛰어난 불소계 수지재에 의해 형성하면 좋다.
도 10은 본 실시 형태의 이너 치구(404)의 설명도이며, (a)는 슬라이드 암(505) 및 이너 치구(404)와의 관계를 나타내는 사시도, (b)는 이너 치구(404)의 평면도, (c)는 c-c단면도, (d)는 d-d단면도, (e)는 이너 치구(404)의 분해 사시 도를 나타낸다.
이너 치구(404)는, 이너 튜브(204)를 입설한 채로 슬라이드 암(505)으로부터 씰 캡(219)으로 이재하는 착탈 치구이다. 이 이너 치구(404)는, 원판상을 한 취부좌(取付座)(420), 링(421), 회전좌(422)로 구성된다. 취부좌(420)는, 슬라이드 암(505)을 구성하는 2개의 발톱(506) 위에 재치된다. 링(421)은, 이 취부좌(420)의 윗면에 형성한 오목부(423)에 감합되고 볼트로 체결된다. 회전좌(422)는, 취부좌(420)와 비접촉이고, 링(421)상에 회전 자재로 설치된다. 회전좌(422)의 윗면 외주에 가이드 링(424)이 설치되고, 가이드 링(424)상에 이너 튜브(204)를 재치하게 되어 있다. 또한, 취부좌(420)에는 2개의 발톱(506)에 설치되어 있는 핀 구멍(507)과 함께, 세트 핀(508)이 감합하도록 위치 결정구멍(425)이 뚫려 있다.
회전좌(422)는 중앙에 감합부(426)가 돌설 되어 있다. 감합부(426)의 중앙부에는 감합부(426)로 동심으로 조심혈(調芯穴)(427)이 뚫려 있다. 감합부(426)의 조심혈(427)측인 내측하각부는 면취가공이 이루어져 있다. 회전좌(422)의 감합부(426)를 둘러싸는 원환부(428)에는 원주를 3등분한 위치에, 이너 튜브(204)의 플랜지(281)에 마련된 핀 구멍(291)에 감합시키는 세트 핀(511)이 돌설되어 있다.
회전좌(422)의 외주에 세트 레버(429)가 고착되어 있다. 이 세트 레버(429)는, 고착부에 형성한 수평부에 한층 더 아래쪽으로 굴곡 형성한 연장부를 가지고 있다. 또한, 취부좌(420)의 외주에는, 2개의 위치 결정 핀(430)이 소정 각도로 떨어져 지름 방향 바깥쪽으로 돌설되어 있다. 이 2개의 위치 결정 핀(430)사이에, 연 장된 세트 레버(429)가 배치되도록, 회전좌(422)를 취부좌(420)에 재치한다. 이너 튜브(204)의 삽입 위치에는, 세트 레버(429)를 한쪽의 위치 결정 핀(430)에 누르고 이너 튜브(204)의 장착 위치에는, 세트 레버(429)를 다른쪽의 위치 결정 핀(430)에 누른다.
이너 튜브(204)의 조립은, 아우터 튜브(205)의 조립 후 행해진다. 회전좌(422)에 이너 튜브(204)를 재치하고, 이너 튜브 삽입 위치에는 구부(292)를 절결부(298)에 위치 맞춤 하고, 반응용기 본체(208)의 인렛 매니폴드(209)의 하부로부터 이너 튜브(204)를 삽입한다. 이너 튜브(204)의 구부(292)가 인렛 매니폴드(209)의 절결부(298)를 통과한 상태에서, 세트 레버(429)를 이용해 회전좌(422)를 회전하고, 이너 튜브 장착 위치에는, 구부(292)와 절결부(298)와의 위치를 비켜 놓고, 구부(292)를 내플랜지(286)에 재치한다. 이너 튜브(204)는 구부(292)를 개재하여 내플랜지(286)에 위치한다. 이 때 내플랜지(286)에 마련한 계지(係止)핀(296)이, 이너 튜브(204)의 안쪽에 오도록 함으로써 이너 튜브(204)를 내플랜지(286)로부터 어긋나지 않게 계지한다.
도 11은 본 실시 형태의 공통 치구(402)의 설명도이며, (a)는 공통 치구(402)와 씰 캡(219)과의 관계를 나타내는 사시도, (b)는 공통 치구(402)의 단면도를 나타낸다.
씰 캡(219)은, 인렛 매니폴드(209)의 축심 연장상의 암(128)에 설치된다. 암(128)은 승강 블록(129)에 고착되어 수평 방향으로 연장하고 있다. 승강 가능한 승강 블록(129)은, 종형 처리로(202)의 하부에 설치되는 보트 엘리베이터(115)에 설치된다.
이너 튜브(204), 아우터 튜브(205)의 착탈을 실시하는 경우는, 보트 엘리베이터(115)로부터 보트(217)를 떼어낸 상태에서 행해진다. 씰 캡(219)상에, 공통 치구용 어댑터(401)가 설치된다. 이 공통 치구용 어댑터(401)는, 그 상면에 위치 결정구멍(431)이 설치된다.
공통 치구(402)는, 아우터 치구(403)또는 이너 치구(404)를 교환 가능하게 싣는 것이 가능한 착탈 치구이며, 씰 캡(219)상에 마련한 공통 치구용 어댑터(401)에 감합된다. 이 공통 치구(402)는, 모자(hat)모양을 하고 있고, 유천통부(432)와 플랜지(433)를 가진다. 통부(432)내에는, 공통 치구용 어댑터(401)가 감합되는 공간(434)이 형성되어 있다. 또한, 통부(432)의 정수리부에는, 아우터 치구(403)의 하면 중앙부에 마련한 테이퍼 형상의 볼록부(414)와 계합하는 테이퍼 형상의 오목부(441)가 형성되어 있다. 이 테이퍼 형상의 오목부(441)에는 위치 결정 핀(435)이 돌설되고, 이 위치결정 핀(435)에는 공통 치구용 어댑터(401)의 위치 결정구멍(431)이 감합 가능하도록 되어 있다. 이 테이퍼상의 오목부(441)의 위치 결정 핀(435)이 위치 결정구멍(431)에 감합해, 보트 엘리베이터(115)에 대한 공통 치구(402)의 위치 관계가 결정된다.
이하, 반응용기 본체(208), 이너 튜브(204)의 조립 순서에 대해 설명한다.
[반응용기 본체의 조립]
먼저 아우터 튜브(205)의 장착(조립)에 대해 도 12, 도 13, 도 14, 도 15 및 도 16을 이용해 설명한다. 도 12는, 반응용기 본체(208)를 조립 전의 각부품의 상 관관계를 나타내는 분해 사시도, 도 13은 인렛 매니폴드(209)에 아우터 치구(403)를 감합시킨 상태의 단면도, 도 14는 씰 캡(219)상의 공통 치구용 어댑터(401)에 공통 치구(402)를 감합시킨 상태의 단면도, 도 15는 씰 캡(219)을 상승시켜 아우터 치구(403)에 접근한 상태의 단면도, 도 16은 반응용기 본체(208)를 씰 캡(219)상에 이재한 상태와 반응용기 본체(208)를 히터 유니트(206)에 장착한 후 씰 캡(219)을 하강시키기 시작하는 상태의 두 상태를 겹쳐서 나타낸 정면도이다.
도 4에 도시한 바와 같이, 메인트넌스 측에 마련된 광체(111)의 메인트넌스 개구부(278)의 문(279)을 열고, 이재실(124)내의 씰 캡(219)은 강하한 상태로 한다. 또한, 슬라이드 암(505)은 광체(111) 밖의 메인트넌스 영역(210)에 대기한 대차(500)상에 세트한 상태로 한다. 도 12에 도시한 바와 같이, 슬라이드 암(505)상에 아우터 치구(403)를 재치하고, 그 아우터 치구(403)를 인렛 매니폴드(209)에 감합시켜 아우터 튜브(205){반응용기 본체(208)}를 입설한다. 씰 캡(219)상에는 공통 치구용 어댑터(401)를 취부하고, 그 공통 치구용 어댑터(401)에 공통 치구(402)를 감합한다.
인렛 매니폴드(209)와 아우터 치구(403)와의 감합은, 도 13에 도시한 바와 같이, 인렛 매니폴드(209)의 내플랜지(286)의 하면에, 아우터 치구(403)의 윗면이 맞닿는 형태로 행해진다. 또한, 공통 치구(402)와 공통 치구용 어댑터(401)와의 감합은, 도 14에 도시한 바와 같이, 공통 치구(402)에 공통 치구용 어댑터(401)가 감합하고, 공통 치구(402)의 테이퍼 형상의 오목부(441)에 돌설된 위치 결정 핀(435)에, 공통 치구용 어댑터(401)의 위치 결정구멍(431)이 감합되는 형태로 행해진다.
그리고, 이러한 감합 후에, 도 12에 도시한 바와 같이, 핸들(501)을 가지고 슬라이드 암(505)을 전진시켜, 광체(111)의 메인트넌스 개구부(278)로부터 이재실(124)내의 노 하부에 반응용기 본체(208)를 진입시킨다. 반응용기 본체(208)의 중심을 히터 유니트(206)의 축심에 맞춘다. 이 때, 도 15에 도시한 바와 같이, 씰 캡(219)상에 재치되고 있는 공통 치구(402)는, 슬라이드 암(505)으로 지지되고 있는 아우터 치구(403)의 바로 밑으로 기어들어간 형상이 된다.
도 16에 도시한 바와 같이, 씰 캡(219)을 개재하여 공통 치구(402)를 상승시켜 아우터 치구(403)에 맞추고, 더 상승시킴으로써, 씰 캡(219)으로 반응용기 본체(208)를 들어 올린다. 이것에 의해, 아우터 치구(403)를 개재하여 반응용기 본체(208)를 슬라이드 암(505)으로부터 씰 캡(219)상의 공통 치구(402)상에 이재시킨다. 세트 핀(508)이 상기 관통공(417)에 감합하고, 상기 보트 엘리베이터(115)에 대한 착탈 치구(400)의 위치 관계가 결정된다.
아우터 치구(403)를 슬라이드 암(505)으로부터 이반하는 위치까지 상승시키고, 슬라이드 암(505)을 후퇴시킨다. 또한, 일점 쇄선으로 가리키는 위치까지 씰 캡(219)을 상승시키고, 아우터 튜브(205)를 히터 유니트(206)내에 삽입한다. 그리고, 도 1에 나타내는 인렛 매니폴드(209)의 위치에서, 인렛 매니폴드(209)에 마련한 고정용의 취부편(288)의 볼트 삽통공(277)에 볼트(252)를 돌려 넣고, 인렛 매니폴드(209)를 히터 베이스(251)에 고착한다.
도 16으로 돌아와, 히터 유니트(206)에 반응용기 본체(208)를 남기고, 공통 치구(402)에 아우터 치구(403)를 실은 씰 캡(219)을 대기 위치까지 강하시킨다. 아 우터 치구(403)를 공통 치구(402)로부터 떼어낸다.
[이너 튜브(204)의 조립]
다음에 이너 튜브(204)의 조립에 대해 도 17, 도 18, 도 19를 이용해 설명한다.
도 17은 이너 튜브(204)의 조립 설명도, 도 18은 이너 튜브(204)와 이너 치구(404)와의 감합 상태의 설명도, 도 19는 이너 튜브(204)를 씰 캡(219)상에 이재한 상태와 이너 튜브(204)를 반응용기 본체(208)에 삽입한 상태의 두 상태를 겹쳐서 나타내는 정면도이다.
우선, 도 17에 도시한 바와 같이, 대기 위치에 있는 슬라이드 암(505)상에 이너 치구(404)를 감합시킴과 동시에, 슬라이드 암(505)의 핀 구멍(507)을 개재하여 윗쪽으로 돌출된 세트 핀(508)을 이너 치구(404)의 위치 결정구멍(425)에 감합시킨다.
도 18에 도시한 바와 같이, 이너 치구(404)에 이너 튜브(204)를 입설한다. 이 입설은, 이너 치구(404)의 회전좌(422)의 감합부(426)에 이너 튜브(204)를 감합시키고, 감합부(426)를 둘러싸는 원환부(428)에 돌설한 세트핀(511){도 10(d) 참조}이, 이너 튜브(204)의 플랜지(281)에 마련된 핀구멍(291)(도 8 참조)에 계합하는 형태로 행해진다. 이너 치구(404)의 취부좌(420)가, 슬라이드 암(505)으로 지지되고 세트 핀(508)을 구멍(425)에 계합해 이너 튜브(204)를 이너 치구(404)상에 위치 결정한다.
슬라이드 암(505)을 전진시켜, 광체(111)의 메인트넌스 개구부(278)로부터 이재실(124)내의 노 하부에 이너 튜브(204)를 진입시킨다. 이너 치구(404)의 감합부(426)의 중심을 히터 유니트(206)의 축심에 맞춘다.
도 19에 도시한 바와 같이, 승강 블록(129), 씰 캡(219)을 개재하여 이너 치구(404)를 상승시켜, 슬라이드 암(505)으로부터 씰 캡(219)상에 이너 치구(404)를 이재한다.
이너 치구(404)가 슬라이드 암(505)으로부터 이반하는 위치까지 상승하면, 슬라이드 암(505)을 후퇴시킨다. 또한, 씰 캡(219)을 2점 쇄선으로 나타내는 높이까지 상승시키고, 이너 튜브(204)를 히터 유니트(206)내에 삽입한다. 이너 튜브(204)의 플랜지(281)의 구부(292)와 절결부(298)를 통과해, 씰 캡(219)을 회전좌(422)가 내플랜지(286)보다 위쪽 위치가 될 때까지 상승시킨다. 세트 레버(429)에 의해 회전좌(422)를 회전시켜, 구부(292)가 절결부(298)에서 어긋나는 위치로 한다.
도 19의 2점 쇄선으로 가리키는 바와 같이, 씰 캡(219)을 강하시킨다. 구부(292)가 인렛 매니폴드(209)의 상단 내플랜지(286)상에 재치된다. 씰 캡(219)을 강하시킨다. 이너 치구(404)를 씰 캡(219)에서 떼어낸다. 메인트넌스 개구부(278)의 문(279)을 닫아 이너 튜브(204)의 설치를 완료한다. 이것에 의해, 반응용기 본체(208), 이너 튜브(204)의 조립이 완료한다.
한편 이너 튜브(204), 반응용기 본체(208)의 해체에 대해서는, 상술한 설치 작업의 반대의 순서로 실시할 수 있다.
한편, 본 발명의 다른 형태에는, 이너 튜브, 아우터 튜브의 구성의 종형 반 응로에 한정하지 않고, 균열관, 반응관의 구성과 관련되는 종형 반응로에도 실시 가능함은 물론이다.
또한, 상술한 본 발명의 형태를, 착탈 치구, 착탈 장치라는 문언을 사용해, 반응용기를 가열 장치내에 장착할 경우에 적용되는 경우 및 반응용기를 가열 장치내로부터 해체할 때 적용되는 경우의 양쪽 모두를 포함한 경우를 설명 했지만, 본 발명은, 이것에 한정되지 않는다. 본 발명은, 반응용기를 가열 장치내에 장착할 때에만 적용되는 경우, 혹은 반응용기를 가열 장치내로부터 해체할 때에만 적용되는 경우의 어느 쪽에도 가능하다.
상술한 것처럼 본 실시의 형태에는, 아우터 튜브(205) 및 인렛 매니폴드(209)를 히터 유니트(206)의 안쪽으로부터 착탈할 때에, 인렛 매니폴드(209)의 하단에는 지지하지 않고, 인렛 매니폴드(209)의 내벽에 설치된 내플랜지(286)로 지지하도록 씰 캡(219)상에 착탈 치구(400)를 설치하도록 하고 있다.
따라서, 본 실시의 형태에 의하면, 아우터 튜브(205) 및 인렛 매니폴드(209)를 히터 유니트(206)의 안쪽으로부터 착탈할 때에, 내플랜지(286)로 지지하도록 착탈 치구(400)를 마련함으로써, 장치 높이를 바꾸는 일 없이, 또한, 아우터 튜브(205)의 사이즈를 바꾸는 일 없이, 아우터 튜브(205) 및 인렛 매니폴드(209)의 메인트넌스를 용이하게 실시할 수 있다.
이것을 종래 예의 지지 방법을 나타내는 도 22와 본 실시 형태의 지지 방법을 나타내는 도 15를 이용해 비교 설명한다.
아우터 튜브(205) 및 인렛 매니폴드(209)를 지지하는 방법으로서 본 실시의 형태와 같이, 인렛 매니폴드(209)의 내플랜지(286)로 지지하는 것이 아니라, 인렛 매니폴드(209)의 하단에서 지지하도록 해 승강시킬 수도 있지만, 다음과 같은 문제가 있다.
예를 들면, 도 22에 도시한 바와 같이, 노구 플랜지(309)의 하단을 지지하는 종래 예의 착탈 치구(300)를 이용해 아우터 튜브(305)로 노구 플랜지(309)를 함께 착탈하려고 하는 경우, 착탈 치구의 높이가 더해진 아우터 튜브(305)를 처리로 직하에서부터 수평 이동시켜 광체 배면에 있는 메인트넌스 개구부로부터 꺼내기 위해서는, 다음의 (1)~(3)의 어느 하나 또는 둘 이상의 대책을 세울 필요가 있다.
(1) 히터 유니트(306)의 수직 방향의 길이를 짧게 한다, 즉, 균열영역의 수직 방향의 길이를 짧게 한다.
(2) 종형 장치 전체의 수직 방향의 높이를 높게 한다.
(3) 메인트넌스 개구부의 상단보다 아우터 튜브(305)의 상단이 낮아지도록 아우터 튜브(305)의 수직 방향의 길이를 짧게 한다.
그러나, 히터 유니트(306)의 균열영역나, 아우터 튜브(305)등의 수직 방향의 길이를 짧게 하거나 하면, 한 번에 처리하는 웨이퍼 매수가 줄게 되어 스루풋(throughput)이 악화되게 된다. 또한, 종형 장치 전체의 수직 방향의 높이를 높게 하면, 종형 장치를 설치하는 클린 룸의 높이보다 커져 버리므로, 클린 룸에 설치할 수 없게 되어 버릴 우려가 생겨 버린다. 게다가 메인트넌스 개구부의 상단 바로 윗쪽에는, 배기관의 접속부가 있기 때문에, 메인트넌스 개구부의 상단을 한층 더 윗쪽으로 하는 일도 용이하지 않고, 상기 접속부와 접속되는 광체 바깥에 있는 배기 덕트를 떼어내거나 해야 한다.
이 점에서, 본 실시의 형태에 의하면, 도 15에 도시한 바와 같이, 아우터 튜브(205)및 인렛 매니폴드(209)를, 인렛 매니폴드(209)의 내플랜지(286)를 개재하여 착탈치구(400)로 지지하도록 했기 때문에, 착탈 치구(400)의 높이의 일부가 인렛 매니폴드(209)내에 흡수되어, 반응용기 본체(208)와 착탈 치구(400)와의 합계 높이가 종래예보다 낮아진다. 또한, 반응용기 본체(208)와 착탈 치구(400)와의 합계 높이를 낮게 할 수 있으므로, 아우터 튜브(205)로 인렛 매니폴드(209)를 분할하지 않고 접합한 채로 함께 착탈할 수 있다. 게다가 인렛 매니폴드(209)의 하단을 착탈 치구(400)로부터 개방함과 함께, 인렛 매니폴드(209)의 취부편(288)을 인렛 매니폴드(209)보다 지름 방향 바깥쪽으로 돌출시키기 때문에, 인렛 매니폴드(209)를 히터 베이스(251)에 고정하기 위한 작업 공간을 충분히 확보할 수 있다. 이러한 이유로부터, 본 실시의 형태에 의하면, 상술한 것 같은 종래 예의 문제를 해결할 수 있다.
또한, 본 발명의 다른 실시의 형태에 의하면, 배기관(231)을, 금속제의 인렛 매니폴드(209)가 아닌 비금속인 석영제의 아우터 튜브(205)에 일체로 형성하도록 해, 배기관(231) 및 그 주변을 석영화하고 있다. 이러한 구조로 하는 것에 의해, 처리실(201)내의 금속 노출 부분을 줄여, 금속 오염을 저감 하는 것이 가능한 반응용기(207)를 실현할 수가 있다.
이하에 본 발명의 바람직한 형태를 기재한다.
제1의 형태는, 기판을 처리하는 처리실을 안쪽에 가지는 반응용기와, 상기 반응용기의 외주측으로부터 상기 기판을 가열하는 가열 장치와, 상기 처리실을 폐색하는 개체와, 상기 반응용기를 상기 가열 장치내로부터 착탈할 때에 상기 개체에 재치되는 착탈 치구와, 상기 반응용기의 안쪽벽에 반응용기의 하단보다 윗쪽에 설치되고 상기 반응용기를 상기 가열 장치내로부터 착탈할 때에 상기 착탈 치구의 윗면과 맞닿는 지지부가 구비되어 있는 기판처리장치이다.
반응용기를 가열 장치내로부터 착탈할 때에, 착탈 치구의 윗면과 맞닿는 지지부를 구비함으로써, 반응용기를 가열 장치 안쪽으로부터 착탈할 때에, 착탈 치구의 일부가 반응용기 내로 비집고 들어가므로, 장치 높이를 바꾸는 일 없이, 또한, 반응용기의 사이즈를 바꾸는 일 없이 반응용기의 메인트넌스가 가능하다.
제2의 형태는, 제1의 형태에 있어서, 상기 지지부에는, 상기 반응용기를 상기 가열 장치내로부터 착탈하는 경우에 있어서, 상기 착탈 치구에 맞닿을 때, 상기 반응용기의 중량이 가중되는 기판처리장치이다.
반응용기를 가열 장치내로부터 착탈하는 경우에 있어서, 지지부가 착탈 치구에 부딪칠 수 있을 때에, 지지부에 반응용기의 중량이 가중되는 것에 의해, 지지부 이외의 반응용기의 부분에서 지지할 필요가 없다. 따라서, 반응용기를 가열 장치내로부터 착탈할 때에, 작업 스페이스를 넓게 할 수가 있어 작업이 용이하게 된다. 또한 처리실내에서 기판을 처리할 때에 개체와의 사이에 밀착함으로써, 처리실의 기밀성을 획득하게 되어 반응용기 하단에 착탈 치구를 접촉시킬 필요가 없기 때문에, 반응용기 하단을 손상시키지 않고, 확실히 처리실을 기밀할 수가 있다.
제3의 형태는, 제1의 형태에 있어서, 상기 착탈 치구는, 적어도 상기 지지부 와 맞닿는 개소의 수직 방향의 두께가, 상기 반응용기의 하단과 상기 지지부의 하단과의 수직 방향의 길이보다 두꺼워지도록 구성되어 있는 기판처리장치이다.
착탈 치구는, 적어도 지지부와 맞닿는 개소의 수직 방향의 두께가, 반응용기의 하단과 지지부의 하단과의 수직 방향의 길이보다 두꺼워지도록 구성되어 있음으로써, 착탈 치구의 일부가 반응용기의 하단으로부터 밀려 나오기 때문에, 이 밀려나온 착탈 치구의 일부를 하부로부터 지지함으로써, 반응용기의 하단에 접촉하지 않고 반응용기를 지지할 수가 있다.
제4의 형태는, 제1의 형태에 있어서, 상기 반응용기는, 상단이 폐색되고 하단이 개방된 원통 형상이며, 내열 내식성을 가지는 재료에 의해 형성되어 있고, 반응용기내를 배기하는 배기관이 일체 성형 되고 있는 기판처리장치이다.
반응용기가 내열 내식성을 가지는 재료로 형성되고 배기관이 일체 형성되어 있는 것에 의해, 금속 오염을 줄일 수 있다.
제5의 형태는, 제1의 형태에 있어서, 상기 반응용기내에서 상기 기판을 처리할 때에는, 상기 지지부의 윗면에, 상하단이 개방된 원통 형상이며, 내열 내식성을 가지는 재료에 의해 구성된 내관이 재치되는 기판처리장치이다.
반응용기 착탈시에 반응용기를 지지하기 위한 지지부를, 기판 처리시에는 내관을 재치하기 위한 수단으로서 이용함으로써, 내관을 재치하기 위한 수단을 반응용기에 별도 마련할 필요가 없어진다.
제6의 형태는, 제1의 형태에 있어서, 상기 반응용기는, 반응관과 반응관의 하단을 보지하는 보지구로 구성되어 있는 기판처리장치이다.
반응용기가, 반응관과 보지구로 구성되어 있으면, 반응관과 보지구를 함께 착탈할 수 있다.
제7의 형태는, 제6의 형태에 있어서, 상기 보지구는, 원통 형상이며, 상기 반응관과 동심상에 연결되어 있는 기판처리장치이다.
보지구가 원통 형상이며 반응관과 동심상에 연결되어 있는 것에 의해, 보지구를 반응용기와 함께 착탈할 수가 있다.
제8의 형태는, 제6의 형태에 있어서, 상기 보지구는, 금속재료에 의해 형성되고 있는 기판처리장치이다. 보지구가 금속재료에 의해 형성됨으로써 강도가 증가해, 가스 공급 라인을 다수 마련할 수가 있다.
제9의 형태는, 제6의 형태에 있어서, 상기 지지부는, 상기 보지구에 일체 성형 되고 있는 기판처리장치이다. 지지부가 보지구에 일체 성형 되고 있는 것에 의해, 지지부와 보지구와의 접합부의 강도를 높일 수 있다.
제10의 형태는, 제1의 형태에 있어서, 상기 착탈 치구에는, 상기 반응용기의 내경보다 작고, 상기 지지부의 선단이 그리는 내경보다 큰 외경이 되는 원판부가 형성되어 있는 기판처리장치이다.
착탈 치구에, 반응용기의 내경보다 작고, 지지부의 선단이 그리는 내경보다 큰 외경이 되는 원판부가 형성되고 있는 것에 의해, 착탈 치구에 의해 반응용기를 용이하고 확실하게 지지할 수가 있다.
제11의 형태는, 제10의 형태에 있어서, 상기 착탈치구에는, 상기 원판부의 윗면의 상기 지지부와의 접촉 부분을 완충하는 완충부가 형성되어 있는 기판처리장 치이다.
착탈치구에, 원판부의 윗면의 지지부와의 접촉 부분을 완충하는 완충부가 형성되고 있는 것에 의해, 지지부를 손상시키는 일 없이, 반응용기를 보다 용이하고 확실하게 지지할 수가 있다.
제12의 형태는, 제10의 형태에 있어서, 상기 원판부는 알루미늄 합금에 의해 형성되고 있는 기판처리장치이다. 원판부가 알루미늄 합금에 의해 형성되고 있는 것에 의해, 원판부의 가공을 용이하게 할 수 있음과 동시에, 경량화할 수가 있어 작업성, 안전성을 향상시킬 수가 있다.
제13의 형태는, 제6의 형태에 있어서, 상기 완충부는, 불소계 수지재에 의해 형성되고 있는 기판처리장치이다. 완충부가 불소계 수지재에 의해 형성되고 있는 것에 의해, 지지부가 오염되기 어려워진다.
제14의 형태는, 제1의 형태에 있어서, 상기 반응용기의 하단은, 상기 반응용기를 상기 가열 장치내로부터 착탈할 때에, 상기 반응용기의 중량이 가중되지 않게 되어 있는 기판처리장치이다.
반응용기의 하단은, 반응용기를 가열 장치내로부터 착탈할 때에, 반응용기의 중량이 가중되지 않게 되어 있는 것에 의해, 반응용기의 하단이 변형하거나 파손하는 것을 유효하게 방지할 수 있다.
제15의 형태는, 제6의 형태에 있어서, 상기 보지구의 하단은, 상기 반응관을 상기 가열 장치내로부터 착탈할 때에, 상기 착탈치구와 비접촉이 되는 기판처리장치이다.
보지구의 하단이, 반응관을 가열 장치내로부터 착탈할 때에, 착탈치구와 비접촉이 되게 되어 있는 것에 의해, 보지구의 하단이 변형하거나 파손하는 것을 유효하게 방지할 수 있다.
제16의 형태는, 제1의 형태에 있어서, 상기 처리실의 하부측에 연설하는 예비실과, 예비실 일측면에 인접하는 메인트넌스 영역와 연통 가능하게 설치되고, 상기 반응용기의 상기 지지부에서 상기 착탈 치구가 상기 반응용기를 지지한 상태에서, 상기 예비실과 상기 메인트넌스 영역와의 사이를 수평 이동 가능하게 상기 일측면이 개구된 개구부를 가지는 기판처리장치이다.
예비실과 메인트넌스 영역와의 사이를 수평 이동 가능하게 일측면이 개구된 개구부를 가지는 경우에도, 장치 높이를 바꾸는 일 없이, 또한, 반응용기의 사이즈를 바꾸는 일 없이 반응용기의 메인트넌스가 가능하다.
제17의 형태는, 제10의 형태에 대해, 상기 개구부는, 상기 반응용기의 하단에서 상기 착탈 치구가 상기 반응용기를 지지한 상태에서는, 상기 예비실과 상기 메인트넌스 영역와의 사이를 수평 이동할 수 없게 개구되어 있는 기판처리장치이다.
반응용기의 하단에서 착탈 치구가 반응용기를 지지한 상태에서는, 개구부가 예비실과 메인트넌스 영역와의 사이를 수평 이동할 수 없게 개구되어 있는 것에 의해, 개구부의 상단이 윗쪽으로 확경(擴徑)되지 않으므로, 개구부의 상단이 윗쪽으로 확경되는 것에 의해, 반응용기의 착탈시에 배기관에 접속되는 배기 덕트를 떼어내거나 하는 귀찮은 작업이 생기지 않는다.
제18의 형태는, 기판을 처리하는 처리실을 안쪽에 가지는 반응용기와, 반응용기의 외주측으로부터 상기 기판을 가열하는 가열 장치와, 상기 처리실을 폐색하는 개체와, 상기 반응용기를 상기 가열 장치내로부터 착탈할 때에 상기 개체에 재치되는 착탈 치구와, 상기 반응용기의 안쪽벽에 해반응용기의 하단보다 윗쪽에 설치되어 상기 반응용기를 상기 가열 장치내로부터 착탈할 때에 상기 착탈 치구의 윗면과 맞닿는 지지부가 갖춰져 있는 반도체 제조장치이다.
반응용기를 가열 장치내로부터 착탈할 때에, 착탈 치구의 윗면과 맞닿는 지지부를 갖추는 것에 의해, 반응용기를 가열 장치 안쪽으로부터 착탈할 때에, 지지부로 지지하도록 착탈 치구를 마련함으로써, 장치 높이를 바꾸는 일 없이, 또한, 반응용기의 사이즈를 바꾸는 일 없이 반응용기의 메인트넌스가 가능하다.
제19의 형태는, 반응용기의 안쪽에 있는 처리실을 개체로 폐색하여 상기 반응용기의 외주측으로부터 가열 장치에 의해 기판을 가열해 처리하는 공정과, 착탈 치구를 개체에 재치하는 공정과, 반응용기의 안쪽벽에 반응용기의 하단보다 윗쪽에 설치되는 지지부와, 상기 착탈 치구의 윗면을 맞닿게 하고 상기 반응용기를 상기 가열 장치내로부터 착탈하는 공정을 가지는 반응용기의 착탈 방법이다.
반응용기를 가열 장치내로부터 착탈할 때에, 착탈 치구의 윗면과 맞닿는 지지부를 갖추는 것에 의해, 반응용기를 가열 장치 안쪽으로부터 착탈할 때에, 지지부로 지지하도록 착탈 치구를 마련함으로써, 장치 높이를 바꾸는 일 없이, 또한, 반응용기의 사이즈를 바꾸는 일 없이 반응용기의 메인트넌스가 가능하다.
도 1은 본 발명의 하나의 실시형태에 따른 기판처리장치의 처리로의 구성을 나타내는 개략 종단면도.
도 2는 본 발명의 하나의 실시형태에 따른 처리 장치의 경사 투시도.
도 3은 본 발명의 하나의 실시형태에 따른 처리 장치의 측면 투시도.
도 4는 본 발명의 하나의 실시형태에 따른 처리 장치의 다른 측면에서 본 투시도.
도 5는 본 발명의 하나의 실시형태에 따른 반응용기 본체의 조립 순서를 나타내는 개략 설명도.
도 6은 본 발명의 하나의 실시형태에 따른 이너 튜브의 조립 순서를 나타내는 개략 설명도.
도 7은 본 발명의 하나의 실시형태에 따른 인렛 매니폴드의 설명도.
도 8은 본 발명의 하나의 실시형태에 따른 인렛 매니폴드와 이너 튜브의 플랜지와의 관련을 나타내는 평면도.
도 9는 본 발명의 하나의 실시형태에 따른 아우터 치구의 설명도.
도 10은 본 발명의 하나의 실시형태에 따른 이너 치구의 설명도.
도 11은 본 발명의 하나의 실시형태에 따른 공통 치구 및 공통 치구용 어댑터의 설명도.
도 12는 본 발명의 하나의 실시형태에 따른 반응용기 본체를 조립하기 전의 각부품의 관계를 나타내는 분해 사시도.
도 13은 본 발명의 하나의 실시형태에 따른 인렛 매니폴드에 아우터 치구를 감합시킨 상태의 단면도.
도 14는 본 발명의 하나의 실시형태에 따른 씰 캡상의 공통 치구용 어댑터에 공통 치구를 감합시킨 상태의 단면도.
도 15는 본 발명의 하나의 실시형태에 따른 씰 캡을 상승시켜 아우터 치구에 접근한 상태의 단면도.
도 16은 본 발명의 하나의 실시형태에 따른 반응용기 본체를 씰 캡상에 이재한 상태와 반응용기 본체를 히터 유니트에 장착한 후 씰 캡을 하강시키기 시작하는 상태의 두 상태를 나타내는 정면도.
도 17은 본 발명의 하나의 실시형태에 따른 이너 튜브의 조립 설명도.
도 18은 본 발명의 하나의 실시형태에 따른 이너 튜브와 이너 치구와의 감합 상태의 설명도.
도 19는 본 발명의 하나의 실시형태에 따른 이너 튜브를 씰 캡상에 이재한 상태와 이너 튜브를 반응용기 본체에 삽입한 상태의 두 상태를 나타내는 정면도.
도 20은 종래예에 따른 기판처리장치의 처리로의 구성을 나타내는 개략 종단면.
도 21은 종래예에 따른 아우터 튜브와 노구 플랜지를 분할해 조립한 설명도.
도 22는 종래예에 의한 아우터 튜브와 노구 플랜지를 함께 조립하는 경우의 문제점을 나타내는 설명도.
<부호의 설명>
201 : 처리실 207 : 반응용기
206 : 히터 유니트(가열 장치) 219 : 씰 캡(개체)
286 : 내플랜지(지지부) 400 : 착탈 치구

Claims (17)

  1. 기판을 처리하는 처리실을 안쪽에 가지는 반응용기와,
    상기 반응용기의 외주측으로부터 상기 기판을 가열하는 가열 장치와, 
    상기 처리실을 폐색(閉塞)하는 개체(蓋體)와,
    상기 반응용기의 안쪽벽에 상기 반응용기의 하단보다 윗쪽에 설치되는 지지부와,
    상기 반응용기를 상기 가열 장치 내로부터 착탈할 때에 상기 개체에 재치되고, 상면이 상기 지지부에 맞닿는 것과 함께 상기 반응용기의 하단과는 비접촉으로 되는 착탈 치구
    를 포함하는 것을 특징으로 하는 기판처리장치.
  2. 제 1항에 있어서, 상기 지지부에는, 상기 반응용기를 상기 가열 장치내로부터 착탈하는 경우에 있어서, 상기 착탈 치구에 맞닿을 때, 상기 반응용기의 중량이 가중되는 것을 특징으로 하는 기판처리장치.
  3. 제 1항에 있어서, 상기 착탈 치구는, 적어도 상기 지지부와 맞닿는 개소의 수직 방향의 두께(T)가, 상기 반응용기의 하단과 상기 지지부의 하단과의 사이의 수직 방향의 길이(L)보다 두껍도록 구성되어 있는 것을 특징으로 하는 기판처리장치.
  4. 삭제
  5. 제 1항에 있어서, 상기 반응용기는, 반응관과 상기 반응관의 하단을 보지하며 상기 지지부를 포함하는 보지구로 구성되어 있는 것을 특징으로 하는 기판처리장치.
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 제 1항에 있어서, 상기 처리실의 하부측에 연설하는 예비실과,
    상기 예비실 일측면에 인접하는 메인트넌스 영역와 연통 가능하게 설치되고,
    상기 반응용기의 상기 지지부에서 상기 착탈 치구가 상기 반응용기를 지지한 상태에서, 상기 예비실과 상기 메인트넌스 영역와의 사이를 수평 이동 가능하도록 상기 일측면에 개구된 개구부를 포함하는 것을 특징으로 하는 기판처리장치.
  11. 제 10항에 있어서, 상기 개구부는, 상기 반응용기의 하단과 상기 착탈 치구의 상면이 맞닿아 상기 반응용기가 지지된 상태에서는, 상기 예비실과 상기 메인트넌스 영역과의 사이를 수평 이동할 수 없도록 개구되어 있는 것을 특징으로 하는 기판처리장치.
  12. 기판을 처리하는 처리실을 안쪽에 가지는 반응용기와,
    상기 반응용기의 외주측으로부터 상기 기판을 가열하는 가열 장치와,
    상기 처리실을 폐색 하는 개체와,
    상기 반응용기의 안쪽벽에 상기 반응용기의 하단보다 윗쪽에 설치되는 지지부와,
    상기 반응용기를 상기 가열 장치 내에 장착할 때에 상기 개체에 재치되고, 상면이 상기 지지부에 맞닿는 것과 함께 상기 반응용기의 하단과는 비접촉으로 되는 착탈 치구
    를 포함하는 것을 특징으로 하는 기판처리장치.
  13. 착탈 치구를 반응용기의 안쪽에 설치된 처리실을 폐색하는 개체에 재치하는 공정과,
    상기 반응용기의 내벽에 상기 반응용기의 하단보다 윗쪽에 설치되는 지지부와 상기 개체에 재치된 상기 착탈 치구의 상면이 맞닿는 것과 함께 상기 착탈 치구와 상기 반응용기의 하단이 비접촉인 상태에서, 상기 반응용기를 가열 장치 내에 장착하는 공정
    을 포함하는 것을 특징으로 하는 반응용기의 착탈 방법.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 반응용기의 내벽에 상기 반응용기의 하단보다 윗쪽에 설치되는 지지부와 개체에 재치된 착탈 치구의 상면이 맞닿는 것과 함께 상기 착탈 치구와 상기 반응용기의 하단은 비접촉인 상태에서, 상기 반응용기를 가열 장치 내에 장착하는 공정과,
    상기 착탈 치구를 상기 개체로부터 떼어내는 공정과,
    상기 장착된 반응용기의 안쪽에 있는 처리실을 상기 개체로 폐색해 상기 반응용기의 외주측으로부터 상기 가열 장치에 의해 기판을 가열해 처리하는 공정
    을 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
KR1020070090375A 2006-09-25 2007-09-06 기판처리장치 및 반응용기의 착탈 방법 KR100928840B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006258660A JP4814038B2 (ja) 2006-09-25 2006-09-25 基板処理装置および反応容器の着脱方法
JPJP-P-2006-00258660 2006-09-25

Publications (2)

Publication Number Publication Date
KR20080027723A KR20080027723A (ko) 2008-03-28
KR100928840B1 true KR100928840B1 (ko) 2009-11-30

Family

ID=39273921

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070090375A KR100928840B1 (ko) 2006-09-25 2007-09-06 기판처리장치 및 반응용기의 착탈 방법

Country Status (3)

Country Link
US (1) US8172947B2 (ko)
JP (1) JP4814038B2 (ko)
KR (1) KR100928840B1 (ko)

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4814038B2 (ja) * 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
KR101333363B1 (ko) * 2006-10-13 2013-11-28 도쿄엘렉트론가부시키가이샤 열처리 장치
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10643868B2 (en) * 2015-12-21 2020-05-05 Nps Corporation Apparatus for processing substrate
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6556074B2 (ja) * 2016-03-02 2019-08-07 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) * 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
JP6987016B2 (ja) * 2018-04-27 2021-12-22 東京エレクトロン株式会社 半導体製造装置の組立装置
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
JP6987017B2 (ja) * 2018-05-14 2021-12-22 東京エレクトロン株式会社 反応管ユニットの搬送方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11587802B2 (en) * 2019-10-30 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fabrication tool having gas manifold assembled by jig
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112023862A (zh) * 2020-09-21 2020-12-04 俞益波 一种可方便拆卸式反应釜
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990076902A (ko) * 1996-10-31 1999-10-25 히가시 데쓰로 수직형 열처리 장치
JP2004119510A (ja) 2002-09-24 2004-04-15 Tokyo Electron Ltd 熱処理装置
KR20050020757A (ko) * 2002-07-15 2005-03-04 에비자 테크놀로지, 인크. 써멀 프로세싱 시스템 및 수직 가변 챔버

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63133519A (ja) * 1986-11-26 1988-06-06 Kokusai Electric Co Ltd インナ−ベルジヤ取付治具
JP2766804B2 (ja) * 1987-09-29 1998-06-18 東京エレクトロン株式会社 熱処理装置
JP2733517B2 (ja) * 1988-10-03 1998-03-30 東京エレクトロン株式会社 熱処理装置及びそのチューブ取付方法並びにそれに用いる治具
JPH04360523A (ja) * 1991-06-07 1992-12-14 Furukawa Electric Co Ltd:The 自公転型多数枚気相成長装置
JP3279686B2 (ja) * 1992-10-30 2002-04-30 株式会社日立国際電気 半導体製造装置
US5622639A (en) 1993-07-29 1997-04-22 Tokyo Electron Kabushiki Kaisha Heat treating apparatus
JPH08120453A (ja) 1994-10-26 1996-05-14 Kokusai Electric Co Ltd Cvd装置
JPH1098002A (ja) * 1996-09-19 1998-04-14 Kokusai Electric Co Ltd 縦型反応炉の石英管着脱装置
JPH1167679A (ja) * 1997-08-08 1999-03-09 Kokusai Electric Co Ltd 反応管着脱装置
JP4327916B2 (ja) * 1998-04-15 2009-09-09 株式会社日立国際電気 縦型皮膜処理装置におけるヒータの設備構造、および縦型皮膜処理装置の移設方法
JP4814038B2 (ja) * 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990076902A (ko) * 1996-10-31 1999-10-25 히가시 데쓰로 수직형 열처리 장치
KR20050020757A (ko) * 2002-07-15 2005-03-04 에비자 테크놀로지, 인크. 써멀 프로세싱 시스템 및 수직 가변 챔버
JP2004119510A (ja) 2002-09-24 2004-04-15 Tokyo Electron Ltd 熱処理装置

Also Published As

Publication number Publication date
US8172947B2 (en) 2012-05-08
KR20080027723A (ko) 2008-03-28
US20080083109A1 (en) 2008-04-10
JP4814038B2 (ja) 2011-11-09
JP2008078546A (ja) 2008-04-03

Similar Documents

Publication Publication Date Title
KR100928840B1 (ko) 기판처리장치 및 반응용기의 착탈 방법
KR100831933B1 (ko) 기판처리장치 및 반도체장치의 제조방법
KR101698375B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법 및 기록 매체
CN108074845B (zh) 基板处理装置、反应管以及半导体装置的制造方法
JP5188326B2 (ja) 半導体装置の製造方法、基板処理方法、及び基板処理装置
JP4313401B2 (ja) 縦型熱処理装置及び被処理基板移載方法
JP2009224765A (ja) 基板処理装置
JP2009177195A (ja) 収納容器の搬送方法および半導体装置の製造方法
JPH07297257A (ja) 処理装置
JP2002359237A (ja) 基板処理装置および半導体装置の製造方法
KR101004031B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
KR100805534B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
KR102377168B1 (ko) 반응관, 기판 처리 장치 및 반도체 장치의 제조 방법
JP2012099763A (ja) 基板処理装置及び基板処理装置の保守点検方法
CN102386053A (zh) 衬底处理装置和制造半导体器件的方法
JP2007088177A (ja) 基板処理装置
JP5031960B2 (ja) 基板処理装置および半導体装置の製造方法
JP2005223142A (ja) 基板保持具、成膜処理装置及び処理装置
JP6823575B2 (ja) 基板処理装置、反応管及び半導体装置の製造方法
JP2002299421A (ja) ノッチ整列方法及びノッチ整列機構並びに半導体製造装置
JP2011222710A (ja) 反応容器の着脱方法
JP2008078548A (ja) 基板処理装置
JP2010040919A (ja) 基板処理装置
JP2007242764A (ja) 基板処理装置
WO2012073765A1 (ja) 半導体製造装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121114

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131031

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141103

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20161020

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20181101

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20191030

Year of fee payment: 11