JPH10321586A - 乾燥処理方法及びその装置 - Google Patents

乾燥処理方法及びその装置

Info

Publication number
JPH10321586A
JPH10321586A JP9141112A JP14111297A JPH10321586A JP H10321586 A JPH10321586 A JP H10321586A JP 9141112 A JP9141112 A JP 9141112A JP 14111297 A JP14111297 A JP 14111297A JP H10321586 A JPH10321586 A JP H10321586A
Authority
JP
Japan
Prior art keywords
drying
gas
carrier gas
heating
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9141112A
Other languages
English (en)
Other versions
JP3230051B2 (ja
Inventor
Mitsuaki Komino
光明 小美野
Osamu Uchisawa
内澤  修
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Motoyama Eng Works Ltd
Original Assignee
Tokyo Electron Ltd
Motoyama Eng Works Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP14111297A priority Critical patent/JP3230051B2/ja
Application filed by Tokyo Electron Ltd, Motoyama Eng Works Ltd filed Critical Tokyo Electron Ltd
Priority to TW087107583A priority patent/TW373259B/zh
Priority to US09/079,768 priority patent/US6134807A/en
Priority to EP98108926A priority patent/EP0878832B1/en
Priority to SG1998001081A priority patent/SG74629A1/en
Priority to DE69838120T priority patent/DE69838120T2/de
Priority to KR1019980017625A priority patent/KR100483310B1/ko
Priority to CNB981024998A priority patent/CN1138117C/zh
Publication of JPH10321586A publication Critical patent/JPH10321586A/ja
Application granted granted Critical
Publication of JP3230051B2 publication Critical patent/JP3230051B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/08Preparation of the foundation plate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 キャリアガスの加熱手段の伝熱効率の向上、
乾燥ガスの生成量の増大及び蒸気生成時間の短縮を図
り、かつ乾燥処理終了後の処理室内の雰囲気の乱れを防
止すること。 【解決手段】 半導体ウエハWを収容する処理室35内
に乾燥用蒸気を供給して半導体ウエハWを乾燥する乾燥
処理装置において、キャリアガスとしてのN2ガスを加
熱する加熱器32と、この加熱器32によって加熱され
たN2ガスによってIPAを霧状にすると共に、加熱し
てIPAガスを生成する蒸気発生器34と、N2ガスの
所定量を処理室35内に供給する流量制御手段36とを
設けることにより、N2ガスの加熱伝達効率の向上を図
ることができると共に、IPAガスの生成量の増大及び
生成時間の短縮を図ることができ、かつ乾燥処理終了後
の処理室35内の雰囲気の乱れを防止することができ
る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、例えば半導体ウ
エハやLCD用ガラス基板等の被処理体に乾燥ガスを接
触して乾燥する乾燥処理方法及び装置に関するものであ
る。
【0002】
【従来の技術】一般に、半導体製造装置の製造工程にお
いては、半導体ウエハやLCD用ガラス等の被処理体
(以下にウエハ等という)を薬液やリンス液(洗浄液)
等の処理液が貯留された処理槽に順次浸漬して洗浄を行
う洗浄処理方法が広く採用されている。また、このよう
な洗浄処理装置においては、洗浄後のウエハ等の表面に
例えばIPA(イソプロピルアルコール)等の揮発性を
有する溶剤の蒸気からなる乾燥ガスを接触させ、乾燥ガ
スの蒸気を凝縮あるいは吸着させて、ウエハ等の水分の
除去及び乾燥を行う乾燥処理装置が装備されている(特
開平8−45893号公報参照)。
【0003】従来のこの種の乾燥処理装置は、特開平8
−45893号公報に示すように、キャリアガス例えば
窒素(N2)ガスの供給部と、乾燥ガス例えばIPA
(イソプロピルアルコール)を受ける多段の蒸発皿とヒ
ータを具備する蒸気発生器と、この蒸気発生器で生成さ
れた蒸気すなわち乾燥ガスを乾燥処理室に供給すべく開
閉弁を介設する主供給路と、主供給路を加熱するヒータ
とを具備してなる。
【0004】上記のように構成される従来の乾燥処理装
置によれば、N2ガス供給源から供給されるN2ガスが蒸
気発生器に供給され、蒸気発生器で蒸発されたIPAガ
スと混合されて主供給路を介して処理室内に供給され、
処理室内に収容されたウエハとIPAガスとが接触して
ウエハ等の水分の除去及び乾燥を行うことができる。
【0005】
【発明が解決しようとする課題】しかしながら、従来の
この種の乾燥処理装置においては、多段式の蒸発皿を有
する蒸気発生器にて乾燥ガスを生成する構造であるた
め、蒸気発生器の有する熱容量が限定されるので、IP
Aの蒸発量が限定されてしまい、蒸発能力が低下すると
いう問題があった。したがって、蒸気発生器にIPAを
供給してから停止するまでの時間すなわちIPAガスの
発生から停止までの時間がかかり過ぎるという問題があ
った。
【0006】また、蒸気発生器が多段式の蒸発皿を有す
るため、装置全体が大型になるという問題もあった。
【0007】更には、乾燥工程に処理室内を大気圧以下
にする工程が含まれた場合、処理室内の大気圧以下の状
態から大気圧状態に戻す際に処理室内の雰囲気を極端に
変化させないようにする必要がある。その理由は、処理
室内の雰囲気の極端な変化に起因するパーティクルの巻
き上げ等を防止するためである。したがって、そのため
には、極めて少量のN2ガスを処理室内に供給しなけれ
ばならず、そのため多くの時間がかかり、処理能力の低
下を招くという問題があるが、その具体的解決手段がな
いのが現状である。
【0008】この発明は上記事情に鑑みなされたもの
で、キャリアガスの加熱手段の伝熱効率の向上、乾燥ガ
スの生成量の増大及び蒸気生成時間の短縮を図り、かつ
乾燥処理終了後の処理室内の雰囲気の乱れを防止するよ
うにした乾燥処理方法及びその装置を提供することを目
的とするものである。
【0009】
【課題を解決するための手段】上記目的を達成するため
に、請求項1記載の発明は、被処理体を収容する処理室
内に乾燥用蒸気を供給して前記被処理体を乾燥する乾燥
処理方法において、キャリアガスを加熱する工程と、
加熱された前記キャリアガスによって乾燥ガス用液体を
霧状にすると共に、加熱して乾燥ガスを生成する工程
と、 前記乾燥ガスの流量を制御して前記処理室内に供
給する工程と、を有することを特徴とする。
【0010】請求項2記載の発明は、被処理体を収容す
る処理室内に乾燥用蒸気を供給して前記被処理体を乾燥
する乾燥処理装置において、 キャリアガスを加熱する
キャリアガス加熱手段と、 前記加熱手段によって加熱
された前記キャリアガスによって乾燥ガス用液体を霧状
にすると共に、加熱して乾燥ガスを生成する蒸気発生手
段と、を具備することを特徴とする。
【0011】請求項3記載の発明は、被処理体を収容す
る処理室内に乾燥用蒸気を供給して前記被処理体を乾燥
する乾燥処理装置において、 キャリアガスを加熱する
キャリアガス加熱手段と、 前記加熱手段によって加熱
された前記キャリアガスによって乾燥ガス用液体を霧状
にすると共に、加熱して乾燥ガスを生成する蒸気発生手
段と、 前記乾燥用ガスの所定量を前記処理室内に供給
する流量制御手段と、を具備することを特徴とする。
【0012】請求項4記載の発明は、請求項2又は3記
載の乾燥処理装置において、 前記キャリアガス加熱手
段は、キャリアガスの供給路に連通する導入管と、この
導入管内に挿入され、導入管の内壁面との間に螺旋状流
路を形成する流路形成管と、少なくとも前記流路形成管
の内方に挿入される加熱手段と、を具備することを特徴
とする。
【0013】請求項5記載の発明は、請求項2又は3記
載の乾燥処理装置において、 前記キャリアガス加熱手
段は、キャリアガスの供給路に連通する導入管と、この
導入管内に挿入され、導入管の内壁面との間に螺旋状流
路を形成するコイル状部材と、少なくとも前記流路形成
管の内方に挿入される加熱手段と、を具備することを特
徴とする。
【0014】この発明において、前記蒸気発生手段は、
加熱手段によって加熱された前記キャリアガスによって
乾燥ガス用液体を霧状にすると共に、加熱して乾燥ガス
を生成するものであれば、その構造は任意でよく、例え
ば蒸気発生手段を、キャリアガスの供給路に連通する管
状体内に形成される衝撃波形成部と、前記衝撃波形成部
の近傍に乾燥ガス用液体を供給する供給口と、前記衝撃
波形成部及び供給口の近傍、あるいはその下流側の管状
体の内方及び又は外方に配設される加熱手段とで主要部
を構成することができる(請求項6)。
【0015】また、前記流量制御手段は、供給路に介設
される開度調整弁と、処理室内の圧力を検出する検出手
段からの信号と予め記憶された情報とを比較演算する制
御部と、この制御部からの信号に基いて開度調整弁の作
動圧を制御する制御弁と、を具備する方が好ましい(請
求項7)。
【0016】また、前記乾燥ガスの供給路に、乾燥ガス
の温度検出手段を配設する方が好ましい(請求項8)。
この場合、前記温度検出手段を熱電対にて形成し、この
熱電対を、供給路を形成する管体に固着される受けナッ
トと、この受けナットにねじ結合される取付ナットとの
間に介在される金属製シール部材に溶接によって固着す
る方が好ましい(請求項9)。
【0017】この発明によれば、キャリアガス供給源か
ら供給されるキャリアガスを加熱して蒸気発生手段に供
給し、蒸気発生手段において、キャリアガスによって蒸
気発生手段に供給される乾燥ガス用液体を霧状にし、か
つ加熱手段により加熱することにより乾燥ガスを生成す
ることができる。そして、生成された乾燥ガスを処理室
内に供給することで、処理室内に収容された被処理体に
乾燥ガスを接触させて被処理体を乾燥することができる
(請求項1,2)。
【0018】また、流量制御手段により乾燥ガスの所定
の少量を制御しつつ処理室内に供給することで、乾燥工
程に、処理室内を大気圧以下にする工程がある場合にも
乾燥処理終了直前の処理室内の減圧雰囲気から大気圧雰
囲気に変換する際の急激な変化を抑制することができ、
パーティクル等の飛散による被処理体への付着を防止す
ることができる(請求項1,3)。
【0019】また、キャリアガス加熱手段に、キャリア
ガスの供給路に連通する導入管と、この導入管内に挿入
され、導入管の内壁面との間に螺旋状流路を形成する流
路形成管と、流路形成管の内方に挿入される加熱手段を
具備させるか、あるいは、流路形成管に代えてコイル状
部材を用いて螺旋状流路を形成することで、キャリアガ
スと加熱手段との接触面積と接触滞留時間を増大させる
ことができ、キャリアガス加熱手段の熱伝達効率の向上
を図ることができると共に、所定温度のキャリアガスを
蒸気発生手段に供給することができる。したがって、キ
ャリアガス加熱手段を小型にすることができると共に、
乾燥ガスの生成効率を向上させることができる(請求項
4,5)。
【0020】また、蒸気発生手段を、キャリアガスの供
給路に連通する管状体内に形成される衝撃波形成部と、
この衝撃波形成部の近傍に乾燥ガス用液体を供給する供
給口と、衝撃波形成部及び供給口の近傍あるいはその下
流側の管状体の内方及び又は外方に配設される加熱手段
とで主要部を構成することにより、乾燥ガス用液体を効
率よくかつ迅速に乾燥ガスに生成することができる(請
求項6)。したがって、乾燥処理の効率の向上を図るこ
とができると共に、蒸気発生手段を小型にすることがで
きる。
【0021】また、流量制御手段に、供給路に介設され
る開度調整弁と、処理室内の圧力を検出する検出手段か
らの信号と予め記憶された情報とを比較演算する制御部
と、この制御部からの信号に基いて開度調整弁の作動圧
を制御する制御弁と、を具備することで、処理室内の圧
力変化に追従して開度調整弁を制御することができ、乾
燥工程に、処理室内を大気圧以下にする工程がある場合
にも乾燥終了後の処理室内の減圧雰囲気から大気圧雰囲
気への変換をスムースに行うことができる(請求項
7)。したがって、処理室内の減圧雰囲気から大気圧雰
囲気への変換時のパーティクルの飛散を防止することが
できると共に、被処理体へのパーティクルの付着を防止
することができる。
【0022】加えて、乾燥ガスの供給路に、乾燥ガスの
温度検出手段を配設することにより、乾燥ガスの温度を
最適状態に維持することができる(請求項8)。この場
合、温度検出手段を熱電対にて形成し、この熱電対を、
供給路を形成する管体に固着される受けナットと、この
受けナットにねじ結合される取付ナットとの間に介在さ
れる金属製シール部材に溶接によって固着することによ
り、正確に乾燥ガスの温度を測定することができると共
に、外部リークを防止でき、温度サイクルに対しての温
度検出手段の信頼性を高めることができる(請求項
9)。
【0023】
【発明の実施の形態】以下に、この発明の実施の形態を
図面に基いて詳細に説明する。この実施形態では半導体
ウエハの洗浄処理システムに適用した場合について説明
する。
【0024】図1はこの発明に係る乾燥処理装置を適用
した洗浄処理システムの一例を示す概略平面図、図2は
その概略側面図である。
【0025】上記洗浄処理システムは、被処理体である
半導体ウエハW(以下にウエハという)を水平状態に収
納する容器例えばキャリア1を搬入、搬出するための搬
送部2と、ウエハWを薬液、洗浄液等の液処理すると共
に乾燥処理する処理部3と、搬送部2と処理部3との間
に位置してウエハWの受渡し、位置調整及び姿勢変換等
を行うインターフェース部4とで主に構成されている。
【0026】上記搬送部2は、洗浄処理システムの一側
端部に併設して設けられる搬入部5と搬出部6とで構成
されている。また、搬入部5及び搬出部6のキャリア1
の搬入口5a及び搬出口6bには、キャリア1を搬入部
5、搬出部6に出入れ自在のスライド式の載置テーブル
7が設けられている。また、搬入部5と搬出部6には、
それぞれキャリアリフタ8(容器搬送手段)が配設さ
れ、このキャリアリフタ8によって搬入部間又は搬出部
間でのキャリア1の搬送を行うことができると共に、空
のキャリア1を搬送部2上方に設けられたキャリア待機
部9への受け渡し及びキャリア待機部からの受け取りを
行うことができるように構成されている(図2参照)。
【0027】上記インターフェース部4は、区画壁4c
によって搬入部5に隣接する第1の室4aと、搬出部6
に隣接する第2の室4bとに区画されている。そして、
第1の室4a内には、搬入部5のキャリア1から複数枚
のウエハWを取り出して搬送する水平方向(X,Y方
向),垂直方向(Z方向)及び回転(θ方向)可能なウ
エハ取出しアーム10(基板取出し手段)と、ウエハW
に設けられたノッチを検出するノッチアライナー11
(位置検出手段)と、ウエハ取出しアーム10によって
取り出された複数枚のウエハWの間隔を調整する間隔調
整機構12を具備すると共に、水平状態のウエハWを垂
直状態に変換する第1の姿勢変換装置13(姿勢変換手
段)が配設されている。
【0028】また、第2の室4b内には、処理済みの複
数枚のウエハWを処理部3から垂直状態のまま受け取っ
て搬送するウエハ受渡しアーム14(基板搬送手段)
と、ウエハ受渡しアーム14から受け取ったウエハWを
垂直状態から水平状態に変換する第2の姿勢変換装置1
3A(姿勢変換手段)と、この第2の姿勢変換装置13
Aによって水平状態に変換された複数枚のウエハWを受
け取って搬出部6に搬送された空のキャリア1内に収納
する水平方向(X,Y方向),垂直方向(Z方向)及び
回転(θ方向)可能なウエハ収納アーム15(基板収納
手段)が配設されている。なお、第2の室4bは外部か
ら密閉されており、図示しない不活性ガス例えば窒素
(N2)ガスの供給源から供給されるN2ガスによって室
内が置換されるように構成されている。
【0029】一方、上記処理部3には、ウエハWに付着
するパーティクルや有機物汚染を除去する第1の処理ユ
ニット16と、ウエハWに付着する金属汚染を除去する
第2の処理ユニット17と、ウエハWに付着する酸化膜
を除去すると共に乾燥処理する洗浄・乾燥処理ユニット
であるこの発明に係る乾燥処理装置18及びチャック洗
浄ユニット19が直線状に配列されており、これら各ユ
ニット16〜19と対向する位置に設けられた搬送路2
0に、X,Y方向(水平方向)、Z方向(垂直方向)及
び回転(θ)可能なウエハ搬送アーム21(搬送手段)
が配設されている。
【0030】前記乾燥処理装置18は、図3に示すよう
に、キャリアガス例えば窒素(N2)ガスの供給源30
に供給路31aを介して接続するN2ガス加熱手段とし
てのN2ガス加熱器32(以下に単に加熱器という)
と、この加熱器32に供給路31bを介して接続する一
方、乾燥ガス用液体例えばIPAの供給源33に供給路
31cを介して接続する蒸気発生手段としての蒸気発生
器34と、この蒸気発生器34と乾燥処理室35(以下
に単に処理室という)とを接続する供給路31dに配設
される流量制御手段36とを具備してなる。この場合、
N2ガス供給源30と加熱器32とを接続する供給路3
1aには開閉弁37aが介設されている。また、IPA
供給源33と加熱器32とを接続する供給路31cには
開閉弁37bが介設され、この開閉弁37bのIPA供
給源側には分岐路38及び開閉弁37cを介してIPA
回収部39が接続されている。また、図3に二点鎖線で
示すように、蒸気発生器34には、必要に応じてIPA
のドレン管40が接続され、このドレン管40にドレン
弁41が介設されると共に、チェッキ弁42を介設する
分岐路40aが接続されている。このようにドレン管4
0、ドレン弁41等を接続することにより、蒸気発生器
34内をクリーニングする際の洗浄液等の排出に便利と
なる。
【0031】前記加熱器32は、図4(a)に示すよう
に、N2ガスの供給路31aに連通する導入管43と、
この導入管43内に挿入され、導入管43の内壁面との
間に螺旋状流路44を形成する流路形成管45と、この
流路形成管45の内方に挿入される加熱手段例えばカー
トリッジヒータ46とで主要部が構成されている。この
場合、導入管43は、一端に供給路31aと接続する流
入口43aを有し、他端部の側面に、供給路31bに接
続する流出口43bが設けられている。また、流路形成
管45は、図4(b)に示すように、その外周面に例え
ば台形ねじのような螺旋状の凹凸溝47が形成されて、
この螺旋状凹凸溝47と導入管43の内壁面43cとで
螺旋状流路44が形成されている。なお、螺旋状流路4
4は必ずしもこのような構造である必要はなく、例えば
導入管43の内壁面に螺旋状凹凸溝を形成し、流路形成
管45の外周面を平坦面としてもよく、あるいは導入管
43の内壁面及び流路形成管45の外周面の双方に螺旋
状凹凸溝を形成して螺旋状流路を形成するようにしても
よい。なお、加熱手段として、上記カートリッジヒータ
46に加えて導入管43の外部を加熱するヒータを設け
てもよい。
【0032】上記説明では、導入管43と、この導入管
43内に挿入される流路形成管45とで螺旋状流路44
を形成する場合について説明したが、図5に示すよう
に、導入管43と、この導入管43内に挿入されるコイ
ル状部材例えばコイルスプリング45Aとで螺旋状流路
44を形成するようにしてもよい。すなわち、導入管4
3内にコイルスプリング45Aを挿入すると共に、コイ
ルスプリング45A内にカートリッジヒータ46を挿入
して導入管45Aとカートリッジヒータ43との間に介
在されるコイルスプリング45Aによって螺旋状流路4
4を形成することができる。
【0033】上記のように、N2ガス供給源30側の供
給路31aに接続する導入管43と、この導入管43内
に挿入される流路形成管45又はコイルスプリング45
Aとの間に螺旋状流路44を形成し、流路形成管45内
にカートリッジヒータ46を挿入することにより、N2
ガスの流路とカートリッジヒータ46との接触する流路
長さを長くすると共に、螺旋状の流れを形成して、それ
がない場合に比べ流速を早めることができ、その結果レ
イノルズ数(Re数)及びヌッセルト数(Nu数)を増
大して、境界層を乱流領域に入れ、加熱器32の伝熱効
率の向上を図ることができる。したがって、1本のカー
トリッジヒータ46で効率よくN2ガスを所定温度例え
ば200℃に加熱することができるので、加熱器32を
小型化することができる。なお、加熱温度を更に高める
必要がある場合は、導入管43の外側に外筒ヒータを配
設すればよい。
【0034】前記蒸気発生器34は、図6に示すよう
に、キャリアガスの供給路31bに接続する例えばステ
ンレス鋼製のパイプ状本体50にて形成されており、こ
のパイプ状本体50の内周面にキャリアガスの流れ方向
に沿って漸次狭小となる狭小テーパ面51aと、この狭
小テーパ面51aの狭小部51bから流れ方向に沿って
徐々に拡開する拡開テーパ面51cとからなる衝撃波形
成部51が形成されている。この衝撃波形成部51は、
衝撃波形成部51の流入側圧力(一次圧力)と流出側圧
力(二次圧力)との圧力差によって衝撃波が形成され
る。例えば、一次圧力(Kgf/cm2G)とN2ガスの通過流
量(Nl/min)を適宜選択することによって衝撃波を形成
することができる。例えば図7に示すように、狭小部5
1bの内径を1.4(mm),1.7(mm),2.0(m
m)とした場合、N2ガス通過流量が40(Nl/min),6
0(Nl/min),80(Nl/min)のとき衝撃波が発生す
る。この場合、衝撃波形成部51の一次側と二次側を接
続する分岐路52に圧力調整弁53を介設して、この圧
力調整弁の調節によって衝撃波の発生条件を適宜設定し
ている。なお、一次側圧力を高めることが可能であれ
ば、圧力調整弁53を用いなくても衝撃波形成が可能と
なる。
【0035】このように形成される衝撃波形成部51の
拡開テーパ面51cの途中にはIPA供給口54が開設
されている。この供給口54にIPA供給管すなわち供
給路31cを介してIPA供給源33が接続されてい
る。また、拡開テーパ面51cの流出側のパイプ状本体
50内に内筒ヒータ55が挿入され、その外側には外筒
ヒータ56が配設されている。なおこの場合、衝撃波形
成部51及びIPA供給口54付近にヒータを設けても
よい。
【0036】上記のように構成することにより、IPA
供給源33から供給されるIPAを衝撃波形成部51の
供給口54から供給すると、衝撃波形成部51で形成さ
れた衝撃波によってIPAが霧状にされ、その後ヒータ
55,56の加熱によってIPA蒸気が生成される。こ
のときのIPA濃度は、例えば、N2ガス流量100(N
l/min)の場合、IPA供給量が1(cc/sec),2(cc/
sec),3(cc/sec)ではそれぞれIPA濃度は約20
(%),約30(%),約40(%)となる。
【0037】なお、上記説明では、供給口54を衝撃波
形成部51の二次側すなわち衝撃波発生後側に設けた場
合について説明したが、必ずしもこのような構成とする
必要はなく、供給口54を衝撃波形成部51の一次側す
なわち衝撃波発生前の位置に設けて、N2ガスとIPA
とを混合した後に衝撃波によって霧状にしてもよい。
【0038】前記流量制御手段36は、図3及び図8に
示すように、供給路31dに介設される開度調整弁例え
ばダイアフラム弁60と、前記処理室35内の圧力を検
出する検出手段である圧力センサ61からの信号と予め
記憶された情報とを比較演算する制御部例えばCPU6
2(中央演算処理装置)と、CPU62からの信号に基
いてダイアフラム弁60の作動圧を制御する制御弁例え
ばマイクロバルブ63とを具備してなる。
【0039】この場合、マイクロバルブ63は、例えば
図9に示すように、前記ダイアフラム弁60の作動流体
例えば空気の流入路64に排出路65を連通し、この排
出路65と対向する面に可撓性部材66を介して制御液
体例えば熱伸縮性オイル67を収容する室68を形成す
ると共に、室68における可撓性部材66と対向する面
に配設される複数の抵抗ヒータ69を配設してなる。な
おこの場合、可撓性部材66は、上部材63aと下部材
63cとの間に介在される中部材63bを有すると共
に、下部材63cと接合する台座63dを有しており、
可撓性部材66の撓み変形によって中部材63bが排出
路65を開閉し得るように構成されている。なお、この
マイクロバルブ63は全体がシリコンにて形成されてい
る。
【0040】このように構成することにより、前記CP
U62からの信号をデジタル/アナログ変換させて抵抗
ヒータ69に伝達されると、抵抗ヒータ69が加熱され
ると共に、制御液体すなわちオイル67が膨脹収縮し、
これにより可撓性部材66が流入側に出没移動して排出
路65の上部が開状態となり、制御流体すなわちガス圧
力を調節することができる。したがって、マイクロバル
ブ63によって遅延制御された流体すなわち空気によっ
てダイアフラム弁60を作動して予め記憶された情報と
処理室35内の圧力を比較し、ダイアフラム弁60の作
動を制御してN2ガスを処理室35内に供給することが
でき、処理室35内の圧力回復の時間制御を行うことが
できる。
【0041】一方、前記処理室35は、図10に示すよ
うに、例えばフッ化水素酸等の薬液や純水等の洗浄液を
貯留(収容)し、貯留した洗浄液にウエハWを浸漬する
洗浄槽70の上部に形成されており、その上方に設けら
れたウエハWの搬入・搬出用の開口部70aに蓋体71
が開閉可能に装着されている。また、処理室35と洗浄
槽70との間には、複数例えば50枚のウエハWを保持
してこのウエハWを洗浄槽70内及び処理室35内に移
動する保持手段例えばウエハボート72が設けられてい
る。また、処理室35内には、処理室35内に供給され
たIPAガスを冷却する冷却管73を配設してもよい。
なお、洗浄槽70は、底部に排出口74を有する内槽7
5と、この内槽75からオーバーフローした洗浄液を受
け止める外槽76とで構成されている。なおこの場合、
内槽75の下部に配設される薬液又は純水の供給ノズル
77から内槽75内に供給され貯留される薬液又は純水
にウエハWが浸漬されて洗浄されるようになっている。
また、外槽76の底部に設けられた排出口76aに排出
管76bが接続されている。このように構成することに
より、洗浄処理されたウエハWはウエハボート72によ
って処理室35内に移動され、処理室35内に供給され
るIPAガスと接触し、IPAガスの蒸気を凝縮あるい
は吸着させて、ウエハWの水分の除去及び乾燥が行なわ
れる。
【0042】なお、供給路31dには、前記ダイアフラ
ム弁60の下流側(二次側)にフィルタ80が介設され
ており、パーティクルの少ない乾燥ガスを供給できるよ
うに構成されている。また、供給路31dの外側には保
温用ヒータ81が配設されてIPAガスの温度を一定に
維持し得るように構成されている。
【0043】更に、供給路31dの処理室35側にはI
PAガスの温度センサ90(温度検出手段)が配設され
て、供給路31dd中を流れるIPAガスの温度が測定
されるようになっている。この温度センサ90は熱電対
95にて形成されており、かつ熱電対95は、図11に
示すように、供給路31dを構成する例えばステンレス
鋼製の管体31Aに固着されると共に、管体31Aに設
けられた挿入孔31eに連通する貫通孔91を有する例
えばステンレス鋼製の受けナット92と、この受けナッ
ト92にねじ結合される例えばステンレス鋼製の取付ナ
ット93との間に介在される金属製シール部材例えばメ
タルガスケット94に溶接によって固着されている。
【0044】この場合、受けナット92及び取付ナット
93にはそれぞれ熱電対95を貫挿するフランジ付きの
スリーブ96,97が嵌着されており、取付ナット93
のスリーブ97には熱電対95を固定保持する保持筒9
8が固着されている。このように構成される温度センサ
90を供給路31dに取り付けるには、まずメタルガス
ケット94を熱電対95に溶接(例えばティグ溶接)に
よって固定し、熱電対95の先端側を受けナット92内
の貫通孔91及び挿入孔31e内を嵌挿して供給路31
d内に配設し、次に、受けナット92に取付ナット93
をねじ結合することにより、受けナット92のスリーブ
96と取付ナット93のスリーブ97のフランジ96
a,97a間にメタルガスケット94を挾持固定した状
態で取り付けることができる。したがって、取付部のデ
ッドスペースを少なくすることができるので、熱電対9
5により正確に供給路31dd中を流れるIPAガスの
温度を測定することができ、また、外部リークを防止す
ることができると共に、温度サイクルに対しての温度セ
ンサ90の信頼性の向上を図ることができる。なお、こ
こでは、温度センサ90に関して説明したが、このよう
な構造はその他のサンプリング例えば濃度を検出すると
きにも使用できる。
【0045】次に、この発明に係る乾燥処理装置の動作
態様について説明する。まず、上記のように洗浄槽70
に搬入されたウエハWを洗浄処理した後、ウエハボート
72を上昇させてウエハWを処理室35内に移動する。
このとき、処理室35は蓋体71が閉塞される。この状
態において、前記加熱器32により加熱されたN2ガス
によって蒸気発生器34で生成された乾燥ガスすなわち
IPAガスを処理室35内に供給することにより、IP
AガスとウエハWが接触し、IPAガスの蒸気が凝縮あ
るいは吸着されて、ウエハWの水分の除去及び乾燥が行
われる。
【0046】乾燥処理が終了あるいは終了直前になる
と、IPAの供給が停止する。乾燥中は排出管76bよ
り排気又は必要に応じて減圧を行う場合があり、処理室
35内が大気圧より低くなることがある。そのため、処
理室35内の圧力を検出する圧力センサ61からの信号
と、予め記憶された情報とをCPU62で比較演算して
その出力信号をマイクロバルブ63に送り、マイクロバ
ルブ63にて遅延制御された制御流体例えば空気によっ
てダイアフラム弁60が作動して、処理室35内の圧力
に応じた少量のN2ガスが処理室35内に供給され、処
理室35内の雰囲気が徐々に大気圧下状態から大気圧状
態に置換される。したがって、乾燥処理後の処理室35
内の雰囲気が大気圧下状態から一気に大気圧状態になる
ことがなく、巻き上げによるウエハWへのパーティクル
の付着等を防止することができる。
【0047】このようにして、処理室35内の圧力を大
気圧に置換した後、蓋体71が開放し、処理室35の上
方へ移動してきた搬送アーム(図示せず)と上昇するウ
エハボート72との間でウエハWの受け渡しを行う。ウ
エハWを受け取った搬送アームは処理室35上方から後
退して前記インターフェース部4へウエハWを搬送す
る。
【0048】なお、上記実施形態では、この発明に係る
乾燥処理装置を半導体ウエハの洗浄処理システムに適用
した場合について説明したが、洗浄処理以外の処理シス
テムにも適用できることは勿論であり、また、半導体ウ
エハ以外のLCD用ガラス基板等にも適用できることは
勿論である。
【0049】また、上記実施形態では、乾燥方法に関し
て、水とIPAの置換による方法について説明したが、
この他にマランゴニー力を利用した乾燥装置等乾燥ガス
を処理室内に供給することによって被処理体を乾燥する
方法や装置に適用できることは勿論である。
【0050】
【発明の効果】以上に説明したように、この発明によれ
ば、以下のような優れた効果が得られる。
【0051】1)請求項1又は2記載の発明によれば、
キャリアガスによって蒸気発生手段に供給される乾燥ガ
ス用液体を霧状にし、かつ加熱手段により加熱すること
により乾燥ガスを効率よく生成することができ、生成さ
れた乾燥ガスを処理室内に供給することで、処理室内に
収容された被処理体に乾燥ガスを接触させて被処理体を
乾燥することができる。
【0052】2)請求項1又は3記載の発明によれば、
流量制御手段により乾燥ガスの所定の少量を制御しつつ
処理室内に供給することで、乾燥終了後の処理室内の減
圧雰囲気から大気圧雰囲気に変換する際の急激な変化を
抑制することができ、パーティクル等の飛散による被処
理体への付着を防止することができる。
【0053】3)請求項4又は5記載の発明によれば、
キャリアガス加熱手段は、キャリアガスの供給路に連通
する導入管と、この導入管内に挿入され、導入管の内壁
面との間に螺旋状流路を形成する流路形成管又はコイル
状部材と、流路形成管の内方に挿入される加熱手段とを
具備してなるので、キャリアガスと加熱手段との接触面
積と接触滞留時間を増大させることができ、キャリアガ
ス加熱手段の熱伝達効率の向上を図ることができると共
に、所定温度のキャリアガスを蒸気発生手段に供給する
ことができる。したがって、上記1)に加えてキャリア
ガス加熱手段を小型にすることができると共に、乾燥ガ
スの生成効率を向上させることができる。
【0054】4)請求項6記載の発明によれば、蒸気発
生手段を、キャリアガスの供給路に連通する管状体内に
形成される衝撃波形成部と、この衝撃波形成部の近傍に
乾燥ガス用液体を供給する供給口と、衝撃波形成部及び
供給口の近傍あるいはその下流側の管状体の内方及び又
は外方に配設される加熱手段とで主要部を構成すること
により、乾燥ガス用液体を効率よくかつ迅速に乾燥ガス
を生成することができる。したがって、上記1)に加え
て乾燥処理の効率の向上を図ることができると共に、蒸
気発生手段を小型にすることができる。
【0055】5)請求項7記載の発明によれば、流量制
御手段は、供給路に介設される開度調整弁と、処理室内
の圧力を検出する検出手段からの信号と予め記憶された
情報とを比較演算する制御部と、この制御部からの信号
に基いて開度調整弁の作動圧を制御する制御弁と、を具
備するので、処理室内の圧力変化に追従して開度調整弁
を制御することができ、乾燥終了後の処理室内の減圧雰
囲気から大気圧雰囲気への変換をスムースに行うことが
できる。したがって、上記2)に加えて処理室内の減圧
雰囲気から大気圧雰囲気への変換時のパーティクルの飛
散を防止することができると共に、被処理体へのパーテ
ィクルの付着を防止することができる。
【0056】6)請求項8記載の発明によれば、乾燥ガ
スの供給路に、乾燥ガスの温度検出手段を配設すること
により、乾燥ガスの温度を最適状態に維持することがで
きる。また、温度検出手段を熱電対にて形成し、この熱
電対を、供給路を形成する管体に固着される受けナット
と、この受けナットにねじ結合される取付ナットとの間
に介在される金属製シール部材に溶接によって固着する
ことにより、正確に乾燥ガスの温度を測定することがで
きると共に、外部リークを防止でき、温度サイクルに対
しての温度検出手段の信頼性を高めることができる(請
求項9)。
【図面の簡単な説明】
【図1】この発明に係る乾燥処理装置を適用した洗浄処
理システムの概略平面図である。
【図2】上記洗浄処理システムの概略側面図である。
【図3】この発明に係る乾燥処理装置の概略構成図であ
る。
【図4】この発明におけるキャリアガス加熱器の断面図
(a)及びその要部の一部断面図(b)である。
【図5】この発明におけるキャリアガス加熱器の別の実
施形態の一部を拡大してを示す断面図である。
【図6】この発明における蒸気発生器の一例を示す断面
図である。
【図7】蒸気発生器における一次圧力と乾燥用ガス流量
との関係を示すグラフである。
【図8】この発明における流量制御手段の概略構成図で
ある。
【図9】上記流量制御手段の制御弁の一例を示す断面図
である。
【図10】この発明における処理室を示す概略断面図で
ある。
【図11】この発明における温度検出手段の取付状態を
示す断面図である。
【符号の説明】
W 半導体ウエハ(被処理体) 30 N2ガス供給源(キャリアガス供給源) 31a〜31d 供給路 32 加熱器(キャリアガス加熱手段) 33 IPA供給源(乾燥ガス用液体供給源) 34 蒸気発生器(蒸気発生手段) 35 処理室(乾燥処理室) 36 流量制御手段 43 導入管 44 螺旋状流路 45 流路形成管 45A コイルスプリング(コイル状部材) 46 カートリッジヒータ 50 パイプ状本体(管状体) 51 衝撃波形成部 54 IPA供給口 55 内筒ヒータ 56 外筒ヒータ 60 ダイアフラム弁(開度調整弁) 61 圧力センサ 62 CPU(制御部) 63 マイクロバルブ(制御弁) 90 温度センサ(温度検出手段) 91 貫通孔 92 受けナット 93 取付ナット 94 メタルガスケット 95 熱電対

Claims (9)

    【特許請求の範囲】
  1. 【請求項1】 被処理体を収容する処理室内に乾燥用蒸
    気を供給して前記被処理体を乾燥する乾燥処理方法にお
    いて、 キャリアガスを加熱する工程と、 加熱された前記キャリアガスによって乾燥ガス用液体を
    霧状にすると共に、加熱して乾燥ガスを生成する工程
    と、 前記乾燥ガスの流量を制御して前記処理室内に供給する
    工程と、を有することを特徴とする乾燥処理方法。
  2. 【請求項2】 被処理体を収容する処理室内に乾燥用蒸
    気を供給して前記被処理体を乾燥する乾燥処理装置にお
    いて、 キャリアガスを加熱するキャリアガス加熱手段と、 前記加熱手段によって加熱された前記キャリアガスによ
    って乾燥ガス用液体を霧状にすると共に、加熱して乾燥
    ガスを生成する蒸気発生手段と、を具備することを特徴
    とする乾燥処理装置。
  3. 【請求項3】 被処理体を収容する処理室内に乾燥用蒸
    気を供給して前記被処理体を乾燥する乾燥処理装置にお
    いて、 キャリアガスを加熱するキャリアガス加熱手段と、 前記加熱手段によって加熱された前記キャリアガスによ
    って乾燥ガス用液体を霧状にすると共に、加熱して乾燥
    ガスを生成する蒸気発生手段と、 前記乾燥ガスの所定量を前記処理室内に供給する流量制
    御手段と、を具備することを特徴とする乾燥処理装置。
  4. 【請求項4】 請求項2又は3記載の乾燥処理装置にお
    いて、 前記キャリアガス加熱手段は、キャリアガスの供給路に
    連通する導入管と、この導入管内に挿入され、導入管の
    内壁面との間に螺旋状流路を形成する流路形成管と、少
    なくとも前記流路形成管の内方に挿入される加熱手段
    と、を具備することを特徴とする乾燥処理装置。
  5. 【請求項5】 請求項2又は3記載の乾燥処理装置にお
    いて、 前記キャリアガス加熱手段は、キャリアガスの供給路に
    連通する導入管と、この導入管内に挿入され、導入管の
    内壁面との間に螺旋状流路を形成するコイル状部材と、
    少なくとも前記流路形成管の内方に挿入される加熱手段
    と、を具備することを特徴とする乾燥処理装置。
  6. 【請求項6】 請求項2又は3記載の乾燥処理装置にお
    いて、 前記蒸気発生手段は、キャリアガスの供給路に連通する
    管状体内に形成される衝撃波形成部と、前記衝撃波形成
    部の近傍に乾燥ガス用液体を供給する供給口と、前記衝
    撃波形成部及び供給口の近傍あるいはその下流側の管状
    体の内方及び又は外方に配設される加熱手段と、を具備
    することを特徴とする乾燥処理装置。
  7. 【請求項7】 請求項3記載の乾燥処理装置において、 前記流量制御手段は、供給路に介設される開度調整弁
    と、前記処理室内の圧力を検出する検出手段からの信号
    と予め記憶された情報とを比較演算する制御部と、この
    制御部からの信号に基いて前記開度調整弁の作動圧を制
    御する制御弁と、を具備することを特徴とする乾燥処理
    装置。
  8. 【請求項8】 請求項2又は3記載の乾燥処理装置にお
    いて、 前記乾燥ガスの供給路に、乾燥ガスの温度検出手段を配
    設したことを特徴とする乾燥処理装置。
  9. 【請求項9】 請求項8記載の乾燥処理装置において、 前記温度検出手段を熱電対にて形成し、この熱電対を、
    供給路を形成する管体に固着される受けナットと、この
    受けナットにねじ結合される取付ナットとの間に介在さ
    れる金属製シール部材に溶接によって固着してなること
    を特徴とする乾燥処理装置。
JP14111297A 1997-05-16 1997-05-16 乾燥処理方法及びその装置 Expired - Fee Related JP3230051B2 (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP14111297A JP3230051B2 (ja) 1997-05-16 1997-05-16 乾燥処理方法及びその装置
US09/079,768 US6134807A (en) 1997-05-16 1998-05-15 Drying processing method and apparatus using same
EP98108926A EP0878832B1 (en) 1997-05-16 1998-05-15 Drying processing method and apparatus using same
SG1998001081A SG74629A1 (en) 1997-05-16 1998-05-15 Drying processing method and apparatus using same
TW087107583A TW373259B (en) 1997-05-16 1998-05-15 Method and apparatus for drying process
DE69838120T DE69838120T2 (de) 1997-05-16 1998-05-15 Verfahren zur Trockenbehandlung und dazu verwendete Vorrichtung
KR1019980017625A KR100483310B1 (ko) 1997-05-16 1998-05-15 건조처리방법및그장치
CNB981024998A CN1138117C (zh) 1997-05-16 1998-05-16 干燥处理方法及其装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP14111297A JP3230051B2 (ja) 1997-05-16 1997-05-16 乾燥処理方法及びその装置

Publications (2)

Publication Number Publication Date
JPH10321586A true JPH10321586A (ja) 1998-12-04
JP3230051B2 JP3230051B2 (ja) 2001-11-19

Family

ID=15284450

Family Applications (1)

Application Number Title Priority Date Filing Date
JP14111297A Expired - Fee Related JP3230051B2 (ja) 1997-05-16 1997-05-16 乾燥処理方法及びその装置

Country Status (8)

Country Link
US (1) US6134807A (ja)
EP (1) EP0878832B1 (ja)
JP (1) JP3230051B2 (ja)
KR (1) KR100483310B1 (ja)
CN (1) CN1138117C (ja)
DE (1) DE69838120T2 (ja)
SG (1) SG74629A1 (ja)
TW (1) TW373259B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020087310A (ko) * 2001-05-15 2002-11-22 삼성전자 주식회사 웨이퍼 건조 장치
CN103920630A (zh) * 2013-12-03 2014-07-16 华南理工大学 一种有机涂层充氮控氧密闭循环干燥设备及工艺

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AT407680B (de) 1999-06-04 2001-05-25 Sez Semiconduct Equip Zubehoer Verfahren und vorrichtung zum trocknen von scheibenförmigen gegenständen
US6401353B2 (en) * 2000-03-08 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Substrate dryer
US6502591B1 (en) * 2000-06-08 2003-01-07 Semitool, Inc. Surface tension effect dryer with porous vessel walls
US6401361B1 (en) * 2000-11-15 2002-06-11 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for drying wafers by a solvent
US6519869B2 (en) * 2001-05-15 2003-02-18 United Microelectronics, Corp. Method and apparatus for drying semiconductor wafers
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
JP3802446B2 (ja) * 2002-05-15 2006-07-26 東邦化成株式会社 基板乾燥方法およびその装置
US6918192B2 (en) * 2002-11-07 2005-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate drying system
US6928748B2 (en) * 2003-10-16 2005-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Method to improve post wafer etch cleaning process
JP2006156648A (ja) * 2004-11-29 2006-06-15 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
US7637029B2 (en) * 2005-07-08 2009-12-29 Tokyo Electron Limited Vapor drying method, apparatus and recording medium for use in the method
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US8635784B2 (en) * 2005-10-04 2014-01-28 Applied Materials, Inc. Methods and apparatus for drying a substrate
KR100786700B1 (ko) * 2006-07-14 2007-12-21 삼성전자주식회사 건조 방법 및 이를 수행하기 위한 장치
JP4762835B2 (ja) * 2006-09-07 2011-08-31 東京エレクトロン株式会社 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体
CN101210769B (zh) * 2006-12-25 2010-04-07 中芯国际集成电路制造(上海)有限公司 晶片干燥方法及装置
JP4805862B2 (ja) 2007-02-21 2011-11-02 富士通セミコンダクター株式会社 基板処理装置、基板処理方法、及び半導体装置の製造方法
US8701308B2 (en) * 2008-06-02 2014-04-22 Tokyo Electron Limited Fluid heater, manufacturing method thereof, substrate processing apparatus including fluid heater, and substrate processing method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102467141A (zh) * 2010-10-28 2012-05-23 汉唐科技股份有限公司 烘烤与烘烤后的低温干燥环境控制整合装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
TWI522589B (zh) * 2011-07-08 2016-02-21 友達光電股份有限公司 乾燥設備及乾燥方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102602684A (zh) * 2012-03-14 2012-07-25 深圳市华星光电技术有限公司 液晶面板基材的运输控制方法及系统
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
ITMI20131867A1 (it) * 2013-11-11 2015-05-12 Iwt Srl Apparato di abbattimento vapori per macchine di lavaggio e macchina di lavaggio comprendente apparato
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9349620B2 (en) * 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6543481B2 (ja) 2015-02-23 2019-07-10 株式会社Screenホールディングス 蒸気供給装置、蒸気乾燥装置、蒸気供給方法および蒸気乾燥方法
US9829249B2 (en) * 2015-03-10 2017-11-28 Mei, Llc Wafer dryer apparatus and method
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101860631B1 (ko) * 2015-04-30 2018-05-23 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220009518A (ko) * 2020-07-15 2022-01-25 세메스 주식회사 기판 처리 장치
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4736758A (en) * 1985-04-15 1988-04-12 Wacom Co., Ltd. Vapor drying apparatus
US4878931A (en) * 1988-08-29 1989-11-07 Quadrex Hps Inc. Two stage vapor recovery system
US4967486A (en) * 1989-06-19 1990-11-06 Glatt Gmbh Microwave assisted fluidized bed processor
US5222307A (en) * 1989-11-21 1993-06-29 Interface Technical Laboratories Co., Ltd. Drying method and apparatus therefor
US4982512A (en) * 1989-12-11 1991-01-08 Jvc Magnetics America Co. Vapor recovery system
US5371950A (en) * 1990-02-23 1994-12-13 S & K Products International, Inc. Isopropyl alcohol vapor dryer system
US5054210A (en) * 1990-02-23 1991-10-08 S&K Products International, Inc. Isopropyl alcohol vapor dryer system
NL9000484A (nl) * 1990-03-01 1991-10-01 Philips Nv Werkwijze voor het in een centrifuge verwijderen van een vloeistof van een oppervlak van een substraat.
US5052126A (en) * 1990-06-21 1991-10-01 Rolf Moe Vapor drier for semiconductor wafers and the like
JP2752001B2 (ja) * 1990-10-19 1998-05-18 株式会社日立製作所 蒸気乾燥装置
US5226242A (en) * 1992-02-18 1993-07-13 Santa Clara Plastics, Division Of Preco, Inc. Vapor jet dryer apparatus and method
US5351419A (en) * 1992-07-27 1994-10-04 Motorola, Inc. Method for vapor drying
JP2902222B2 (ja) * 1992-08-24 1999-06-07 東京エレクトロン株式会社 乾燥処理装置
JPH06177200A (ja) * 1992-12-09 1994-06-24 Hitachi Ltd 半導体集積回路装置の形成方法
JP3003016B2 (ja) * 1992-12-25 2000-01-24 東京エレクトロン株式会社 処理装置及び処理方法
US5575079A (en) * 1993-10-29 1996-11-19 Tokyo Electron Limited Substrate drying apparatus and substrate drying method
JP2894535B2 (ja) * 1994-01-18 1999-05-24 信越半導体株式会社 ウェーハホルダー
US5539995A (en) * 1994-03-16 1996-07-30 Verteq, Inc. Continuous flow vapor dryer system
US5535525A (en) * 1994-03-17 1996-07-16 Vlsi Technology, Inc. Vapor/liquid phase separator for an open tank IPA-dryer
JP3302170B2 (ja) * 1994-04-15 2002-07-15 新日本製鐵株式会社 竪型電解処理装置
JP3066249B2 (ja) 1994-07-28 2000-07-17 大日本スクリーン製造株式会社 蒸気発生装置
JPH08189768A (ja) * 1994-11-07 1996-07-23 Ryoden Semiconductor Syst Eng Kk 蒸気乾燥装置、それを組込んだ洗浄装置および蒸気乾燥方法
TW301761B (ja) * 1994-11-29 1997-04-01 Sharp Kk
US5715612A (en) * 1995-08-17 1998-02-10 Schwenkler; Robert S. Method for precision drying surfaces
US5752532A (en) * 1995-08-17 1998-05-19 Schwenkler; Robert S. Method for the precision cleaning and drying surfaces
KR980012044A (ko) * 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5815942A (en) * 1996-12-13 1998-10-06 Kabushiki Kaisha Toshiba Vapor drying system and method
JP3897404B2 (ja) * 1997-07-22 2007-03-22 オメガセミコン電子株式会社 ベーパ乾燥装置及び乾燥方法
US5884640A (en) * 1997-08-07 1999-03-23 Applied Materials, Inc. Method and apparatus for drying substrates

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020087310A (ko) * 2001-05-15 2002-11-22 삼성전자 주식회사 웨이퍼 건조 장치
CN103920630A (zh) * 2013-12-03 2014-07-16 华南理工大学 一种有机涂层充氮控氧密闭循环干燥设备及工艺

Also Published As

Publication number Publication date
KR100483310B1 (ko) 2005-08-31
CN1138117C (zh) 2004-02-11
US6134807A (en) 2000-10-24
DE69838120D1 (de) 2007-09-06
TW373259B (en) 1999-11-01
JP3230051B2 (ja) 2001-11-19
EP0878832A2 (en) 1998-11-18
EP0878832A3 (en) 2003-11-05
SG74629A1 (en) 2000-08-22
CN1201897A (zh) 1998-12-16
EP0878832B1 (en) 2007-07-25
DE69838120T2 (de) 2008-04-10

Similar Documents

Publication Publication Date Title
JP3230051B2 (ja) 乾燥処理方法及びその装置
US7581335B2 (en) Substrate drying processing apparatus, method, and program recording medium
US6131588A (en) Apparatus for and method of cleaning object to be processed
US5044314A (en) Semiconductor wafer processing apparatus
JP3142195B2 (ja) 薬液供給装置
EP0782889B1 (en) Method and apparatus for washing or for washing-drying substrates
JP4601070B2 (ja) 熱処理装置
US5845660A (en) Substrate washing and drying apparatus, substrate washing method, and substrate washing apparatus
US5520744A (en) Device for rinsing and drying substrate
JP3177736B2 (ja) 処理装置
US6157774A (en) Vapor generating method and apparatus using same
JPH1183316A (ja) 乾燥処理装置及び乾燥処理方法
US6482753B1 (en) Substrate processing apparatus and method for manufacturing semiconductor device
US20030188770A1 (en) Cleaning apparatus for semiconductor wafer
JP3345803B2 (ja) 蒸気発生方法及びその装置
JP4884999B2 (ja) 基板処理装置
JP3557599B2 (ja) 蒸気処理装置
JP3243708B2 (ja) 処理方法及び処理装置
JP2002203831A (ja) 洗浄物の乾燥方法及び乾燥装置及び並びに洗浄乾燥装置
JPH11162923A (ja) 洗浄乾燥装置及び洗浄乾燥方法
US20050121142A1 (en) Thermal processing apparatus and a thermal processing method
JP3127353B2 (ja) 処理方法及び処理装置
JP3343776B2 (ja) 洗浄処理装置及び洗浄処理方法
JPH11300296A (ja) 洗浄処理方法及び洗浄処理装置
JPH1126420A (ja) 洗浄・乾燥処理方法及びその装置

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010816

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080914

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090914

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100914

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110914

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110914

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120914

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120914

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130914

Year of fee payment: 12

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees