CN1201897A - 干燥处理方法及其装置 - Google Patents

干燥处理方法及其装置 Download PDF

Info

Publication number
CN1201897A
CN1201897A CN98102499A CN98102499A CN1201897A CN 1201897 A CN1201897 A CN 1201897A CN 98102499 A CN98102499 A CN 98102499A CN 98102499 A CN98102499 A CN 98102499A CN 1201897 A CN1201897 A CN 1201897A
Authority
CN
China
Prior art keywords
gas
heater
pipe
carrier gas
dry gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN98102499A
Other languages
English (en)
Other versions
CN1138117C (zh
Inventor
小美野光明
内泽修
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1201897A publication Critical patent/CN1201897A/zh
Application granted granted Critical
Publication of CN1138117C publication Critical patent/CN1138117C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/08Preparation of the foundation plate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本发明的干燥处理装置向用于容纳半导体晶片的处理室内供给干燥气体,以干燥半导体晶片,该干燥处理装置设有加热载运气体的加热器32、利用由加热器32加热的N2气体来使IPA变成雾状并生成经过加热的IPA气体的蒸气发生器34、向处理室35内供给预定量的N2的流量控制装置。本发明可提高载运气体加热装置的传热效率,增大干燥气体的生成量并缩短蒸气的生成时间,并且可防止在干燥处理完成后处理室内的气氛发生混乱。

Description

干燥处理方法及其装置
本发明涉及一种对例如半导体晶片或液晶显示器(LCD)用的玻璃基板等被处理体通过与干燥气体进行接触来进行干燥处理的方法及装置。
一般地,在半导体制造的制造工程方面,广泛采用将半导体晶片或LCD用的玻璃等被处理体(以下称为晶体)顺次浸渍在贮存药液或冲洗液(洗净液)等处理液的处理槽中以进行清洗的清洗处理方法。另外,这种清洗处理装置设置了干燥处理装置,在该洗净处理装置中设使清洗后的晶体等的表面与如IPA(异丙醇)等具有挥发性的溶剂蒸气构成的干燥气体接触后,使干燥气体的蒸气冷凝或吸附,从而除去晶片等中的水分并使之受到干燥处理的干燥处理装置(参照特开平8-45893号公报)。
在特开平8-45893号公报中公开的已有的这种干燥处理装置设置了载运气体(如氮气(N2))的供给部件、具有接收干燥气体(如IPA(异丙醇))的多段蒸发器皿、和加热器的蒸气发生器、向干燥处理室供给蒸气发生器生成的蒸气(即干燥蒸气)并设有开关阀的主供给管路及加热该主供给管路的加热器。
按上述方式构成的已有的干燥处理装置从N2气供给源向蒸气发生器供给N2气,N2气在蒸气发生器与蒸发的IPA气体混合并通过主供给管路供给处理室,晶片装入处理室内的晶片,与IPA气体接触而除去晶片中的水分并进行干燥。
但是,由于过去的这种干燥处理装置的结构是利用具有在多段式蒸发器皿的蒸发器生成干燥气体的,因此限制了蒸气发生器的热容量、也就限制了IPA的蒸发量、蒸发能力低。因此,存在从向蒸气发生器供给IPA气体开始到停止供给IPA气体为止,即从发生IPA气体开始到停止发生IPA气体为止需花费过多时间的问题。
另外还存在由于蒸气发生器具有多段式蒸发器皿,从而使整个装置过大的问题。
另外,在干燥工程中,包含使处理室内压力低于大气压以下进行处理过程的场合下,当在处理室内从低于大气压的状态回到大气压状态下时,必须使处理室内的气氛不发生急剧的变化。其理由是为了防止由于处理室内气氛的急剧变化而使粒子扬起。但是,这样就会带来必须向处理室内提供极少量的N2气体,并要花费较多的时间和处理能力低等问题,目前对这些问题还没有具体的解决方法。
本发明的目的是,根据上述问题,提供一种能提高载体气体加热的传热效率、提高干燥气体的生成量、缩短蒸气的生成时间并且能防止在干燥处理完成后处理室内的气氛混乱的干燥处理的方法及其装置。
为了达到上述目的,本申请的第一发明是一种向容纳被处理体的处理室内提供干燥气体以干燥所述被处理体的干燥处理方法,其特征在于该方法包括加热载运气体的步骤、利用被加热的所述载运气体使干燥气体用液体成雾状并加热生成所述干燥气体的步骤、和控制提供给所述处理室的所述干燥气体的流量的步骤。
本申请的第二个发明是一种向容纳被处理体的处理室内提供干燥气体以干燥所述被处理体的干燥处理的装置,其特征在于该装置包括用于加热载运气体的载运气体加热装置、利用所述加热装置加热的所述载运气体使干燥气体用液体成雾状同时对其加热生成用于加热所述干燥气体的蒸气发生装置。
本申请的第三个发明是按照本申请的第二个发明,其特征在于还包括向所述处理室内提供一定量的所述干燥用气体的流量控制装置。
本申请的第四个发明是按照本申请的第二个发明,其特征在于所述载运气体加热装置具有连通到载运气体供给管路的导入管、插在该导入管内并在与导入管内壁表面之间形成螺旋形流路的流路形成管、至少插在所述流路形成管内的加热装置。
本申请的第五个发明是按照本申请的第二个发明,其特征在于所述载运气体加热装置包括连通到载运气体的供给管路上的导入管、插在该导入管内并在与导入管内壁表面之间形成螺旋形流路的盘管形部件、至少插在所述流路形成管内的加热装置。
按照本发明,如果上述蒸汽发生装置是利用所述加热装置加热的所述载运气体使干燥气体用液体成雾状并加热生成所述干燥气体的装置,则这种装置可以是适用的任意结构的装置,例如,所述蒸气发生装置可以主要由在管状体内形成与载运气体的供给管路连通的冲击波形成部件、靠近所述冲击波形成部件并用于供给干燥气体用的液体的供给口、位于所述冲击波形成部件及供给口附近或设置在下游侧管状体内部和/或外部的加热装置构成。
另外,所述流量控制装置最好包括设置在供给管路上的开度调整阀、将由检测装置检测出的处理室内压力的检测信号与预先储存的信息进行比较计算的控制部件、根据来自控制部件的信号控制开度调整阀的工作压力的控制阀。
另外,最好在所述干燥气体的供给管路上设置干燥气体温度检测装置。在这种情况下,由热电偶构成所述温度检测装置,通过焊接将热电偶固定在金属制成的密封部件上,该密封部件装在固定于形成供给管路的管体上的支承螺母和与支承螺栓螺纹连接的安装螺栓之间。
按照本发明,在加热从载运气体供给源供给的载运气体并供给蒸汽发生装置的蒸汽发生装置中,由载运气体使供给蒸气发生装置的干燥气体用液体成雾状,并且通过加热装置的加热来生成干燥气体。然后,将生成的干燥气体供给处理室,通过使容纳在处理室内的被处理体与干燥气体的接触来对被处理体进行干燥。
另外,由于流量控制装置的控制,只向处理室内供给规定的少量干燥气体,所以在干燥过程中,在处理室的压力低于大气压的情况下,将干燥处理完成之前处理室的低压气氛转变成大气压气氛时可抑制压力的急剧变化,并能防止飞散的颗粒等附着在被处理体上。
另外,由于载运气体加热装置设有与载运气体供给管路连通的导入管、插在该导入管内并在导入管内壁表面之间形成螺旋状流路的流路形成管、插在流路形成管内的加热装置,或用盘管状部件取代流路形成管构成螺旋状流路,所以能提高载运气体与加热装置的接触面积和接触滞留时间,这样就能提高了载运气体加热装置的传热效率,同时可将规定温度的载运气体供给蒸气发生装置。因此,在使载运气体加热装置小型化的同时可提高干燥气体的生成效率。
另外,由于蒸气发生装置主要由形成在与载运气体的供给管路连通的管状体内的冲击波形成部件、靠近所述冲击波形成部件并用于供给干燥气体用的液体的供给口、位于所述冲击波形成部件及供给口附近或设置在下流侧管状体内部和/或外部的加热装置构成,所以可以较高的效率快速地使干燥气体用液体生成干燥气体。这样就能提高干燥处理的效率,同时能蒸气发生装置小型化。
此外,在流量控制装置上具有设置在供给管路上的开度调整阀、将检测装置检测出的处理室内压力信号与预先储存的信息进行比较计算的控制部件、根据该控制部件的信号控制开并调整阀的工作压力的控制阀,处理室内的压力可随所控制的开度调整阀的变化而变化,在干燥过程中,处理室内的压力处在低于大气压的情况下,干燥完成后处理室内从低压气氛平滑地转变到大气压气氛。因此,将处理室的低压气氛转变成大气压气氛时可防止颗粒飞散,同时可防止颗粒附着在被处理体上。
另外,由于在干燥气体的供给管路上设有干燥气体的温度检测装置,所以可使干燥气体的温度保持在最佳状态。在这种情况下,由热电偶构成温度检测装置,通过焊接将热电偶固定在金属制成的密封部件上,该密封部件装在固定于形成供给管路的管体上的支承螺母和与支承螺栓螺纹连接的安装螺栓之间,这样就可正确地测定干燥气体的温度,同时可防止发生外部漏损,并且相对于温度周期来说可提高温度检测装置的可靠性。
图1是本发明用于干燥处理装置的清洗处理系统的平面示意图;
图2是上述清洗系统的侧面示意图;
图3是本发明干燥处理装置的结构示意图;
图4(a)是本发明载运气体加热器的剖面图,图4(b)是图4(a)的局部剖面图;
图5是本发明载运气体加热器的其它实施例的局部放大剖面图;
图6是本发明蒸气发生器的一个实例的剖面图;
图7表示蒸气发生器内的一次压力与干燥气体流量的关系;
图8是本发明流量控制装置的结构示意图;
图9是上述流量控制装置的控制阀的一个实例的剖面衅;
图10是本发明处理室的剖面示意图;
图11是本发明温度检测装置的安装状态的剖面图;
图12是与本发明有关的干燥处理装置的其它结构示意图;
图13(a)是本发明蒸气发生器的加热器的其它实例的剖面图,图13(b)是该蒸气发生器主要部件的局部剖面图。
下面将根据附图详细说明本发明的实施例。这些实施例适用于半导体晶片清洗处理系统。
图1是适用于本发明的干燥处理装置的清洗处理系统的一个实例的平面示意图,图2是上述清洗系统的侧面示意图。
上述清洗处理系统主要由用于以水平状态容纳的作为被处理体即半导体晶片W(下文简称为晶片)的容器,例如托架1送入或送出的输送部分2;对晶片W用药液、清洗液等进行液体处理同时干燥处理的处理部分3;设置在输送部件2与处理部件3之间进行晶片转移、位置调整和姿势变换等的交界面部分4构成。
上述输送部分2由并排设置在清洗处理系统的一侧端部的送入部件5和送出部分6构成。另外,在送入部分5和送出部分6的托架1的送入口5a和送出口6b处设有使托架1自由滑动地从送入部分5、送出部分6进出的承载台。另外,在送入部分5和送出部分6分别配置了托架升降器8(容器输送装置),利用该托架升降器8可将托架1在送入部分与送出部分之间进行输送,同时,该托架升降器具有可将空托架1交接到设置在输送部分2上方的托架待机部分9并从托架待机部分接收空托架的结构(参照图2)。
上述交界面部分4由隔壁4c将其分为与送入部分邻接的第1室4a和与送出部分6邻接的第2室4b。在第1室4a内配置了从送入部分5的托架1上取出若干枚晶片W并以水平方向(X,Y方向)、垂直方向(Z方向)和可旋转方向(方向)输送的晶片取出臂10(基板取出机构);检测晶片W上设置的凹槽的凹槽直线对准器11(位置检测机构)以及对由晶片取出臂10取出的若干枚晶片W的间隔进行调整的间隔调整机构12同时将水平状态的晶片W转变到垂直状态的第1位置转变装置13(位置转变机构)。
另外,在第2室4b内配置了将处理过的若干枚晶片从处理部分3以原来的垂直状态接收并输送的晶片交接臂14(基板输送机构);将从晶片交接臂14接收的晶片从垂直状态转变成水平状态的第2位置转变装置13a(位置转变机构);接收由该第2位置转变装置13A变换成水平状态的若干枚晶片并存放于送到送出部分6的空托架1内的可向水平方向(X,Y方向)、垂直方向(Z方向)和转动方向(方向)输送晶片的晶片接收臂(基板接收机构)。另外,第2室4b是从外部密封的,如图所示,该室具有用惰性气体,例如氮气(N2)供给源供给的N2气置换室内气体的结构。
另一方面,在上述处理室内配置有呈直线状态排列的去除附着在晶片W上的颗粒和/或有机污染物的第1处理单元16;去除附着在晶片W上的金属污染物的第2处理单元17;去除附着在晶片W上的酸化膜同时进行干燥处理的清洗·干燥处理单元即本发明的干燥处理装置18以及卡盘清洗单元19;并配置有设置在与各单元16-19相对的位置上的输送管路20上的可沿X,Y方向(水平方向)、Z方向(垂直方向)和旋转方向(θ)输送晶片的输送臂21。
如图3所示,上述干燥处理装置18包括通过供给管路31a与载运气体,如氮气(N2)供给源30相连的用于加热N2气的N2气加热器32(以下只简单称为加热器);其一侧通过供给管路31b与加热器32相连,另一侧通过供给管路31c与干燥气体用的液体,如IPA的供给源33相连并用于产生蒸气的蒸气发生器34;配置在连接蒸气发生器34和干燥处理室35的供给管路31d上(以下只简单称为处理室)的流量控制器36。
在这种情况下,在连接N2气供给源30和加热器32的供给管路31a上设有开关阀37a。另外,在连接供给源33和加热器32的供给管路31c上设有开关阀37b,该开关阀37a的IPA供给源侧经分支路38和开关阀37c连接有IPA回收部分39。而且,如图3的双点划线所示,在蒸发器34中,根据需要连接IPA排放管40,排放管40上设有疏水阀41并与设有止回阀42的分支管路40a相连。由于连接了这种排放管40和止回阀41,所以在蒸气发生器34内进行清洗时很容易将清洗液排出。
如图4(a)所示,上述加热器32主要由连接在N2气供给管路上的导入管43、插在该导入管43内并在与导入管43的内壁表面之间形成螺旋状流路44的流路形成管45、插在该流路形成管45内的加热装置,例如筒形加热器46构成。
在这种情况下,导入管43具有其一端与供给管路31a相连的流入口43a,其另一端的侧面设有与供给管路31b相连的流出口43b。另外,如图4(b)所示,流路形成管45的外周表面形成例如凸台形螺旋状凹凸槽47,由该凹凸槽47和导入管43的内壁43c形成螺旋状流路44。另外,螺旋状流路44也不一定要采用这种结构,例如可在导入管43的内壁表面上形成螺旋状的凹凸槽并且流路形成管45的外周表面为平坦表面也是很适合的,或者在导入管43的内壁表面和流路形成管45的外周表面都形成螺旋状凹凸槽从而形成螺旋状流路。另外,在作为加热装置的上述筒形加热器46上增设用于加热导入管43外部的加热器也是很适合的。
上面对由导入管43和插在该导入管43内的流路形成管45形成螺旋状流路44的情况进行了说明,如图5所示,也可以由导入管43和插在该导入管43内的螺旋状部件,如盘簧45a形成螺旋状流路44也是适合的。也就是说,可以在导入管43内插入盘簧45a,同时在盘簧45a内插入筒形加热器46,从而由导入管43和筒形加热器46之间的盘簧45A形成螺旋状流路44。
如上所述,通过在连接在N2气供给源30侧的供给管路31a上的导入管43与插在该导入管43内的流路形成管45或盘簧45a之间形成螺旋状流路44,并且在流路形成管45内插入筒形加热器46,延长了N2气流路和筒形加热器46接触的流路长度,同时形成螺旋状流,与没有这种结构的情况相比,可加大流速,其结果可使雷诺数(Re数)和努谢尔数(Nu数)增大,可使边界层成为紊流区域并提高了加热器32的传热效率。因此,由于一个筒形加热器46可以所规定的温度,例如200℃高效地加热N2气,所以可使加热器32小型化。另外,在必须更大地提高加热温度的情况下,导入管43的外侧配置一个外筒加热器。
如图6所示,上述蒸气发生器34由连接在载运气体供给管路31b上的例如不锈钢制成的管状体50形成,在该管状体50的内周表面形成由沿载运气体流动方向逐渐变窄的渐缩圆锥面51a及从该渐缩圆锥面51a的细小部分51b开始沿流动方向逐渐扩张的渐扩圆锥面51c构成的冲击波形成部分51。该冲击波形成部分51利用冲击波形成部分51的流入侧压力(一次压力)和流出压力(二次压力)的压力差形成冲击波。
例如,可通过适当地选择一次压力(kgf/cm2G)和N2气的通过流量(N1/min)来形成冲击波。如图7所示,在细小部分51b的内径为1.4(mm),1.7(mm),2.0(mm)的情况下,假如要求与二次压力相关的一次压力例如为2(kgf/cm2G),那么N2的通过流量为40(N1/min),60(N1/min),80(N1/min)的时候产生冲击波。在这种情况下,在连接冲击波形成部分51的一次侧和二次侧的分支管路52上设置压力调节阀53,通过调节该压力调节阀53可适当设定冲击波的发生条件。
另外,在一次侧,如果可在预定的较高的压力范围内调整N2的压力或流量,则不必使用压力调节阀53就可形成冲击波。也就是说,如图12所示,将用于调节N2气的压力或流量的N2气压力调节装置30A连接在N2供给源30上,另外,去除了分支管路52和压力调节阀53。在这种情况下,可提供预定高的压力范围的N2气供给源30必须以比通常的压力更高的压力供给N2气。通过利用压力调节装置30A调节从N2气供给源提供的N2气的压力水平,可调节冲击波形成部分51的流入侧压力(一次压力)和流出侧压力(二次压力)的压力差,从而可适当设定冲击波的发生条件。
在如此形成的冲击波形成部分51的扩张圆锥面51c的某一位置处开设一个IPA供给口54。通过IPA供给管路,即供给管路31c将IPA供给源33连接在供给口54上。而且,内筒加热器55插在扩张圆锥面51c的流出侧的管状体50内。外筒加热器56设置在内筒加热器的外侧。在同样的情况下,也可在冲击波形成部分51和IPA供给口54附近设置加热器。
另外,在如图12所示的流量调节装置供给管路31c处设置泵等流量调节装置37d也是很适宜的。在这种情况下,利用流量调节装置37D根据需要容易调节从IPA供给源33向冲击波形成部分51供给IPA的流量。
另外,如图13(a)、图13(b)所示,也可用具有与加热器32类似结构的加热器140来取代内筒加热器55和外筒加热器56。
如图13(a)所示,加热器140主要由连接冲击波形成部分51的导入管143、插在该导入管143内并与导入管143的内壁表面之间形成螺旋状流路的流路形成管145、插在该流路形成管145内部的加热装置如筒式加热器146构成。
在这种情况下,导入管143的一端处设有与冲击波形成部分51连接的流入口143a,另一端的侧面设有与供给管路31b相接的流出口143b。另外,如图13(b)所示,在外周表面上形成如台形螺旋状的凹凸槽147,由该螺旋状凹凸槽147和导入管143的内壁表面143c形成螺旋状流路144。另外,螺旋状流路144也不一定非要采用这种结构,例如也可在导入管143的内壁表面上形成螺旋状凹凸槽,而流路形成管145的外周表面为平坦表面,或者在导入管143的内壁表面和流路形成管145的外周表面都形成螺旋状凹凸槽,从而形成螺旋状流路也是适当的。此外,设置对在作为加热装置的上述筒式加热器146上的导入管143的外部进行加热的加热器也是很适用的。
上面对导入管143和插在该导入管143内的流路形成管145之间形成螺旋流路144的情况进行了说明,加热器32在与图5所示相同的情况下,在导入管143和插在该导入管143内的螺旋状部件,例如盘簧45a之间形成螺旋状流路144也是很适用的。也就是说,将盘簧45a插在导入管143内,同时将筒式加热器146插在盘簧45a内,这样,利用处在导入管143与盘簧45a之间的盘簧45a可形成螺旋流路144。
如上所述,通过在连接冲击波形成部分51的导入管143与插在该导入管143内的流路形成管145或盘簧45A之间形成螺旋状流路144,并且筒式加热器146插在流路形成管145内,所以与IPA气的流路及筒式加热器146接触的流路延长了,同时形成螺旋状流,与没有这种结构以外的情况相比,可加大流速,其结果可使雷诺数(Re数)和努谢尔数(Nu数)增大,可使边界层成为紊流区域并提高了加热器140的传热效率。因此,由于一个筒形加热器146可以所规定的温度,例如200℃高效地加热N2气,所以可使加热器140小型化。另外,在必须更大地提高加热温度的情况下,导入管143的外侧配置一个外筒加热器。
按照上述结构,如果将IPA供给源33供给的的IPA提供给冲击波形成部分51的供给口54,则利用由冲击波形成部分51形成的冲击波使IPA成雾状,然后通过加热器55,56的加热而生成IPA蒸气。这时的IPA浓度,例如在N2气的流量为100(N1/min)的情况下,在IPA的供给量为1(cc/sec),2(cc/sec),3(cc/sec)时,IPA的浓度分别为约20(%),约30(%),约40(%)。
另外,上面对将供给口54设置在冲击波形成部分51的二次侧,即在产生冲击波的后侧的情况作了说明,但不一定必须采用这种结构,也可将供给口54设置在冲击波形成部分51的一次侧,即产生冲击波前的位置,N2气和IPA混合后,利用冲击波使之变成雾状也是较为适合的。
如图3和图8所示,上述流量控制装置36包括设置在供给管路31d上的开度调节阀,例如隔膜阀60;根据作为检测上述处理室35内压力的检测装置的压力传感器61的信号和预先储存信息进行比较计算的控制部分,例如CPU62(中央处理器);在CPU62的信号的基础上控制隔膜阀60的动作压的控制阀,如微型阀63。
在这种情况下,如图9所示,在微型阀63中,排放通路65与上述隔膜阀60的工作流体,如空气的流入通路64连通,在该排放通路65相对面上通过的挠性部件66构成容纳控制液体,如热伸缩性油67的室68,同时,在室68的与挠性部件66相对的表面上设有多个电阻加热器69。在这种情况下,挠性部件66具有处于上部件63a与下部件63c之间的中间部件63b,并具有与下部件63c连接的基座63d,中间部件63b通过挠性部件66的挠性变形开闭排放通路65。
由于这种结构,将来自上述CPU62的信号进行数字/模拟转换后送到电阻加热器69,电阻加热器69进行加热的同时,控制液体,即油67的膨胀收缩,这种挠性部件66的在流入侧用于液体流动的排出管路65上部处于开启状态,这样可对控制流体,即气体进行压力调节。因此,通过利用微型阀63进行延迟控制的流体即空气使隔膜阀60动作并将处理室35内的压力与预先储存的信息进行比较,并且控制隔膜阀60的动作,以向处理室35内提供N2气。
另一方面,如图10所示,上述处理室35形成在存留有例如氟化氢酸等药液或纯水等清洗液,在存留的洗净液内浸渍晶片W的清洗槽70的上部,处理室上部设有送入送出晶片用的开口部分70A,其上安装有可开关的盖板71。另外,在处理室35和清洗槽70之间设有保持多个(例如50个)晶片W并可使晶片W在清洗槽70内和处理室35内移动的保持装置,例如晶片托架72。此外,在处理室35内设用于冷却提供给该室35的IPA气体的冷却管73。
另外,清洗槽70由底部具有排出口74的内槽75、接收从内槽75溢流的清洗液的外槽76构成。在这种情况下,由配设在内槽75下部的药液或纯水的供给喷嘴77向内槽75下部提供药液或纯水,晶片W浸渍在贮存的药液或纯水中就会被洗净。
另外,设置在外槽76的底部的排出口76a上连接一根排出管76b。按照这种结构,利用晶片托架72将清洗处理后的晶片W输送到处理室35内,在处理室35内晶片W与供给的IPA气接触,IPA气的蒸气受到冷凝或吸附,从而去除了晶片W中的水分,并进行了干燥。
另外,在供给管路31d上的上述隔膜阀60下流侧(二次侧)设置过滤器80,形成供给较少粒子的干燥气的结构。另外,在供给管路31d的外侧设置了保温用的加热器81,以使IPA气的温度保持恒定。
而且,在供给管路31d上的处理室侧设有IPA气的温度传感器90(温度检测装置),用于测定供给管路31d中流动的IPA气的温度。该温度传感器90采用热电偶95,并且如图11所示,热电偶95*通过焊接被固定在金属制成的密封部件,例如金属密封垫圈94上,该密封垫圈94装配在固定于如不锈钢制成的构成供给管路31A的同时与管体31A上开的插入孔31E连通的贯穿孔91的例如不锈钢的支承螺栓92和与该支承螺栓92螺纹结合的例如不锈钢安装螺栓93之间。
在这种情况下,支承螺栓92和安装螺栓93分别嵌着贯穿热电偶95的凸缘和套管96、97,在安装螺栓93的套管97上固定有使热电偶95保持固定的保持筒98。如此构成的温度传感器90安装在供给管路31d上,并且再将金属密封垫圈94通过溶接(例如钨极惰性气体保护电弧焊,即TLG焊接)方式固定在热电偶95上,将热电偶95的前端侧插在支承螺栓92内的贯穿孔91及插入孔31e内,从而使热电偶95配置在供给管路31d上,另外,由于使安装螺栓93与支承螺栓92结合在一起,所以可将金属密封垫圈94以挟持固定状态安装在支承螺栓92的套管96与安装螺栓93的套管97的凸缘96a,97a之间。因此,由于只有较少的安装部件的死空间,所以利用热电偶95可正确地测定在供给管路31d中流动的IPA气的温度,此外可防止外部泄漏,同时可相对于温度周期提高温度传感器90的可靠性。另外,上面对温度传感器进行了说明,但利用这种结构也可检测其它采样的例如浓度等。
下面,说明本发明的干燥处理装置的动作
另外,将输送到上述清洗槽70的晶片W进行清洗处理后,向上提升晶片托架72,使晶片W移动到处理室35内。这时,将处理室35的盖板71关闭。在这种状态下,利用由上述加热器32加热的N2气,可在蒸气发生器34内生成干燥气体,即IPA气,并将生成的IPA气供给处理室35,IPA气与晶片W接触,使IPA气的蒸气被冷凝或吸附,从而去除了晶片中的水分及进行了干燥。
在干燥处理完成后或即将完成之前,停止供给IPA。干燥过程中,有时须从排出管76b中排气或必须进行减压,有时应使处理室35内的压力降到大气压力以下。因此,在CPU中将来自压力传感器61的检测处理室35压力的信号与预先储存的信息进行比较计算,然后将输出信号输送到微型阀63,在微型阀63以延迟控制方式控制流体如空气,使隔膜阀60动作,根据处理室35内的压力向处理室35提供少量的N2气,使处理室35内的气氛慢慢地从低于大气压的压力转变到大气压。因此,干燥处理后的处理室35内的气氛不必从低于大气压力的压力一直不间断地转变到大气压力,这样可防止由于提升而使颗粒等附着在晶片上。
这样,当处理室35内的压力转变成大气压力之后,将板71打开,在向上移动到处理室35上方的输送臂(如图所示)与上升的晶片托架72之间进行晶片W的交接。接收了晶片W的输送臂从处理室35的上方后退并将晶片W输送到上述交界部分4处。
另外,上述实施例中已对本发明的干燥处理装置适用于半导体晶片W的清洗处理情况进行了说明,该实施例不仅适用于清洗处理方式,当然也适用于以其它方式处理半导体晶片,另外,它不仅适用于处理半导体晶片,显然还适用于处理LCD用玻璃基板等。
另外,上述实施例已对有关干燥方法、水与IPA置换的方法进行了说明,但通过利用马栾哥尼效应的其它干燥装置向处理室内供给干燥气体以干燥被处理体的方法和装置显然也是适用的。

Claims (9)

1.一种向容纳被处理体的处理室内供给干燥气体,以对被处理体进行干燥处理的方法,其特征在于包括如下步骤:
加热载运气体的步骤;
利用加热的所述载运气体使干燥气体用的液体变成雾状,同时生成经过加热的所述干燥气体的步骤;和
控制供给所述处理室的所述干燥气体流量的步骤。
2.一种向容纳被处理体的处理室内供给干燥气体,以对被处理体进行干燥处理装置,其特征在于该装置包括:
加热载运气体的载运气体加热装置;
利用所述加热装置加热的所述载运气体来使干燥气体用液体雾化,同时生成加热的所述干燥气体的蒸气发生装置。
3.按照权利要求2的干燥处理装置,其特征在于:
该干燥处理装置还具有向所述处理室供给预定量的所述干燥气体的流量控制装置。
4.按照权利要求2的干燥处理装置,其特征在于:
所述载运气体加热装置还具有
与载运气体的供给管路相连的导入管;
插在所述导入管内、并在与导入管的内壁表面之间形成螺旋状流路的流路形成管;
至少插在所述流路形成管内的加热装置。
5.按照权利要求2的干燥处理装置,其特征在于:
所述载运气体加热装置还具有
与载运气体的供给管路相连的导入管;
插在所述导入管内、并在与导入管的内壁表面之间形成螺旋状流路的螺旋状部件;
至少插在所述螺旋状部件内的加热装置。
6.按照权利要求2的干燥处理装置,其特征在于:
所述蒸气发生装置还具有
形成在与载运气体的供给管路连通的管状体内的冲击波形成部件;
位于所述冲击波形成部件附近、并用于供给干燥气体用的液体的供给口;和
配置在所述冲击波形成部件和供给口附近或其下游侧的管状体内和/或外的加热装置。
7.按照权利要求3的干燥处理装置,其特征在于:
所述流量控制装置还具有
设置在供给管路上的开度调节阀;
将来自检测所述处理室内压力的检测装置的信号与预先储存的信息进行比较计算的控制部件
根据该控制部件的信号控制所述开度调节阀的动作的控制阀。
8.按照权利要求2的干燥处理装置,其特征在于:
在所述干燥气体的供给管路上配置检测干燥气体的温度的温度检测装置
9.按照权利要求8的干燥处理装置,其特征在于:
以热电偶作为所述温度检测装置,通过焊接将热电偶固定在金属制成的密封部件上,该密封部件装在固定于形成供给管路的管体上的支承螺母和与支承螺栓螺纹连接的安装螺栓之间。
CNB981024998A 1997-05-16 1998-05-16 干燥处理方法及其装置 Expired - Fee Related CN1138117C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP141112/97 1997-05-16
JP141112/1997 1997-05-16
JP14111297A JP3230051B2 (ja) 1997-05-16 1997-05-16 乾燥処理方法及びその装置

Publications (2)

Publication Number Publication Date
CN1201897A true CN1201897A (zh) 1998-12-16
CN1138117C CN1138117C (zh) 2004-02-11

Family

ID=15284450

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB981024998A Expired - Fee Related CN1138117C (zh) 1997-05-16 1998-05-16 干燥处理方法及其装置

Country Status (8)

Country Link
US (1) US6134807A (zh)
EP (1) EP0878832B1 (zh)
JP (1) JP3230051B2 (zh)
KR (1) KR100483310B1 (zh)
CN (1) CN1138117C (zh)
DE (1) DE69838120T2 (zh)
SG (1) SG74629A1 (zh)
TW (1) TW373259B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101210769B (zh) * 2006-12-25 2010-04-07 中芯国际集成电路制造(上海)有限公司 晶片干燥方法及装置
CN102435058A (zh) * 2011-07-08 2012-05-02 友达光电股份有限公司 干燥设备、去光阻设备及干燥方法
CN102467141A (zh) * 2010-10-28 2012-05-23 汉唐科技股份有限公司 烘烤与烘烤后的低温干燥环境控制整合装置

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AT407680B (de) * 1999-06-04 2001-05-25 Sez Semiconduct Equip Zubehoer Verfahren und vorrichtung zum trocknen von scheibenförmigen gegenständen
US6401353B2 (en) * 2000-03-08 2002-06-11 Dainippon Screen Mfg. Co., Ltd. Substrate dryer
US6502591B1 (en) * 2000-06-08 2003-01-07 Semitool, Inc. Surface tension effect dryer with porous vessel walls
US6401361B1 (en) * 2000-11-15 2002-06-11 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for drying wafers by a solvent
KR20020087310A (ko) * 2001-05-15 2002-11-22 삼성전자 주식회사 웨이퍼 건조 장치
US6519869B2 (en) * 2001-05-15 2003-02-18 United Microelectronics, Corp. Method and apparatus for drying semiconductor wafers
DE10216786C5 (de) * 2002-04-15 2009-10-15 Ers Electronic Gmbh Verfahren und Vorrichtung zur Konditionierung von Halbleiterwafern und/oder Hybriden
JP3802446B2 (ja) * 2002-05-15 2006-07-26 東邦化成株式会社 基板乾燥方法およびその装置
US6918192B2 (en) * 2002-11-07 2005-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate drying system
US6928748B2 (en) * 2003-10-16 2005-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Method to improve post wafer etch cleaning process
JP2006156648A (ja) * 2004-11-29 2006-06-15 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
US7637029B2 (en) * 2005-07-08 2009-12-29 Tokyo Electron Limited Vapor drying method, apparatus and recording medium for use in the method
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
JP5043021B2 (ja) * 2005-10-04 2012-10-10 アプライド マテリアルズ インコーポレイテッド 基板を乾燥するための方法及び装置
KR100786700B1 (ko) * 2006-07-14 2007-12-21 삼성전자주식회사 건조 방법 및 이를 수행하기 위한 장치
JP4762835B2 (ja) 2006-09-07 2011-08-31 東京エレクトロン株式会社 基板処理方法、基板処理装置、プログラムおよびプログラム記録媒体
JP4805862B2 (ja) * 2007-02-21 2011-11-02 富士通セミコンダクター株式会社 基板処理装置、基板処理方法、及び半導体装置の製造方法
US8701308B2 (en) * 2008-06-02 2014-04-22 Tokyo Electron Limited Fluid heater, manufacturing method thereof, substrate processing apparatus including fluid heater, and substrate processing method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102602684A (zh) * 2012-03-14 2012-07-25 深圳市华星光电技术有限公司 液晶面板基材的运输控制方法及系统
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
ITMI20131867A1 (it) * 2013-11-11 2015-05-12 Iwt Srl Apparato di abbattimento vapori per macchine di lavaggio e macchina di lavaggio comprendente apparato
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103920630B (zh) * 2013-12-03 2016-09-21 华南理工大学 一种有机涂层充氮控氧密闭循环干燥设备及工艺
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9349620B2 (en) * 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6543481B2 (ja) 2015-02-23 2019-07-10 株式会社Screenホールディングス 蒸気供給装置、蒸気乾燥装置、蒸気供給方法および蒸気乾燥方法
US9829249B2 (en) * 2015-03-10 2017-11-28 Mei, Llc Wafer dryer apparatus and method
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101860631B1 (ko) * 2015-04-30 2018-05-23 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220009518A (ko) * 2020-07-15 2022-01-25 세메스 주식회사 기판 처리 장치
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4736758A (en) * 1985-04-15 1988-04-12 Wacom Co., Ltd. Vapor drying apparatus
US4878931A (en) * 1988-08-29 1989-11-07 Quadrex Hps Inc. Two stage vapor recovery system
US4967486A (en) * 1989-06-19 1990-11-06 Glatt Gmbh Microwave assisted fluidized bed processor
US5222307A (en) * 1989-11-21 1993-06-29 Interface Technical Laboratories Co., Ltd. Drying method and apparatus therefor
US4982512A (en) * 1989-12-11 1991-01-08 Jvc Magnetics America Co. Vapor recovery system
US5054210A (en) * 1990-02-23 1991-10-08 S&K Products International, Inc. Isopropyl alcohol vapor dryer system
US5371950A (en) * 1990-02-23 1994-12-13 S & K Products International, Inc. Isopropyl alcohol vapor dryer system
NL9000484A (nl) * 1990-03-01 1991-10-01 Philips Nv Werkwijze voor het in een centrifuge verwijderen van een vloeistof van een oppervlak van een substraat.
US5052126A (en) * 1990-06-21 1991-10-01 Rolf Moe Vapor drier for semiconductor wafers and the like
JP2752001B2 (ja) * 1990-10-19 1998-05-18 株式会社日立製作所 蒸気乾燥装置
US5226242A (en) * 1992-02-18 1993-07-13 Santa Clara Plastics, Division Of Preco, Inc. Vapor jet dryer apparatus and method
US5351419A (en) * 1992-07-27 1994-10-04 Motorola, Inc. Method for vapor drying
JP2902222B2 (ja) * 1992-08-24 1999-06-07 東京エレクトロン株式会社 乾燥処理装置
JPH06177200A (ja) * 1992-12-09 1994-06-24 Hitachi Ltd 半導体集積回路装置の形成方法
JP3003016B2 (ja) * 1992-12-25 2000-01-24 東京エレクトロン株式会社 処理装置及び処理方法
US5575079A (en) * 1993-10-29 1996-11-19 Tokyo Electron Limited Substrate drying apparatus and substrate drying method
JP2894535B2 (ja) * 1994-01-18 1999-05-24 信越半導体株式会社 ウェーハホルダー
US5539995A (en) * 1994-03-16 1996-07-30 Verteq, Inc. Continuous flow vapor dryer system
US5535525A (en) * 1994-03-17 1996-07-16 Vlsi Technology, Inc. Vapor/liquid phase separator for an open tank IPA-dryer
JP3302170B2 (ja) * 1994-04-15 2002-07-15 新日本製鐵株式会社 竪型電解処理装置
JP3066249B2 (ja) 1994-07-28 2000-07-17 大日本スクリーン製造株式会社 蒸気発生装置
JPH08189768A (ja) * 1994-11-07 1996-07-23 Ryoden Semiconductor Syst Eng Kk 蒸気乾燥装置、それを組込んだ洗浄装置および蒸気乾燥方法
TW301761B (zh) * 1994-11-29 1997-04-01 Sharp Kk
US5752532A (en) * 1995-08-17 1998-05-19 Schwenkler; Robert S. Method for the precision cleaning and drying surfaces
US5715612A (en) * 1995-08-17 1998-02-10 Schwenkler; Robert S. Method for precision drying surfaces
KR980012044A (ko) * 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5815942A (en) * 1996-12-13 1998-10-06 Kabushiki Kaisha Toshiba Vapor drying system and method
JP3897404B2 (ja) * 1997-07-22 2007-03-22 オメガセミコン電子株式会社 ベーパ乾燥装置及び乾燥方法
US5884640A (en) * 1997-08-07 1999-03-23 Applied Materials, Inc. Method and apparatus for drying substrates

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101210769B (zh) * 2006-12-25 2010-04-07 中芯国际集成电路制造(上海)有限公司 晶片干燥方法及装置
CN102467141A (zh) * 2010-10-28 2012-05-23 汉唐科技股份有限公司 烘烤与烘烤后的低温干燥环境控制整合装置
CN102435058A (zh) * 2011-07-08 2012-05-02 友达光电股份有限公司 干燥设备、去光阻设备及干燥方法
CN102435058B (zh) * 2011-07-08 2014-06-11 友达光电股份有限公司 干燥设备、去光阻设备及干燥方法

Also Published As

Publication number Publication date
EP0878832B1 (en) 2007-07-25
EP0878832A3 (en) 2003-11-05
SG74629A1 (en) 2000-08-22
EP0878832A2 (en) 1998-11-18
CN1138117C (zh) 2004-02-11
JP3230051B2 (ja) 2001-11-19
DE69838120D1 (de) 2007-09-06
DE69838120T2 (de) 2008-04-10
US6134807A (en) 2000-10-24
JPH10321586A (ja) 1998-12-04
TW373259B (en) 1999-11-01
KR100483310B1 (ko) 2005-08-31

Similar Documents

Publication Publication Date Title
CN1138117C (zh) 干燥处理方法及其装置
CN1154533C (zh) 蒸发气体发生方法及其装置
CN100494821C (zh) 热介质循环装置及使用其的热处理装置
US7387968B2 (en) Batch photoresist dry strip and ash system and process
CN102356451B (zh) 处理装置
CN100490083C (zh) 衬底处理方法和衬底处理装置
US7604042B2 (en) Cooling mechanism with coolant, and treatment device with cooling mechanism
US20070113423A1 (en) Drying apparatus, drying method, substrate processing apparatus, substrate processing method, and program recording medium
US5951779A (en) Treatment method of semiconductor wafers and the like and treatment system for the same
JP4797068B2 (ja) 基板処理装置及び半導体装置の製造方法
KR20010034942A (ko) 화학기상증착(cvd) 장치
JPH1197403A (ja) 処理装置
US6895979B2 (en) Processing apparatus and processing method
CN105280523A (zh) 基板处理设备和方法
CN104246983A (zh) 高容量外延硅沉积系统的气体回收和减量系统
TWI810753B (zh) 液體供應單元及液體供應方法
JP3557599B2 (ja) 蒸気処理装置
JPH1128349A (ja) 蒸気発生方法及びその装置
US6695926B1 (en) Treatment method of semiconductor wafers and the like and treatment system for the same
CN216367331U (zh) 一种用于半导体废气处理的除湿装置
JP4025146B2 (ja) 処理液用タンク及び処理装置
US20210023582A1 (en) Apparatus for treating substrate
JPS62106630A (ja) 処理装置
JP2002313764A (ja) 高圧処理装置
JP3127357B2 (ja) 乾燥処理装置及び乾燥処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040211

Termination date: 20140516