JP5426814B2 - インプリントリソグラフィ用の材料 - Google Patents

インプリントリソグラフィ用の材料 Download PDF

Info

Publication number
JP5426814B2
JP5426814B2 JP2006554142A JP2006554142A JP5426814B2 JP 5426814 B2 JP5426814 B2 JP 5426814B2 JP 2006554142 A JP2006554142 A JP 2006554142A JP 2006554142 A JP2006554142 A JP 2006554142A JP 5426814 B2 JP5426814 B2 JP 5426814B2
Authority
JP
Japan
Prior art keywords
imprint
imprint material
material according
surfactant
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006554142A
Other languages
English (en)
Other versions
JP2007523249A (ja
JP2007523249A5 (ja
Inventor
シュ,フランク・ワイ
ワッツ,マイケル・ピイ・シイ
ステイシイ,ニコラス・エイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of JP2007523249A publication Critical patent/JP2007523249A/ja
Publication of JP2007523249A5 publication Critical patent/JP2007523249A5/ja
Application granted granted Critical
Publication of JP5426814B2 publication Critical patent/JP5426814B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D11/00Inks
    • C09D11/02Printing inks
    • C09D11/10Printing inks based on artificial resins
    • C09D11/101Inks specially adapted for printing processes involving curing by wave energy or particle radiation, e.g. with UV-curing following the printing

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Polymerisation Methods In General (AREA)
  • Macromonomer-Based Addition Polymer (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

本発明の1つ以上の実施態様は、一般的にインプリントリソグラフィに関する。特に、本発明の1つ以上の実施態様は、インプリントリソグラフィ用の材料に関する。
微細加工は非常に小さな構造、例えば、但し限定されないが約数マイクロメートル以下のフィーチャを有する構造の製造を含む。微細加工が相当に大きな影響を有した1つの領域は集積回路の加工である。半導体加工産業が、基板上に形成される単位面積当たりの回路を増大させながら、より高い生産収率のために努力し続けており、形成される構造の最小フィーチャ寸法の縮小を可能にしながら、より高いプロセス制御を微細加工が提供するので、微細加工は一層重要になっている。微細加工が用いられる他の開発領域には、バイオテクノロジー、光学技術、機械系等が含まれる。
代表的な微細加工技術は、Willsonらの特許文献1に開示されている。特に、Willsonらの特許は、構造内にレリーフパターンを形成するためのインプリントリソグラフィ方法を開示している。この方法は、(通常、スピン塗布された)転写層を有し、かつ次に(通常、小滴の形状の)低粘度の重合可能な(通常、紫外線硬化性の)流体組成物によって、転写層を被覆する基板を提供することを含む。この方法は、重合可能な流体組成物と、レリーフ構造を有するインプリントテンプレート又はモールドを機械的に接触させることを更に含み、重合可能な流体組成物が、インプリントテンプレートと、基板の間のギャップを充填し、かつインプリントテンプレートのレリーフ構造を充填する。次に、この方法は、重合可能な流体組成物を凝固させ、かつ重合させる条件に晒し(通常、重合可能な流体組成物を紫外線に曝露し、それを架橋させ)、それによりインプリントテンプレートのレリーフ構造と相補的なレリーフ構造を含む転写層上に凝固した高分子材料を形成することを含む。次に、この方法は、基板からインプリントテンプレートを分離し、基板上に固体高分子材料を残す。その固体高分子材料は相補的レリーフ構造の形状のレリーフパターンを含む。次に、凝固した高分子材料及び転写層は、凝固した高分子材料に対して転写層を選択的にエッチングする環境に晒され、レリーフ像を転写層内に形成する。
凝固した高分子材料の様々な表面への選択的接着に関する次の問題は、凝固した高分子材料内の微細フィーチャのレリーフパターン形成に有用な方法及び/又は材料を開発するときに、通常、考察される。第1に、凝固した高分子材料は、基板上の転写層に良好に接着すべきであり、かつ第2に、インプリントテンプレート表面から容易に剥離されるべきである。これらの問題は、通常、剥離性と呼ばれ、かつそれらが満たされるなら、凝固した高分子材料に記録されたレリーフパターンは、インプリントテンプレートを基板から分離させるときに歪められない。
上記剥離性に加え、インプリントリソグラフィ用のインプリント材料を設計する時に、更に考慮すべき事項には、次のものが含まれる(a)基板やインプリントテンプレート表面の両方に速く広がり、かつインプリント材料をレリーフパターンに速く充填するために、例えば、但し限定されないが、25℃で5センチポアズ以下の粘度の低粘度。インプリント材料をインプリントテンプレート上のレリーフパターンに移動させるために、最小圧力、例えば、但し限定されないが、約2−4psiの圧力が必要であり、かつ追加の加熱が必要ないように、粘度が、十分に低ければ、更に良い;(b)ほとんど蒸発がないように、低い蒸気圧(蒸発は問題である。インプリント材料の小滴は約80ピコリットルであり、かつこのことで、表面積と体積の間の大きな比率を有する小滴となるからである)及び(c)硬化インプリント材料の凝集強さ。
上記事項に照らして、1つ以上の上記特定設計基準を満たすインプリントリソグラフィ用のインプリント材料の必要性がある。
米国特許第6334960号明細書
本発明は、関連する粘度を有し、かつ界面活性剤と、重合可能な成分と、応答して粘度を変化させるために刺激に応答する開始剤とを含む組成物であって、その組成物が、液体状態で、約100センチポアズ未満の粘度と約20トル未満の蒸気圧とを有し、かつ固体硬化状態で、約100MPaを超える引っ張り係数と、約3MPaを超える破壊応力と、約2%を超える破断点伸びとを有することを特徴とする、インプリントリソグラフィ用の材料を対象とする。
図1は、本発明の1つ以上の実施形態によってインプリントリソグラフィを実行するために使用され、かつ本発明の1つ以上の実施形態によって製造されるインプリント材料を利用できるリソグラフィシステム10を示す。図1に示すように、システム10は、一対の離間したブリッジ支持体12を含み、ブリッジ支持体12は、その間に伸長するブリッジ14とステージ支持体16を有する。図1に更に示すように、ブリッジ14とステージ支持体16は離間している。インプリントヘッド18はブリッジ14に結合され、かつブリッジ14からステージ支持体16に向かって延びている。移動ステージ20は、インプリントヘッド18と対向するために、ステージ支持体16上に配置され、かつ移動ステージ20は、X、Y軸に沿ってステージ支持体16に対して移動するように構成される。放射線源22が、化学線を移動ステージ20に向けるようにシステム10に結合されている。図1に更に示すように、放射線源22は、ブリッジ14に結合され、かつ放射線源22に接続される発電機23を含む。代表的なシステムは、1807−C Braker Lane,Suite 100,Austin,Texas 78758に事業所を有するMolecular Imprints,Inc.から商品名IMPRIO 100(商標)で入手可能である。IMPRIO 100(商標)のシステム説明書は、www.molecularimprints.comで入手可能であり、かつ本明細書に参考として組み込む。
図1、2を参照すると、インプリントヘッド18に、モールド28を有するインプリントテンプレート26が接続される。モールド28は、複数の離間した凹部28aと凸部28bによって形成される複数のフィーチャを含む。複数のフィーチャは、移動ステージ20上に位置決めされる基板31に転写される元のパターンである。基板31は、むき出しのウェハ、又は上に配置される1つ以上の層を有するウェハを含むことができる。そのために、インプリントヘッド18は、Z軸に沿って移動し、モールド28と基板31の間の距離「d」を変化させるように構成されている。このようにして、以下でより十分に論じるように、モールド28のフィーチャが基板31の成形可能領域にインプリントされる。放射線源22は、その放射線源22と基板31の間にモールド28が位置決めされるように位置する。結果として、モールド28は、放射線源22によって生成された放射線を実質的に通すことができる材料から製造される。
図2、3を参照すると、インプリント層34のような成形可能領域が、実質的に平坦な輪郭を示す表面32の一部上に設けられる。成形可能領域は、表面32上で成形可能材料を生成するいかなる公知の技術も使用して形成できることが理解されるべきである。本発明の1つの実施形態によれば、成形可能領域は、以下でより十分に論じるように、基板31上に材料36aの複数の離間した離散小滴36として堆積されるインプリント層34からなる。インプリント層34は、同時に重合され、かつ架橋され、内部の元のパターンを記録し、かつ記録されたパターンを特定する低分子量材料36aから形成される。重合され、かつ架橋されて架橋高分子材料36cを形成した材料36aが図4に示されている。架橋は点36bに示す。
図2、3、5を参照すると、インプリント層34に記録されるパターンは、一部はモールド28との機械的接触によって生成される。このために、インプリントヘッド18は、インプリント層34が距離「d」を減少させ、モールド28に機械的に接触し、表面32の上に材料36aが連続的に形成されたインプリント層34を形成するように小滴36を広げる。1つの実施形態において、距離「d」は、インプリント層34の一部分34aが、凹部28aに進入し、かつ充填できるように減少させられる。
凹部28aの充填を容易にするために、材料36aを連続的に形成して、表面32を被覆しながら、凹部28aを完全に充填するという必須の特性が材料36aに備えられる。本発明の1つの実施形態によれば、凸部28bと重ね合わせたインプリント層34の一部分34bは、所望の、通常は最小距離「d」に達した後に残り、厚さt1を有する一部分34aと、厚さt2を有する一部分34bが残る。厚さ「t1」と「t2」は、用途に応じて所望のいかなる厚さであっても良い。
図2、3、4を参照すると、所望の距離「d」に達した後、重要な部分が架橋した高分子材料36cを形成するように、材料36aを重合し、架橋する化学線を放射線源22が生成する。結果として、材料36aは、図5に示す、固体であり、インプリント層134が形成された材料36cに変形する。具体的には、材料36cは、凝固し、凹部30を有するインプリント層134によって、インプリント層134の面側34cに、モールド28の表面28cの形状に一致する形状を与える(凹部の底部は、残留層と呼ばれる)。インプリント層134が、図4に示す材料36cからなるように変形された後、図2に示すインプリントヘッド18は、移動し、モールド28と、インプリント層134が離間するように、距離「d」を増加させる。
図5を参照すると、基板31のパターニングを完成させるために、追加の加工を用いることができる。例えば、基板31と、インプリント層134は、インプリント層134のパターンを基板31に転写し、パターン化表面(図示せず)を形成させるために、エッチングされても良い。エッチングを容易にするために、インプリント層134を形成する材料を変えて、基板31に対する相対エッチング率を、所望のように定めることができる。
そのために、エッチングは、2段階プロセスで実行されてもよい。S.C.Johnson、T.C.Bailey、M.D.Dickey、B.J.Smith、E.K.Kim、A.T.Jamieson、N.A.Stacey、J.G.Ekerdt及びC.G.Willsonは、www.molecularimprints.comでインターネットで入手可能であり、かつ本明細書に参考として組み込まれる「Advances in Step and Flash Imprint Lithography」、SPIE Microlithography Conference、February 2003と題した論文において、適切なエッチングプロセスを記載している。この論文に示されるように、「ブレイクスルーエッチング」と呼ばれる第1エッチングステップは、下層転写層までブレークスルーする(除去する)ために残留架橋材料134を異方性除去する(この点において、残留層を小さく保つことによってより良いエッチング選択性が可能となる)。「転写エッチング」と呼ばれる第2エッチングステップは、パターンを下層転写層に転写するためのエッチングマスクとして、架橋材料134内の残存パターンを使用する。1つの実施形態において、架橋材料134内のシリコンがあり、転写層内にシリコンが不足していることは、その間のエッチング選択性を提供する。そのような一実施形態におけるエッチングは、Fremont,CaliforniaのLam Research,Inc.から得られるLAM Research 9400SEでなされる。例えば、但し限定されないが、フッ素が豊富な、すなわち、前駆体の少なくとも1つが、フッ素含有材料である(例えば、但し限定されないが、CHF3とO2の組み合わせ、これは架橋材料134の有機ケイ素性質がハロゲンガスの使用を必要とする)異方性ハロゲン反応性イオンエッチング(「RIE」)を含む、ハロゲン「ブレイクスルーエッチング」を利用できる。他の適切なハロゲン化合物には、例えば、但し限定されないが、CF4が含まれる。このエッチングは、現代の集積回路加工で実行される標準SiO2エッチングと類似する。次に、異方性酸素反応性イオンエッチングが下層基板31にフィーチャを転写するために使用される。残存シリコンを含むフィーチャが、パターンを下層基板31に転写するためのエッチングマスクとして役立つ。「転写エッチング」は、例えば、但し限定されないが、標準的な、異方性酸素RIE加工ツールによって達成できる。しかしながら、一般的に所望のエッチング率と、基板31及びインプリント層134を形成する下層成分に応じて、いかなる適切なエッチングプロセスも用いることができる。代表的なエッチングプロセスには、プラズマエッチング、反応性イオンエッチング、化学的ウェットエッチング等が含まれる。
図1、2の両方を参照すると、代表的放射線源22は紫外線を生成するが、いかなる公知の放射線源も用いることができる。インプリント層34内で材料の重合を開始するために用いられる放射線の選択は、当業者に公知であり、かつ通常、所望の特定の用途によって決まる。その上、モールド28上の複数のフィーチャは、モールド28の断片に狭間つき胸壁の形状を与える、凸部28bと平行な方向に沿って伸長する凹部28aとして示される。しかしながら、凹部28aと、凸部28bは、集積回路を作るために必要とされる事実上いかなるフィーチャにも対応でき、かつ10分の数ナノメートル程度に小さくできる。
図1、2、5を参照すると、本パターニング技術によって生成されるパターンは、30:1程度の大きさのアスペクト比を有するフィーチャを得るために、基板31に転写できる。そのために、モールド28の1つの実施形態は、1:1から10:1の範囲にアスペクト比とされた凹部28aを有する。具体的には、凸部28bは、約10nmから約5000μmの範囲の幅W1を有し、かつ凹部28aは、約10nmから約5000μmの範囲の幅W2を有する。結果として、モールド28及び/又はテンプレート26は、種々の従来の材料、例えば、但し限定されないが、溶融シリカ、石英、シリコン、有機重合体、シロキサン重合体、ホウケイ酸ガラス、フッ化炭素重合体、金属、硬化サファイア等から形成できる。
図1、2、3を参照すると、材料36aの特性は、用いられる堆積プロセスに照らして、基板31を効果的にパターン化するために重要である。上記のように、材料36aは、複数の離散し、かつ離間した小滴36として基板31上に堆積される。小滴36の組み合わされた量は、材料36aが、インプリント層34が形成される表面32の面積にわたって適切に分布するようになっている。結果として、インプリント層34は、同時に広げられ、かつパターン化され、パターンは、紫外線のような放射線への曝露によって、インプリント層34内にその後に設けられる。堆積プロセスの結果として、全ての厚さt1が、実質的に均質であり、かつ全ての厚さt2が、実質的に均質であるように、表面32にわたって小滴36内の材料36aの迅速かつ均等な広がりを容易にするために、材料36aが、ある種の特性を有することが望ましい。望ましい特性には、例えば、但し限定されないが、約0.5から約5センチポアズ(cps)の範囲の低粘度、及び基板31の表面とモールド28を湿らせ、かつ重合後に後続のピット又は穴の形成を回避する能力を有することが含まれる。これらの特性が満たされると、インプリント層34は、十分に薄く作られ、他方で図5に示す一部分34bのような薄い領域でピット又は穴の形成が回避される。しかしながら、材料36aの特性は、プロセスに依存し、かつ所望のように変化させられる。例えば、粘度は、100cps以上であっても良い。
前記特性を提供するために材料36aを形成する構成成分は異なっても良い。このことは、多数の異なる材料から形成される基板31に起因する。結果として、表面32の化学組成は、基板31が形成される材料に応じて変化する。例えば、基板31は、シリカ、リン化インジウム、ニオブ酸リチウム、タンタル酸リチウム、シリコン、プラスチック、砒化ガリウム、テルル化水銀等から形成される。その上、基板31は、一部分34b内に1つ以上の層、例えば誘電体層、金属層、半導体層、平坦化層等を含むことがある。
しかしながら、図2、3、4を参照すると、モールド28が、材料36aと材料36cの両方と接触する時、材料36aは所望の剥離性を満たす成分を含むことが望ましい。具体的には、モールド28のフィーチャの効果的な充填を確実に行うために、モールド28と材料36aの界面が、インプリント材料36aによってモールド28を湿らせることを容易とするように構築されることが望ましい。しかしながら、一旦材料36aが材料36cに凝固すると、材料36aが基板31の表面32に優先的に接着し、モールド28から容易に剥離されるはずである。このようにして、凝固した材料36c内に記録されるパターンの歪みは、最小限に抑えられる。材料36cの基板31への優先的な接着は、剥離性と呼ばれる。インプリント材料36cの剥離性は、TaniguchiらによってMeasurement of Adhesive Force Between Mold and Photocurable Resin in Imprint Technology、Japanese Journal of Applied Physics,part 1,vol.40、4194頁から始まる(2002)に記載された接着テストを用いて測定される。これらの剥離性の望ましい値が:(a)例えば、但し限定されないが、モールド28への接着力が約0.15kg以下で、(b)例えば、但し限定されないが、基板31への接着力が約1.14kg以上であることが発見された。接着力の比、すなわち基板31の接着力/モールド28の接着力[以下で、接着比と呼ぶ]が、5以上であることが望ましい。
上記剥離性に加え、インプリントリソグラフィ用にインプリント材料を設計する時は、更に考慮すべき事項には、次のものが含まれる。(a)基板上に望ましい湿りと広がりを与え、かつインプリントテンプレート上にフィーチャを速く充填させるための低粘度、例えば、但し限定されないが、5センチポアズ以下の粘度(最小の追加加熱又は追加加熱なしによる最小圧力(例えば、但し限定されないが、約2−4psiの圧力)が、インプリント材料をインプリントテンプレートのフィーチャに移動させるように、粘度が、十分に低いほうが良い)。(b)ほとんど蒸発がないように、低い蒸気圧(インプリント材料の小滴が、約80ピコリットルであり、かつこのことが、表面積と体積の間の大きな比率を有する小滴をもたらすので、蒸発は問題である)。(c)化学線、例えば紫外線、熱放射線等への曝露後に重合を開始する適切な開始剤の使用。(d)組成物の液体状態において、低粘度特性を満たし、かつ組成物の固体硬化状態で適切な機械的強度を提供する単量体成分、(e)エッチング選択性を提供するために望ましいシリコンを提供するためのシリル化単量体。
上記に加えて、我々は、適切なインプリント材料を設計する時に考慮されることが望ましい、重合されたインプリント材料の巨視的な機械的性質を発見した。それらには、(a)例えば、但し限定されないが、約100−400MPa以上の引っ張り係数、通常、高い方が良い、(b)例えば、但し限定されないが、約3−12MPa以上の破壊応力、通常、高い方が良い、(c)例えば、但し限定されないが、2%以上の破断点伸びが含まれる。
適切なインプリント材料の設計は、次の順で材料に焦点を当てる繰り返し手順である。(a)揮発性処方(すなわち低蒸気圧成分の使用)、(b)粘度制御(すなわち低粘度成分の使用)、(c)例えば1分未満、かつより適切には2秒未満の迅速な重合動特性、(d)成分相溶性、(e)機械的性質(引っ張り係数、破壊応力、破断点伸び、Tg)、(f)湿りと広がり(流動行動)、(g)接着性(インプリントテンプレートには低く、かつ基板には高い)。
低粘度の要件は、インプリント材料を製造するために使用される成分の選択を制限する。非極性単量体に基づき、重合された材料の強さを増やすために、妥協して、高い粘度の成分を加えることができる。例えば、エッチング選択性用のシリコンとするために添加されるシリコン含有アクリル酸単量体成分を有するビルディングブロックとして、イソボルニルアクリレートが特定される。通常、高粘度成分は、インプリント材料36aの全体的な粘度を5cps未満に維持するために、思慮深く添加される。
以上に示した設計上の考慮すべき事項を勘案し、かつ所望の剥離性を満たすために、フッ化界面活性剤の使用を加えることによって、我々は、インプリント材料を設計した。フッ化界面活性剤を利用する材料36aの代表的な組成は:(i)Morrisville,PennsylvaniaのGelest,Inc.から記号XG−1064で入手可能な、アクリロキシメチルペンタメチルジシロキサン(例えば、但し限定されないが、約37gm)と、(ii)Milwaukee,WisconsinのAldrich Chemical Companyから入手可能な、イソボルニルアクリレート(「IBOA」)(例えば、但し限定されないが、約42gm)と、(iii)Milwaukee,WisconsinのAldrich Chemical Companyから入手可能な、エチレングリコールジアクリレート(例えば、但し限定されないが、約18gm)と、(iv)紫外光開始剤、例えば、但し限定されないが、Tarrytown,New YorkのCIBA(登録商標)から記号Darocur 1173で入手可能な、2−ヒドロジ(hydrozy)−2−メチル−1−フェニル−プロパン−1−オン(例えば、但し限定されないが、約3gm)と、(v)FSO−100が、DUPONT商標から記号ZONYL(登録商標)FSO−100で入手可能な、界面活性剤である{FSO−100は、R1R2[式中、R1=F(CF2CF2)Y、但しYは、1から7までの範囲であり、かつR2=CH2CH2O(CH2CH2O)XH、但しXは、0から15までの範囲である]の一般的構造を有する}FSO−100(例えば、但し限定されないが、約0.5gm)とを混合することによって生成される(代表的比率を、重量で示した)。
材料36aの代替的な組成は:(i)Morrisville,PennsylvaniaのGelest,Inc.から記号XG−1064で入手可能な、アクリロキシメチルペンタメチルジシロキサン(例えば、但し限定されないが、約37gm)と、(ii)Milwaukee,WisconsinのAldrich Chemical Companyから入手可能な、イソボルニルアクリレート(「IBOA」)(例えば、但し限定されないが、約42gm)と、(iii)Milwaukee,WisconsinのAldrich Chemical Companyから入手可能な、エチレングリコールジアクリレート(例えば、但し限定されないが、約18gm)と、(iv)紫外光開始剤、例えば、但し限定されないが、Tarrytown,New YorkのCIBA(登録商標)から記号Darocur 1173で入手可能な、2−ヒドロジ−2−メチル−1−フェニル−プロパン−1−オン(例えば、但し限定されないが、約3gm)と、(v)FC4432が、記号FLUORAD(登録商標)FC4432で3M Companyから入手可能な、高分子界面活性剤であるFC4432(例えば、但し限定されないが、約0.5gm)とを混合することによって生成される(代表的比率を、重量で示した)。
材料36aのもう1つの代替的な組成は:(i)Morrisville,PennsylvaniaのGelest,Inc.から記号XG−1064で入手可能な、アクリロキシメチルペンタメチルジシロキサン(例えば、但し限定されないが、約37gm)と、(ii)Milwaukee,WisconsinのAldrich Chemical Companyから入手可能な、イソボルニルアクリレート(「IBOA」)(例えば、但し限定されないが、約42gm)と、(iii)Milwaukee,WisconsinのAldrich Chemical Companyから入手可能な、エチレングリコールジアクリレート(例えば、但し限定されないが、約18gm)と、(iv)紫外光開始剤、例えば、但し限定されないが、Tarrytown,New YorkのCIBA(登録商標)から記号Darocur 1173で入手可能な、2−ヒドロジ−2−メチル−1−フェニル−プロパン−1−オン(例えば、但し限定されないが、約3gm)と、(v)FC4430が、記号FLUORAD(登録商標)FC4430で3M Companyから入手可能な、高分子界面活性剤である、FC4430(例えば、但し限定されないが、約0.5gm)とを混合することによって生成される(代表的比率を、重量で示した)。
材料36aのシリコン含有組成物に加えて、材料36aの非シリコン含有組成物を用いることができる。代表的な非シリコン含有組成物には、i)約55gmのイソボルニルアクリレート、ii)約27gmのn−ヘキシルクリレート、iii)約15gmのエチレングリコールジアクリレート、iv)約0.5gmのZONYL(登録商標)FSO−100界面活性剤、v)組成物の約3gmであるDAROCUR(登録商標)開始剤が含まれる。
材料36aの追加の非シリコン含有組成物には、i)約55gmのイソボルニルアクリレート、ii)約27gmのn−ヘキシルクリレート、iii)約15gmのエチレングリコールジアクリレート、iv)約0.5gmのFC4432界面活性剤、v)組成物の約3gmであるDAROCUR(登録商標)開始剤が含まれる。
材料36aのもう1つの非シリコン含有組成物には、i)約55gmのイソボルニルアクリレート、ii)約27gmのn−ヘキシルクリレート、iii)約15gmのエチレングリコールジアクリレート、iv)約0.5gmのFC4430界面活性剤、v)組成物の約3gmであるDAROCUR(登録商標)開始剤を含む。上記組成物の各々は、組成物の運用年数を増加させるために、化学の技術分野において周知の安定剤も含まれる。
非シリコン含有組成物の更にもう1つの例には、i)約47gmのイソボルニルアクリレート、ii)約25gmのn−ヘキシルクリレート、iii)約25gmのエチレングリコールジアクリレート、iv)約0.5gmのZONYL(登録商標)FSO−100界面活性剤、v)組成物の約3gmであるDAROCUR(登録商標)開始剤が含まれる。
材料36aの更にもう1つの非シリコン含有組成物において、非フッ化界面活性剤とフッ化界面活性剤を含む界面活性剤混合物が含まれる。代表的な組成物には、i)約55gmのイソボルニルアクリレート、ii)約27gmのn−ヘキシルクリレート、iii)約15gmのエチレングリコールジアクリレート、iv)組成物の約3gm、かつ界面活性剤混合物の0.5gmであるDAROCUR(登録商標)開始剤が含まれる。代表的な界面活性剤混合物は、0.25gmのFC4432及び、Auburn,MichiganのDow Corning Corporationから記号Sylgard(登録商標)309で入手可能な、0.25gmのトリシロキサン界面活性剤を含む。
同様に、界面活性剤混合物は、上記のシリコン含有組成物と併せて使用できる。代表的な組成物には、(i)アクリロキシメチルペンタメチルジシロキサン(例えば、但し限定されないが、約37gm)、(ii)イソボルニルアクリレート(「IBOA」)(例えば、但し限定されないが、約42gm)、(iii)エチレングリコールジアクリレート(例えば、但し限定されないが、約18gm)、(iv)Darocur 1173開始剤(例えば、但し限定されないが、約3gm)、(v)0.25gmのFC4432とAuburn,MichiganのDow Corning CorporationからSylgard(登録商標)309で入手可能な0.25gmのトリシロキサン界面活性剤とからなる代表的な界面活性剤混合物が含まれる。
上記組成物の各々は、組成物の運用年数を増加させるために、化学の技術分野において周知の安定剤も含むことができる。インプリント材料は1%未満の界面活性剤構成する。しかしながら、界面活性剤の割合は1%より高くても良い。
上記インプリント材料によって提供される利点は、アプリオリ剥離層、すなわちインプリントテンプレート28上に配置される、別個の疎水性及び/又は低表面エネルギー剥離層の必要性をなくすことである。具体的には、組成物内に界面活性剤を含むことは、インプリント層34内に記録されたパターンの劣化又は歪みを回避しないとしても、減少させるために、モールド28とインプリント層34に望ましい剥離性を与える。
図6を参照すると、インプリント材料の小滴36内の界面活性剤分子は、約1秒未満で気液界面に向かって優先的に移動すると考えられる。このようにして、小滴36は、重合可能な成分が濃縮される領域137と比較して、領域136において高い界面活性剤濃度を有すると考えられる。これは、界面活性剤が、気液界面に向かって移動する傾向があり、かつその疎水性端部が気体に向かって整列するエネルギー最小化プロセスの結果であると考えられる。例えば、界面活性剤の疎水性端部は、整列し、液体から外に、かつ気体内に突き出し、かつ親水性端部は、整列し、液体内に突き出すと考えられる。しかしながら、インプリント材料が、インプリントテンプレート表面に接触する時、インプリントテンプレート表面上の曝露されたシラノール結合は、界面活性剤分子の親水性端部反転させ、かつ曝露されたシラノール結合と接触するようにさせ、それにより、疎水性端部が、下方に、例えばインプリントテンプレートの表面から外側に向くようになり接着力を減少させると考えられる。インプリントテンプレート表面に、弱く結合された界面活性剤ラメラ例えば2層の界面活性剤分子を含む)も形成されることが更に考えられる。
図2を参照すると、上記インプリント材料によって提供される追加の利点は、テンプレート洗浄と調製時間が短縮され、それ故に、全体的プロセスが簡略化されることである。当然に、上記インプリント材料は、先行技術において公知であるような、アプリオリ剥離層と共に用いることができる。
モールド28の剥離性を改善するもう1つの方法にはモールド28上に残留することとなる添加剤を含む調整混合物に、モールド28のパターンを曝露することによってモールド表面の表面エネルギーを減少させる、モールド28のパターンの調整が含まれる。代表的な添加剤は界面活性剤である。
上記インプリント材料は、インプリントテンプレートに適切な運用年数を提供しながら、実質的に高いフィーチャ忠実性インプリントリソグラフィを提供する際に有用である。例えば、40から50nmのフィーチャを有する25×25mmのパターニング領域有するインプリントテンプレート、すなわちモールドを用いて、最小のパターンフィーチャ劣化及び歪みを有する500のインプリントを生成した。
上記インプリント材料を使用する代表的なインプリント方法には、第1ステップとして、表面に親水性結合、例えば、但し限定されないが、シラノール(Si−OH)結合を作るために、石英インプリントテンプレート表面の前処理が含まれる。本発明の1つ以上の実施形態によれば、インプリントテンプレート表面は、表面を加水分解するために、すなわち表面にシラノール結合を作るために、H2SO4とH22の2.5:1溶液中に浸漬される。これは、ピラニア洗浄と呼ばれる。
次のステップとして、表面は、インプリントテンプレート表面を希釈された界面活性剤溶液(例えば、但し限定されないが、0.1%イソプロピルアルコール(IPA))によって噴霧することによって更に前処理される。界面活性剤は、インプリントテンプレート表面で効果的に、疎水性端部が表面から外側に突き出す。かかる整列は、表面にシラノール結合を作るための、表面のピラニア洗浄によって促進される。インプリントテンプレート表面の曝露は、表面を多量の前処理溶液に浸漬すること、表面を前処理溶液が浸透した布で拭くこと、表面に前処理溶液の流れを噴霧することを含む、当該技術分野において事実上いかなる公知の方法によっても達成できる。前処理溶液内のIPAは、モールド28を使用する前に蒸発させられる。このようにして、IPAによって、界面活性剤を表面に吸着させたままにしながら、表面から望ましくない汚染物質を除去することが容易になる。界面活性剤は、疎水性端部と、親水性端部を含むので、シラノール結合は、親水性端部が、シラノール結合の−OH端部に「付着し」、かつ疎水性端部が、表面から離れて向くように、界面活性剤の整列を促進する。次のステップにおいて、インプリントテンプレートと基板の間のギャップから、例えば、但し限定されないが、〜5psiヘリウムパージを使用して空気をパージする。
次のステップにおいて、界面活性剤を含むインプリント材料は、例えば、但し限定されないが、基板上に次のインプリント材料の実質的に等距離に小滴のパターンを置くことによって、又はスピン塗布によって、又は当業者に公知の他のいずれかの方法によって基板に設けられる。この例において、基板は、上層が架橋されたBARC材料である転写層によって被覆される(BARC又は「底部反射防止膜」は、通常スピン工程によって生成される有機反射防止膜である)。BARC層は、インプリント材料と転写層との間の混合を防ぐために使用される。その混合は、本明細書で使用される低粘度成分からなるインプリント材料を使用する時に、かかる成分が多数の重合体に対して溶解力を有するので、特に問題となるであろう。実質的な混合は、例えば、但し限定されないが、後続のエッチングプロセス中でのフィーチャの歪みのような問題を引き起こすことがある。このことは、フィーチャの厚さが50から100nm程度に小さい時、特に問題となる。次に、インプリントリソグラフィの普通のステップが実行される、すなわちインプリント材料を重合するための化学線への曝露、インプリントテンプレートと基板の分離、フィーチャパターンを基板に転写するための選択的エッチングである。
1種以上の界面活性剤を利用して、上記のようにインプリントテンプレート表面を前処理する時でも、1種以上の界面活性剤は、最終的に摩耗するインプリントテンプレートのシラノール表面に吸着されると考えられる。しかしながら、上記のように、インプリント材料内に含まれる界面活性剤は、小滴の気液表面に迅速に達し、インプリントテンプレート表面は、インプリントの正常な結果として再度塗布される。このようにして、本発明の1つ以上の実施形態により、界面活性剤溶液をインプリントテンプレート表面に適用する前処理ステップが削除できる。実際、本発明の1つ以上の更なる実施形態によれば、インプリントテンプレートは、界面活性剤溶液を表面に適用する前処理ステップの代わりとして、インプリント材料と数回、接触させることができる。
上記の本発明の実施形態は、代表的なものである。本発明の範囲内に留まりながら、多くの変更及び修正を、以上に説明した開示内容に行うことができる。従って、本発明の範囲は、上記説明を参照して決定されるべきでなく、代わりに添付の請求項を、同等物の全範囲と共に参照して決定されるべきある。
本発明の1つ以上の実施形態の実行に有用なリソグラフィシステムの斜視図である。 図1に示したリソグラフィシステムの簡略化した立面図である。 図2に示すインプリント層が、重合され、かつ架橋される前に、構成される材料の簡略化した表示である。 図3に示す材料が、放射線に晒された後に変形された架橋高分子材料の簡略化した表示である。 インプリント層のパターニング及び凝固/重合後に、図1に示すインプリント層から離間したモールドの簡略化した立面図である。 本発明によって基板上に配置されたインプリント材料の簡略化した立面図である。

Claims (14)

  1. インプリントリソグラフィ用のインプリント材料であって、
    界面活性剤と、単量体と、開始剤とを含む組成物を備え、
    その組成物が液体状態で25センチポアズ未満の粘度と20トル未満の蒸気圧とを有し、かつ固体硬化状態で、100MPaを超える引っ張り係数と、3MPaを超える破壊応力と、2%を超える破断点伸びを有し、
    前記界面活性剤が、ZONYL(R)のFSO−100、FLUORAD(R)のFC4430、およびFLUORAD(R)のFC4432のいずれかを含み、
    前記単量体が、エポキシと、アクリレートと、メタクリレートと、ビニルエーテルより構成される一組の単量体から選択されることを特徴とするインプリント材料。
  2. 前記単量体が、シリコンを内部に含む一組の重合可能な成分から選択される請求項1に記載のインプリント材料。
  3. 前記単量体が、置換アクリレートである請求項1に記載のインプリント材料。
  4. 前記単量体が、シリコン含有アクリレートである請求項1に記載のインプリント材料。
  5. 前記単量体が、一置換アクリレートと多官能置換アクリレートとから本質的になる一組の置換アクリレートから選択される請求項1に記載のインプリント材料。
  6. 前記開始剤が、光開始剤と熱開始剤とから構成される一組の開始剤から選択される請求項1に記載のインプリント材料。
  7. 前記開始剤が、ラジカル光開始剤から構成される一組の開始剤から選択される請求項1に記載のインプリント材料。
  8. 前記液体状態の前記粘度が、10センチポアズ未満である請求項1に記載のインプリント材料。
  9. 前記液体状態の前記粘度が、5センチポアズ未満である請求項1に記載のインプリント材料。
  10. 前記蒸気圧が5トル未満である請求項1に記載のインプリント材料。
  11. 前記蒸気圧が2トル未満である請求項1に記載のインプリント材料。
  12. 前記引っ張り係数は100MPa以上である請求項1に記載のインプリント材料。
  13. 前記破壊応力は3MPa以上である請求項1に記載のインプリント材料。
  14. 前記破断点伸びが8%以上である請求項1に記載のインプリント材料。
JP2006554142A 2004-02-23 2005-02-14 インプリントリソグラフィ用の材料 Active JP5426814B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/784,911 US8076386B2 (en) 2004-02-23 2004-02-23 Materials for imprint lithography
US10/784,911 2004-02-23
PCT/US2005/004415 WO2005082992A1 (en) 2004-02-23 2005-02-14 Materials for imprint lithography

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012139488A Division JP5753132B2 (ja) 2004-02-23 2012-06-21 インプリントリソグラフィ用の材料

Publications (3)

Publication Number Publication Date
JP2007523249A JP2007523249A (ja) 2007-08-16
JP2007523249A5 JP2007523249A5 (ja) 2013-11-21
JP5426814B2 true JP5426814B2 (ja) 2014-02-26

Family

ID=34861540

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006554142A Active JP5426814B2 (ja) 2004-02-23 2005-02-14 インプリントリソグラフィ用の材料
JP2012139488A Active JP5753132B2 (ja) 2004-02-23 2012-06-21 インプリントリソグラフィ用の材料
JP2014258521A Active JP6326700B2 (ja) 2004-02-23 2014-12-22 インプリントリソグラフィ用の材料

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2012139488A Active JP5753132B2 (ja) 2004-02-23 2012-06-21 インプリントリソグラフィ用の材料
JP2014258521A Active JP6326700B2 (ja) 2004-02-23 2014-12-22 インプリントリソグラフィ用の材料

Country Status (6)

Country Link
US (1) US8076386B2 (ja)
EP (2) EP2261280B1 (ja)
JP (3) JP5426814B2 (ja)
MY (1) MY141006A (ja)
TW (1) TWI324622B (ja)
WO (1) WO2005082992A1 (ja)

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
KR100632632B1 (ko) * 2004-05-28 2006-10-12 삼성전자주식회사 나노 결정의 다층 박막 제조 방법 및 이를 이용한유·무기 하이브리드 전기 발광 소자
JP4792028B2 (ja) * 2004-06-03 2011-10-12 モレキュラー・インプリンツ・インコーポレーテッド ナノスケール製造技術における流体の分配およびドロップ・オン・デマンド分配技術
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
JP5000112B2 (ja) * 2005-09-09 2012-08-15 東京応化工業株式会社 ナノインプリントリソグラフィによるパターン形成方法
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
JP4929722B2 (ja) * 2006-01-12 2012-05-09 日立化成工業株式会社 光硬化型ナノプリント用レジスト材及びパターン形成法
US7360851B1 (en) 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
EP2212742B1 (en) * 2007-11-21 2014-07-02 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
KR20100033704A (ko) * 2008-09-22 2010-03-31 엘지전자 주식회사 미세패턴을 구비하는 스탬퍼
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100090341A1 (en) * 2008-10-14 2010-04-15 Molecular Imprints, Inc. Nano-patterned active layers formed by nano-imprint lithography
US20100098858A1 (en) * 2008-10-17 2010-04-22 Molecular Imprints, Inc. Fluid Dispense System Coating
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US20100098847A1 (en) * 2008-10-21 2010-04-22 Molecular Imprints, Inc. Drop Deposition Materials for Imprint Lithography
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US20100109205A1 (en) * 2008-11-04 2010-05-06 Molecular Imprints, Inc. Photocatalytic reactions in nano-imprint lithography processes
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US9164375B2 (en) 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
US8913230B2 (en) 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
US20110030770A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
US20110031650A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Adjacent Field Alignment
EP2470956B1 (en) * 2009-08-26 2018-02-14 Molecular Imprints, Inc. Functional nanoparticles
US20110048518A1 (en) * 2009-08-26 2011-03-03 Molecular Imprints, Inc. Nanostructured thin film inorganic solar cells
JP5564383B2 (ja) 2009-09-30 2014-07-30 富士フイルム株式会社 インプリント用硬化性組成物、パターン形成方法およびパターン
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
WO2011094317A2 (en) 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US8980751B2 (en) * 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
US20110180127A1 (en) 2010-01-28 2011-07-28 Molecular Imprints, Inc. Solar cell fabrication by nanoimprint lithography
US8691134B2 (en) 2010-01-28 2014-04-08 Molecular Imprints, Inc. Roll-to-roll imprint lithography and purging system
WO2011094696A2 (en) 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-compliant nanoimprint lithography template
EP2529274B1 (en) * 2010-01-29 2014-10-08 Canon Nanotechnologies, Inc. Nanoimprint lithography processes for forming nanoparticles
WO2011097514A2 (en) 2010-02-05 2011-08-11 Molecular Imprints, Inc. Templates having high contrast alignment marks
EP2534536A2 (en) * 2010-02-09 2012-12-19 Molecular Imprints, Inc. Process gas confinement for nanoimprint lithography
JP5491931B2 (ja) * 2010-03-30 2014-05-14 富士フイルム株式会社 ナノインプリント方法およびモールド製造方法
JP5833636B2 (ja) 2010-04-27 2015-12-16 モレキュラー・インプリンツ・インコーポレーテッド ナノインプリント・リソグラフィのテンプレート製作方法およびそのシステム
US9070803B2 (en) 2010-05-11 2015-06-30 Molecular Imprints, Inc. Nanostructured solar cell
GB2495245A (en) 2010-07-02 2013-04-03 Tokuyama Corp Composition for photocurable imprint, and method for formation of pattern using the composition
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
US8891080B2 (en) 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
NL2007128A (en) * 2010-08-16 2012-02-20 Asml Netherlands Bv Imprint lithography inspection method and apparatus.
KR101861644B1 (ko) 2010-09-24 2018-05-28 캐논 나노테크놀로지즈 인코퍼레이티드 다단계 임프린팅을 통한 고콘트라스트 정렬 마크
JP5982386B2 (ja) 2010-11-05 2016-08-31 モレキュラー・インプリンツ・インコーポレーテッド 非凸形ナノ構造のパターン形成
CN103282303A (zh) 2010-11-05 2013-09-04 分子制模股份有限公司 使用双释放层的功能纳米颗粒的纳米压印光刻形成
US8926888B2 (en) 2011-02-25 2015-01-06 Board Of Regents, The University Of Texas System Fluorinated silazane release agents in nanoimprint lithography
JP2014103135A (ja) * 2011-03-10 2014-06-05 Toyo Gosei Kogyo Kk 光硬化物の製造方法
JP5761860B2 (ja) * 2011-03-25 2015-08-12 富士フイルム株式会社 インプリントシステム、及びインプリント方法
US20120261849A1 (en) * 2011-04-14 2012-10-18 Canon Kabushiki Kaisha Imprint apparatus, and article manufacturing method using same
WO2012149029A2 (en) 2011-04-25 2012-11-01 Molecular Imprints, Inc. Optically absorptive material for alignment marks
JP5611912B2 (ja) * 2011-09-01 2014-10-22 株式会社東芝 インプリント用レジスト材料、パターン形成方法、及びインプリント装置
JP5806903B2 (ja) 2011-09-30 2015-11-10 富士フイルム株式会社 ナノインプリント方法およびそれに用いられるレジスト組成物
KR102044771B1 (ko) 2011-12-19 2019-11-14 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피용 이음매 없는 대면적 마스터 템플릿의 제조
JP5959865B2 (ja) 2012-02-09 2016-08-02 キヤノン株式会社 光硬化物及びその製造方法
US9616614B2 (en) 2012-02-22 2017-04-11 Canon Nanotechnologies, Inc. Large area imprint lithography
JP5846974B2 (ja) 2012-03-13 2016-01-20 富士フイルム株式会社 光インプリント用硬化性組成物、パターン形成方法およびパターン
JP6071255B2 (ja) 2012-06-04 2017-02-01 キヤノン株式会社 光硬化物
US20130337176A1 (en) * 2012-06-19 2013-12-19 Seagate Technology Llc Nano-scale void reduction
CN105143976B (zh) 2013-03-15 2019-12-17 佳能纳米技术公司 使用具有金属或氧化物涂层的可再次利用的聚合物模板的纳米压印
WO2015006695A1 (en) 2013-07-12 2015-01-15 Canon Nanotechnologies, Inc. Drop pattern generation for imprint lithography with directionally-patterned templates
JP6526653B2 (ja) 2013-11-08 2019-06-05 キャノン・ナノテクノロジーズ・インコーポレーテッド 改善されたオーバレイ補正のための低接触インプリントリソグラフィテンプレート用チャックシステム
US9155201B2 (en) 2013-12-03 2015-10-06 Eastman Kodak Company Preparation of articles with conductive micro-wire pattern
KR102241025B1 (ko) 2013-12-10 2021-04-16 캐논 나노테크놀로지즈 인코퍼레이티드 임프린트 리소그래피 주형 및 제로-갭 임프린팅 방법
JP6496320B2 (ja) 2013-12-30 2019-04-03 キャノン・ナノテクノロジーズ・インコーポレーテッド サブ20nmの図案の均一なインプリントパターン転写方法
US10578964B2 (en) 2013-12-31 2020-03-03 Canon Nanotechnologies, Inc. Asymmetric template shape modulation for partial field imprinting
US10527494B2 (en) * 2014-09-26 2020-01-07 Korea Intitute of Machinery & Materials Substrate on which multiple nanogaps are formed, and manufacturing method therefor
NZ730509A (en) 2014-09-29 2018-08-31 Magic Leap Inc Architectures and methods for outputting different wavelength light out of waveguides
AT516558B1 (de) * 2014-12-10 2018-02-15 Joanneum Res Forschungsgmbh Prägelack, Verfahren zum Prägen sowie mit dem Prägelack beschichtete Substratoberfläche
NZ773831A (en) 2015-03-16 2022-07-01 Magic Leap Inc Methods and systems for diagnosing and treating health ailments
JP6851992B2 (ja) 2015-06-15 2021-03-31 マジック リープ, インコーポレイテッドMagic Leap,Inc. 多重化された光流を内部結合するための光学要素を有するディスプレイシステム
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
US10131134B2 (en) 2015-10-30 2018-11-20 Canon Kabushiki Kaisha System and method for discharging electrostatic charge in nanoimprint lithography processes
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
US10654216B2 (en) 2016-03-30 2020-05-19 Canon Kabushiki Kaisha System and methods for nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
NZ747005A (en) 2016-04-08 2020-04-24 Magic Leap Inc Augmented reality systems and methods with variable focus lens elements
KR102263373B1 (ko) 2016-05-11 2021-06-11 디아이씨 가부시끼가이샤 광 임프린트용 경화성 조성물 및 그것을 사용한 패턴 전사 방법
EP4235237A1 (en) 2016-05-12 2023-08-30 Magic Leap, Inc. Distributed light manipulation over imaging waveguide
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
US10035296B2 (en) 2016-10-13 2018-07-31 Canon Kabushiki Kaisha Methods for controlling spread of imprint material
US10627715B2 (en) 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
IL310194A (en) 2016-11-18 2024-03-01 Magic Leap Inc Liquid crystal refraction lattices vary spatially
KR102506485B1 (ko) 2016-11-18 2023-03-03 매직 립, 인코포레이티드 넓은 입사 각도 범위들의 광을 방향전환시키기 위한 다중층 액정 회절 격자들
AU2017363078B2 (en) 2016-11-18 2022-09-29 Magic Leap, Inc. Waveguide light multiplexer using crossed gratings
US11067860B2 (en) 2016-11-18 2021-07-20 Magic Leap, Inc. Liquid crystal diffractive devices with nano-scale pattern and methods of manufacturing the same
US10969680B2 (en) 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
WO2018106963A1 (en) 2016-12-08 2018-06-14 Magic Leap, Inc. Diffractive devices based on cholesteric liquid crystal
CN115657363A (zh) 2016-12-14 2023-01-31 奇跃公司 使用具有表面对准图案的软压印复制对液晶图案化
US10288999B2 (en) * 2016-12-20 2019-05-14 Canon Kabushiki Kaisha Methods for controlling extrusions during imprint template replication processes
US10578984B2 (en) 2016-12-20 2020-03-03 Canon Kabushiki Kaisha Adaptive chucking system
US10991582B2 (en) 2016-12-21 2021-04-27 Canon Kabushiki Kaisha Template for imprint lithography including a recession, an apparatus of using the template, and a method of fabricating an article
US10712660B2 (en) 2016-12-21 2020-07-14 Canon Kabushiki Kaisha Template for imprint lithography including a recession and an apparatus and method of using the template
US10371896B2 (en) 2016-12-22 2019-08-06 Magic Leap, Inc. Color separation in planar waveguides using dichroic filters
IL307783A (en) 2017-01-23 2023-12-01 Magic Leap Inc Eyepiece for virtual, augmented or mixed reality systems
WO2018156784A1 (en) 2017-02-23 2018-08-30 Magic Leap, Inc. Variable-focus virtual image devices based on polarization conversion
US10079152B1 (en) 2017-02-24 2018-09-18 Canon Kabushiki Kaisha Method for forming planarized etch mask structures over existing topography
US9971249B1 (en) 2017-02-27 2018-05-15 Canon Kabushiki Kaisha Method and system for controlled ultraviolet light exposure
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
JP7300996B2 (ja) 2017-03-21 2023-06-30 マジック リープ, インコーポレイテッド 回折光学要素を使用した眼結像装置
US10303049B2 (en) 2017-03-22 2019-05-28 Canon Kabushiki Kaisha Reducing electric charge in imprint lithography
US10534259B2 (en) 2017-03-28 2020-01-14 Canon Kabushiki Kaisha Method and system for imprint force control
US10866510B2 (en) 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
US10996560B2 (en) 2017-07-31 2021-05-04 Canon Kabushiki Kaisha Real-time correction of template deformation in nanoimprint lithography
US10580659B2 (en) 2017-09-14 2020-03-03 Canon Kabushiki Kaisha Planarization process and apparatus
EP3685215B1 (en) 2017-09-21 2024-01-03 Magic Leap, Inc. Augmented reality display with waveguide configured to capture images of eye and/or environment
US11448958B2 (en) 2017-09-21 2022-09-20 Canon Kabushiki Kaisha System and method for controlling the placement of fluid resist droplets
US10935883B2 (en) 2017-09-29 2021-03-02 Canon Kabushiki Kaisha Nanoimprint template with light blocking material and method of fabrication
US10895806B2 (en) 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
US11036130B2 (en) 2017-10-19 2021-06-15 Canon Kabushiki Kaisha Drop placement evaluation
US10788749B2 (en) 2017-11-30 2020-09-29 Canon Kabushiki Kaisha System and method for improving the throughput of a nanoimprint system
US10663869B2 (en) 2017-12-11 2020-05-26 Canon Kabushiki Kaisha Imprint system and imprinting process with spatially non-uniform illumination
JP7407111B2 (ja) 2017-12-15 2023-12-28 マジック リープ, インコーポレイテッド 拡張現実ディスプレイシステムのための接眼レンズ
US10409178B2 (en) * 2017-12-18 2019-09-10 Canon Kabushiki Kaisha Alignment control in nanoimprint lithography based on real-time system identification
US10996561B2 (en) 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
US11194247B2 (en) 2018-01-31 2021-12-07 Canon Kabushiki Kaisha Extrusion control by capillary force reduction
TWI799550B (zh) 2018-03-27 2023-04-21 日商富士軟片股份有限公司 壓印用硬化性組成物、脫模劑、硬化物、圖案形成方法和微影方法
EP3547026B1 (en) * 2018-03-28 2023-11-29 CSEM Centre Suisse d'Electronique et de Microtechnique SA Method for producing a metal stamp for embossing a nano- and/or microstructure on a metal device as well as uses thereof and devices made therewith
US11249405B2 (en) 2018-04-30 2022-02-15 Canon Kabushiki Kaisha System and method for improving the performance of a nanoimprint system
US10739675B2 (en) 2018-05-31 2020-08-11 Canon Kabushiki Kaisha Systems and methods for detection of and compensation for malfunctioning droplet dispensing nozzles
US10921706B2 (en) 2018-06-07 2021-02-16 Canon Kabushiki Kaisha Systems and methods for modifying mesa sidewalls
US10990004B2 (en) 2018-07-18 2021-04-27 Canon Kabushiki Kaisha Photodissociation frame window, systems including a photodissociation frame window, and methods of using a photodissociation frame window
US11294277B2 (en) 2018-07-25 2022-04-05 Canon Kabushiki Kaisha Process of imprinting a substrate with fluid control features
JP2020035924A (ja) * 2018-08-30 2020-03-05 キオクシア株式会社 原版
US10976657B2 (en) 2018-08-31 2021-04-13 Canon Kabushiki Kaisha System and method for illuminating edges of an imprint field with a gradient dosage
US11131923B2 (en) 2018-10-10 2021-09-28 Canon Kabushiki Kaisha System and method of assessing surface quality by optically analyzing dispensed drops
WO2020106824A1 (en) 2018-11-20 2020-05-28 Magic Leap, Inc. Eyepieces for augmented reality display system
US11281095B2 (en) 2018-12-05 2022-03-22 Canon Kabushiki Kaisha Frame curing template and system and method of using the frame curing template
US10754078B2 (en) 2018-12-20 2020-08-25 Canon Kabushiki Kaisha Light source, a shaping system using the light source and an article manufacturing method
US11243466B2 (en) 2019-01-31 2022-02-08 Canon Kabushiki Kaisha Template with mass velocity variation features, nanoimprint lithography apparatus that uses the template, and methods that use the template
US11442359B2 (en) 2019-03-11 2022-09-13 Canon Kabushiki Kaisha Method of separating a template from a shaped film on a substrate
US11209730B2 (en) 2019-03-14 2021-12-28 Canon Kabushiki Kaisha Methods of generating drop patterns, systems for shaping films with the drop pattern, and methods of manufacturing an article with the drop pattern
KR20210132100A (ko) 2019-03-22 2021-11-03 후지필름 가부시키가이샤 패턴 형성용 조성물, 키트, 패턴의 제조 방법, 패턴, 및, 반도체 소자의 제조 방법
US11181819B2 (en) 2019-05-31 2021-11-23 Canon Kabushiki Kaisha Frame curing method for extrusion control
US11402749B2 (en) 2019-06-19 2022-08-02 Canon Kabushiki Kaisha Drop pattern correction for nano-fabrication
JP7373594B2 (ja) 2019-06-20 2023-11-02 マジック リープ, インコーポレイテッド 拡張現実ディスプレイシステムのための接眼レンズ
US11373861B2 (en) 2019-07-05 2022-06-28 Canon Kabushiki Kaisha System and method of cleaning mesa sidewalls of a template
US11164302B2 (en) 2019-08-08 2021-11-02 Canon Kabushiki Kaisha Systems and methods for classifying images of an imprinted film
US11549020B2 (en) 2019-09-23 2023-01-10 Canon Kabushiki Kaisha Curable composition for nano-fabrication
US11327409B2 (en) 2019-10-23 2022-05-10 Canon Kabushiki Kaisha Systems and methods for curing an imprinted field
US11429022B2 (en) 2019-10-23 2022-08-30 Canon Kabushiki Kaisha Systems and methods for curing a shaped film
US11215921B2 (en) 2019-10-31 2022-01-04 Canon Kabushiki Kaisha Residual layer thickness compensation in nano-fabrication by modified drop pattern
US11550216B2 (en) 2019-11-25 2023-01-10 Canon Kabushiki Kaisha Systems and methods for curing a shaped film
US11366384B2 (en) 2019-12-18 2022-06-21 Canon Kabushiki Kaisha Nanoimprint lithography system and method for adjusting a radiation pattern that compensates for slippage of a template
US11567401B2 (en) 2019-12-20 2023-01-31 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system
US11126079B1 (en) 2020-04-09 2021-09-21 Canon Kabushiki Kaisha Nano-fabrication system with cleaning system for cleaning a faceplate of a dispenser and method of cleaning the faceplate
US11262651B2 (en) 2020-05-28 2022-03-01 Canon Kabushiki Kaisha System for detecting accumulated material on a faceplate of a dispenser and method of inspecting the faceplate
US11262652B2 (en) 2020-06-25 2022-03-01 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system
US11774849B2 (en) 2020-09-22 2023-10-03 Canon Kabushiki Kaisha Method and system for adjusting edge positions of a drop pattern
US11994797B2 (en) 2020-10-28 2024-05-28 Canon Kabushiki Kaisha System and method for shaping a film with a scaled calibration measurement parameter
US11747731B2 (en) 2020-11-20 2023-09-05 Canon Kabishiki Kaisha Curing a shaped film using multiple images of a spatial light modulator
US11815811B2 (en) 2021-03-23 2023-11-14 Canon Kabushiki Kaisha Magnification ramp scheme to mitigate template slippage
US11614693B2 (en) 2021-06-30 2023-03-28 Canon Kabushiki Kaisha Method of determining the initial contact point for partial fields and method of shaping a surface
CN117289548B (zh) * 2023-11-27 2024-01-26 青岛天仁微纳科技有限责任公司 一种用于微细线栅制备的高精压印装置及方法

Family Cites Families (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US877036A (en) * 1907-11-04 1908-01-21 United Shoe Machinery Ab Inseam-trimming machine.
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3807087A (en) * 1972-10-10 1974-04-30 Mattel Inc Automatic battery cut-off system for electric motor-driven toy vehicles using rechargeable batteries
US3919351A (en) 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
FR2325018A1 (fr) 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (de) 1977-01-07 1978-07-13 Instruments Sa Verfahren zur duplizierung einer optischen flaeche sowie so hergestelltes beugungsgitter
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4251277A (en) 1978-04-24 1981-02-17 Sws Silicones Corporation Compositions containing thiofunctional polysiloxanes
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
JPS573875A (en) 1980-06-11 1982-01-09 Tamura Kaken Kk Photopolymerizable ink composition
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
SE454519B (sv) * 1981-09-11 1988-05-09 Inst Mekhaniki Metallopolimern Sjevsmorjande kompositmaterial
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
DE3377597D1 (en) 1982-04-12 1988-09-08 Nippon Telegraph & Telephone Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4514439A (en) 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
DE3583707D1 (de) 1984-06-26 1991-09-12 Asahi Glass Co Ltd Durchsichtiger schwer schmutzender gegenstand mit niedriger reflexion.
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US5736424A (en) 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
JPH01163027A (ja) 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd 光学素子の成形方法およびその装置
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
DE3805631A1 (de) 1988-02-24 1989-09-07 Teldix Gmbh Drehschwingungsantrieb
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0625235B2 (ja) * 1988-06-21 1994-04-06 信越化学工業株式会社 硬化性弾性組成物
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
EP0394741B1 (de) 1989-04-24 1997-06-25 Siemens Aktiengesellschaft Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5204381A (en) 1990-02-13 1993-04-20 The United States Of America As Represented By The United States Department Of Energy Hybrid sol-gel optical materials
JP3197010B2 (ja) 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5149592A (en) * 1990-05-09 1992-09-22 Avery Dennison Corporation Ultraviolet radiation curable clearcoat
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US6174931B1 (en) 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
JPH04327939A (ja) * 1991-04-26 1992-11-17 Matsushita Electric Ind Co Ltd 樹脂製凹版およびその製造方法
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
EP0759413B1 (en) 1991-05-17 1999-09-01 Asahi Glass Company Ltd. Surface-treated substrate
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
JPH0555654A (ja) 1991-08-26 1993-03-05 Nec Corp 圧電素子変位拡大機構
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
DE4228853C2 (de) 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5204467A (en) * 1991-12-20 1993-04-20 E. I. Du Pont De Nemours And Company Visible photosensitizers for photopolymerizable compositions
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
JPH06180505A (ja) * 1992-07-02 1994-06-28 Hitachi Ltd 配線構造体及びその製造方法
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5298556A (en) 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (ja) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5482768A (en) 1993-05-14 1996-01-09 Asahi Glass Company Ltd. Surface-treated substrate and process for its production
US5861467A (en) 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5594042A (en) 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5414027A (en) * 1993-07-15 1995-05-09 Himont Incorporated High melt strength, propylene polymer, process for making it, and use thereof
US5389696A (en) 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5837314A (en) 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5542978A (en) 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5523878A (en) 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5868966A (en) 1995-03-30 1999-02-09 Drexel University Electroactive inorganic organic hybrid materials
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
JP3624476B2 (ja) 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
US6048667A (en) * 1995-07-19 2000-04-11 Ciba Specialty Chemicals Corp. Heterogeneous photo-initiators, photopolymerizable compositions and their use
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
AU6774996A (en) 1995-08-18 1997-03-12 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6468642B1 (en) 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
SE508373C2 (sv) 1995-10-30 1998-09-28 Obducat Ab Kruptosystem för optiskt lagringsmedia
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
US5684066A (en) 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5725788A (en) 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
BR9708355A (pt) * 1996-03-27 1999-08-03 Novartis Ag Processo para produção de um polímero poroso através do uso de um porogénio
WO1997035904A1 (en) * 1996-03-27 1997-10-02 Novartis Ag Process for manufacture of a porous polymer from a mixture
ATE254641T1 (de) * 1996-03-27 2003-12-15 Commw Scient Ind Res Org Poröses polymer mit hohem wassergehalt
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
JPH1039501A (ja) * 1996-07-19 1998-02-13 Sekisui Finechem Co Ltd 着色感光性樹脂組成物及びカラーフィルタ
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
SG53043A1 (en) * 1996-08-28 1998-09-28 Ciba Geigy Ag Molecular complex compounds as photoinitiators
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
DE19648844C1 (de) 1996-11-26 1997-09-18 Jenoptik Jena Gmbh Einrichtung und Verfahren zur Abformung mikrosystemtechnischer Strukturen
US6204343B1 (en) 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5792821A (en) 1997-01-06 1998-08-11 American Dental Association Health Foundation Polymerizable cyclodextrin derivatives
US6495624B1 (en) 1997-02-03 2002-12-17 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6156389A (en) 1997-02-03 2000-12-05 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
DE19710420C2 (de) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US6335149B1 (en) 1997-04-08 2002-01-01 Corning Incorporated High performance acrylate materials for optical interconnects
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US6174932B1 (en) * 1998-05-20 2001-01-16 Denovus Llc Curable sealant composition
JPH10319597A (ja) * 1997-05-23 1998-12-04 Mitsubishi Electric Corp 感光性シリコーンラダー系樹脂組成物、この樹脂組成物にパターンを転写するパターン転写方法および上記樹脂組成物を用いた半導体装置
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JPH1143521A (ja) * 1997-05-30 1999-02-16 Matsushita Electric Ind Co Ltd モールド組成物、モールド部品およびモールド部品の製造方法
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US6132632A (en) 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6475704B1 (en) 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
JPH11133201A (ja) * 1997-10-29 1999-05-21 Menicon Co Ltd 光学材料
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
US6114404A (en) 1998-03-23 2000-09-05 Corning Incorporated Radiation curable ink compositions and flat panel color filters made using same
TW352421B (en) 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
DE19828969A1 (de) 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
KR100273172B1 (ko) 1998-08-01 2001-03-02 윤덕용 아크릴 측쇄에 디옥사스피로환기 유도체를 갖는 화합물을 이용한 포토레지스트
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
TWI230712B (en) * 1998-09-15 2005-04-11 Novartis Ag Polymers
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6245421B1 (en) 1999-02-04 2001-06-12 Kodak Polychrome Graphics Llc Printable media for lithographic printing having a porous, hydrophilic layer and a method for the production thereof
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP4286374B2 (ja) 1999-03-30 2009-06-24 新日鐵化学株式会社 シリコーン樹脂及びこれを含有する感光性樹脂組成物
US6342097B1 (en) 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP3939048B2 (ja) 1999-05-17 2007-06-27 セイコーインスツル株式会社 圧電アクチュエータ
MXPA01012579A (es) 1999-06-11 2002-04-10 Bausch & Lomb Moldes de lente con recubrimientos protectores para la produccion de lentes de contacto y otros productos oftalmicos.
US6188150B1 (en) 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6467761B1 (en) 1999-06-21 2002-10-22 The United States Of America As Represented By The Secretary Of Commerce Positioning stage
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
US6344105B1 (en) 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
AU7361200A (en) 1999-09-10 2001-04-10 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (de) 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
US6391217B2 (en) 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6696157B1 (en) 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6756165B2 (en) 2000-04-25 2004-06-29 Jsr Corporation Radiation sensitive resin composition for forming barrier ribs for an EL display element, barrier rib and EL display element
US6774183B1 (en) 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
JP2002023349A (ja) * 2000-07-11 2002-01-23 Toray Ind Inc 感光性樹脂凸版材
EP2264524A3 (en) 2000-07-16 2011-11-30 The Board of Regents of The University of Texas System High-resolution overlay alignement methods and systems for imprint lithography
CN1262883C (zh) 2000-07-17 2006-07-05 得克萨斯州大学系统董事会 影印用于平版印刷工艺中的自动化液体分配的方法和系统
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
KR20030040378A (ko) 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6730256B1 (en) 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
DE60035130T2 (de) * 2000-08-11 2008-02-07 Tokuyama Corp., Shunan Verfahren zur Herstellung eines photochromen, gehärteten Produkts
WO2002017383A2 (en) 2000-08-21 2002-02-28 Board Of Regents, The University Of Texas System Flexure based translation stage
US6531407B1 (en) 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US6448301B1 (en) 2000-09-08 2002-09-10 3M Innovative Properties Company Crosslinkable polymeric compositions and use thereof
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
JP2002097233A (ja) * 2000-09-21 2002-04-02 Nippon Shokubai Co Ltd ラジカル重合性樹脂乾燥性付与剤、ラジカル重合性樹脂組成物及びラジカル重合性樹脂組成物硬化物
AU2001297642A1 (en) 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6503914B1 (en) 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
WO2002039185A1 (en) * 2000-11-10 2002-05-16 Durand Technology Limited Optical recording materials
KR20020047490A (ko) 2000-12-13 2002-06-22 윤종용 실리콘을 함유하는 감광성 폴리머 및 이를 포함하는레지스트 조성물
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
WO2002069040A1 (en) * 2001-02-27 2002-09-06 Shipley Company, Llc Novel polymers, processes for polymer synthesis and photoresist compositions
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
KR100442859B1 (ko) 2001-04-04 2004-08-02 삼성전자주식회사 실리콘을 함유하는 알킬 비닐 에테르의 중합체로이루어지는 감광성 폴리머 및 이를 포함하는 레지스트조성물
US6534418B1 (en) 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6737489B2 (en) 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6541356B2 (en) 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6736857B2 (en) 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US6561706B2 (en) 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP2003057818A (ja) * 2001-08-20 2003-02-28 Asahi Kasei Corp 厚膜導体回路用液状感光性樹脂組成物
US6721529B2 (en) 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6790905B2 (en) 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
JP2003118008A (ja) * 2001-10-17 2003-04-23 Mitsui Chemicals Inc 樹脂成型体の製造方法
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
WO2003064495A2 (en) 2001-11-07 2003-08-07 Dow Global Technologies Inc. Planarized microelectronic substrates
US6649272B2 (en) 2001-11-08 2003-11-18 3M Innovative Properties Company Coating composition comprising fluorochemical polyether silane polycondensate and use thereof
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US7309560B2 (en) 2002-02-19 2007-12-18 Nissan Chemical Industries, Ltd. Composition for forming anti-reflective coating
US6737202B2 (en) 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
EP1342736B1 (en) 2002-02-28 2013-05-08 Merck Patent GmbH Prepolymer material, polymer material, imprinting process and their Use
JP2004002702A (ja) * 2002-02-28 2004-01-08 Merck Patent Gmbh プレポリマー材料、ポリマー材料、インプリンティングプロセスおよびその使用
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6743713B2 (en) 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US20030235787A1 (en) 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7179079B2 (en) 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US20040202872A1 (en) 2002-07-23 2004-10-14 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
US7027156B2 (en) 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
KR101158297B1 (ko) 2002-12-26 2012-06-26 닛산 가가쿠 고교 가부시키 가이샤 알칼리 용해형 리소그라피용 갭 필링재 형성조성물
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7452574B2 (en) 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US6830819B2 (en) 2003-03-18 2004-12-14 Xerox Corporation Fluorosilicone release agent for fluoroelastomer fuser members
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
WO2004086471A1 (en) 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
JP2005014348A (ja) 2003-06-25 2005-01-20 Fuji Photo Film Co Ltd 平版印刷版原版及び平版印刷方法
US20050084804A1 (en) 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography

Also Published As

Publication number Publication date
WO2005082992A1 (en) 2005-09-09
JP5753132B2 (ja) 2015-07-22
EP2261280B1 (en) 2014-10-08
EP2261280A1 (en) 2010-12-15
US8076386B2 (en) 2011-12-13
MY141006A (en) 2010-02-12
JP2015130499A (ja) 2015-07-16
JP6326700B2 (ja) 2018-05-23
JP2007523249A (ja) 2007-08-16
JP2012195610A (ja) 2012-10-11
TWI324622B (en) 2010-05-11
US20050187339A1 (en) 2005-08-25
EP1718697A4 (en) 2007-03-21
TW200602406A (en) 2006-01-16
EP1718697A1 (en) 2006-11-08

Similar Documents

Publication Publication Date Title
JP5426814B2 (ja) インプリントリソグラフィ用の材料
US7122482B2 (en) Methods for fabricating patterned features utilizing imprint lithography
JP4791357B2 (ja) 成形される領域と成形型のパターンとの間の接着を低減させる方法
US20050160934A1 (en) Materials and methods for imprint lithography
JP4580411B2 (ja) ソフトモールド及びその製造方法
JP5084728B2 (ja) 材料を相互に接着するための方法及び組成物
TWI443138B (zh) 修改聚合物薄膜表面交互作用之程序和方法
US8616873B2 (en) Micro-conformal templates for nanoimprint lithography
JP2008513577A (ja) 液体凝固の酸素阻害を減衰させる重合技術及びそのための組成物
KR101179063B1 (ko) 임프린트 리소그래피용 재료
JP2008509815A (ja) 均一なエッチング特性を有する層を提供する方法及び組成物
KR101357816B1 (ko) 몰드와 중합가능한 조성물 사이에 바람직한 특성을제공하는 방법 및 조성물
JP4554597B2 (ja) ポジ・トーンの二重層インプリント・リソグラフィー法とその組成物
CN1914265B (zh) 用于刻印平板印刷术的材料
Watts et al. Materials for imprint lithography
Watts et al. Methods for fabricating patterned features utilizing imprint lithography

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110111

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110411

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110418

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110511

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120621

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120705

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120817

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20130827

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130830

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20130830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130827

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130912

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20130912

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130912

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131129

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5426814

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250