TWI324622B - Materials for imprint lithography - Google Patents

Materials for imprint lithography Download PDF

Info

Publication number
TWI324622B
TWI324622B TW094105242A TW94105242A TWI324622B TW I324622 B TWI324622 B TW I324622B TW 094105242 A TW094105242 A TW 094105242A TW 94105242 A TW94105242 A TW 94105242A TW I324622 B TWI324622 B TW I324622B
Authority
TW
Taiwan
Prior art keywords
surfactant
embossing
viscosity
imprint
polymerizable component
Prior art date
Application number
TW094105242A
Other languages
English (en)
Other versions
TW200602406A (en
Inventor
Frank Y Xu
Michael P C Watts
Nicholas A Stacey
Original Assignee
Molecular Imprints Inc
Univ Texas
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Molecular Imprints Inc, Univ Texas filed Critical Molecular Imprints Inc
Publication of TW200602406A publication Critical patent/TW200602406A/zh
Application granted granted Critical
Publication of TWI324622B publication Critical patent/TWI324622B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D11/00Inks
    • C09D11/02Printing inks
    • C09D11/10Printing inks based on artificial resins
    • C09D11/101Inks specially adapted for printing processes involving curing by wave energy or particle radiation, e.g. with UV-curing following the printing

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mathematical Physics (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Polymerisation Methods In General (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Macromonomer-Based Addition Polymer (AREA)

Description

1^24622
10
九、發明說明: C 明所屬技領3 本發明一或更多具體例係一般地有關壓印微影技 術。特別地,本發明一或更多具體例係有關用於壓印 微影技術的材料》
L· Λ. iltr H 微製造包含製造極小結構,舉例來說,但不侷限 於具有微米量級或更小特徵的結構。微製造在積體電 路製程領域中已具有相當大的影響。當半導體製程產 業持續增加每單位面積在基板上形成之電路上來力求 更大的產率,微製造由於可提供較大製程控制來允許 降低形成的結構至最小特徵尺寸。其他微製造已使用 發展之領域包括生物科技’光學科技,機械系統及類 似。 一例示性微製造技術揭露於w i 1丨s ο n e ί α Λ之U. S patent No 6,3 3 4,960。特別地,Willson ei 以專利揭露 一種利用壓印微影技術在基板上形成一浮雕影像的方 法。該方法包括提供一具有轉移層(典型地為旋轉塗佈) 的基板,並依序利用一低黏度、可聚合(典型地為可uv 固化)液體組成(典型地為液滴狀)覆蓋該轉移層。該方 法更包括一具有浮雕結構和該壓印板或模可聚合液體 組成之機械接觸,其中該可聚合液體組成填充介於壓 印板及基板間的間隙並填充該壓印板的浮雕結構◊其 次,該方法包括使該可聚合液體組成固化並聚合化的 20 1324622 情況(典型地為使該可聚合液體组成暴露於u v下來進 行交聯),由此在具有和磨印板互補之浮離結構的轉移 層上形成固化聚合性材料。其次,該方法包括由該基 板分離該歷印板使固態聚合性材料留在基板上,其固 態聚合性材料包括和浮雕結構互補之浮雕圖案。其 人該固化之可聚合性材料及該轉移層,在可相對固 化聚合性材料選擇性蝕刻轉移層的環境中,在轉移詹 上形成一浮雕影像。 當發展一種在該固化聚合性材料上形成微細浮雕 10 圖案的方法及/或有用材料,接下來的問題係相對於該 固化聚合性材料對不同表面之選擇性黏著。首先,該 固化聚合性材料應良好地黏著至基板上之轉移層,其 其應g谷易地由該塵印板表面鬆脫。這些目標典 型地和鬆脫特性有關,且當其滿足時,被紀錄在固化 15 聚合性材料中之浮雕圖案,在由該基板分離壓印板時 不會變形。 除了上述鬆脫特性之外,當設計一用於壓印微影之 壓印材料時,更需考慮的問題包括:⑷低點度,舉例 ^ 仁不侷限於黏度在25°c時為5厘泊或更少,來快 0速地在基板及壓印板表面擴展,並快速填充該壓印材 料至該浮雕圖案。若黏度足夠小使壓力最小較佳,舉 來說仁不侷限於一約2_4 psi的壓力,且不需額外加 熱使泫壓印材料移動至壓印板上的浮雕圖案;⑻低蒸 坚使,备發'(由於壓印材料液滴的數量級為微微 1324622 升,因此蒸發是一個問題,且此會導致液滴在表面積 和體積之間具有大的比值);(C)固化壓印材料的聚合強 度。 .由於以上所述’需要用於壓印微影技術之壓印材 5料,其滿足一或更多以上定義之設計規範。
H ]J 發明概要
本發明為用於壓印微影技術之材料,其組成特徵為 具有與該組成相隨的黏度,且包括一表面活性劑、一 10可聚合成A ,針肖該刺激起反應並在反應I改變黏度 之一*起始劑, 該組成在液態下具有低於約100厘泊
破裂時高於2%之伸長量。 15 圖式簡單說明
系統之透試圖; 一或更多具體例之微影
20 及交聯前之簡單表示;
7 正面簡圖。 第6圖為根據本發明配置於 一基板上的壓印材料之 【貧施方式】 一或更多具體例用來實現壓
間分離的。Μ印頭18係連接至架14,並由架14伸展至 第1圖顯示根據本發明一或 印微影技術的微影系統10 ,且 或更多具體例之壓印材料。如3 10 支樓平台16。 移動平台20被配置於支撐平台16上並面 向C Ρ頭1 8且裝配移動平台2 0使其沿相對於支撑平 台16沿X-及γ_軸移動。輻射源22被連接至系統1〇來對 移動平台20照射光化輻射 。在第1圖中更顯示,輻射源 22被連接至架14,且包括一連接至輻射源22之電源產 15生器23。一系統實施例可由在1807-c Braker Lane, Suite 100,Austin,Texas 78758 有營業處之 M〇iecular
Imprints,Inc.中品名為…叹⑴1〇〇TM得到。該…叹⑴ 100 系統之說明可由 www.molecularimprints.cnm 借 到,並在此併入參照。 20 參照第1及第2圖’一具有模28在其上之壓印板26 連接至壓印頭18。模28包括數個由數個空間分離凹處 28a及凸出2 8b定義之圖貌。該數個圖貌定義一原始圖 案,其係再被轉移至位於移動平台20上之基板31。基 板31可包含一裸晶圓或一在其上具有單或多層沉積之 8 1324622 晶圓。為了這個目的,壓印頭18被改變為沿z軸移動並 改變介於模2 8及基板3 1間距離,,(!,,。在此方法,模2 8上 之圖貌可被壓印至基板31之適當區域,在以下更完整 說明。輻射源22被設置於使模28位在介於模28及基板 5 31之間。因此,模28係由可大量穿透輻射源22產生之 輻射的材料所製成。
10 15
20 參照第2及第3圖,諸如壓印層34之適當區域,被暴 露於表面32之一部分存在一大部分平面輪廓。需知該 適當區域可利用任何已知技術在表面32上製造適當材 料來形成。根據本發明之一具體例,具有壓印層34之 適當區域被沉積作為數個空間分離分散微滴36的壓印 材料36a於基板3 1上,以下將更完整說明。壓印層34係 由低分子量材料36a形成,其同時聚合及交聯來記錄其 中之原圖案,來定義一已記錄圖案。第4圖顯示壓印材 料36a將聚合及交聯,形成交聯聚合性材料36c。交聯係 顯示於點36b » 參照第2,第3及第5圖,記錄在壓印層3 4之圖案係 部分利用和模28機械接觸產生。為了這個目的,壓印 頭18減少距離” d”使壓印材料34和模28機械接觸,散佈 微滴36使在表面32隨著壓印材料36a的形成來形成壓印 層34。在一具體例中,降低距離,,d,,使壓印層34之次部 分34a進入並填充凹處28a。 為了幫助凹處28a的填充,提供之材料363具有在利 用材料36a的連續形成覆蓋表面32時完全填充凹處28a 9 的特性。根據本發明之_具體例,和凸出28b重叠之壓 P層34之久部分34b,在達到所欲之最小距離,,d”後使 次,部分34a厚度為t】且次部分34b厚度為t2。厚度、,, 及t2 ,根據其應用可為任意所欲之厚度。 參’、、、第2,第3及第4圖,在達到所欲距離” d,,之後, 輻射源22產生之光化輻射聚合且交聯材料,形成大 邛刀已父聯之聚合性材料36c。因此,材料“a轉變為固 體聚合性材料36c來形成壓印層134,如第5圖所示。特 別地’材料36c被固化提供壓印層134具有和模28之表面 1〇 28C形狀一致之邊34c,具有凹處30之壓印層134(該凹處 之底部可稱作殘留層)。在壓印層134被轉換成包含材料 3 6c,如第4圖所示,顯示於第2圖之壓印頭18被移動來 增加距離”d”,使模28及壓印層134為空間分離。 參照第5圖,可使用額外製程來完成基板31之圖案 15化例如’基板3 1及壓印層1 3 4可被姓刻來轉換壓印層 134之圖案至基板31,提供一被圖案化表面(未顯示 為了促進蝕刻,由形成壓印層134之材料的不同可來定 義相對基板3 1之所欲的相對姓刻速率。 為了這個目的’可利用兩製程步驟進行蝕刻。s c 20 Johnson, T.C. Bailey. M.D. Dickey, B.J. Smith, E.K.
Kim,A.T. Jamieson,N.A. Stacey,J.G. Ekerdt,及 C.G. Willson在標題為 “Advances in Step and Flash Imprint Lithography, 5 SPIE Microlithographv Conference. February 2003的文章中說明適合的蝕刻製程,其可由 10 …、第1及第2圖’一典型的輻射源22可產生紫外 ’然而,可使用任何已知之輻射源。用來起始聚合 s 4材料之輻射源的選擇,對熟習此技藝者為已 知’且典型地根據所欲之特定應用。此外,模28上之 數個圖貌顯示如沿平行凸出28b擴展之凹處心,使模Μ 、有城%形狀之載面。然而,凹處28a及凸出28b可根 據所而任何圖貌產生一積體電路且可小至數十奈米。 10 15 參照第1 ’第2及第5圖,由本圖案化技術製造之圖 案可轉移至基板31來提供具有長寬比為3〇:1之圖貌。為 了知·個目的,模28之一具體例具有定義一長寬比範圍 為1:1至1〇:1之凹處28a。特別地,凸出2补具有一範圍 ’·’勺10nm至約5000μηι之寬度丨,而凹處28a具有一範圍 約10nm至約5000μπι之寬度W2。因此,模28及/或模板 26可由各種傳統材料形成,諸如但不侷限於熔融矽, 石英,矽,有機聚合物,矽氧烷聚合物,矽酸硼玻璃, 氟碳聚合物,金屬,硬化藍寶石及類似。 參照第1 ’第2及第3圖,材料3 6 a之特性在使用獨特 沉積製程對有效圖案化基板3 1是重要的。如上所述, 材料36a被沉積在基板31上作為數個獨立且空間分離之 20 微滴36。微滴36結合之體積使壓印材料36a適當的分佈 在欲形成麼印層34之表面32區域。因此,壓印層34同 時被擴展及圖案化,且圖案隨後由暴露諸如紫外光之 輻射至壓印層34。由於該沉積製程,材料36a具有促進 急速且微滴36中塵印材料36a由表面32擴展之特殊特性 12 1324622
10 15 是所欲的’故全部tl厚度是完全均勻且t2厚度是完全均 勻。所欲之特性包括具有低黏度,舉例來說,但不侷 限於在約0·5至約5厘泊(csp),且具有潤濕基板3 1及/或 模2 8表面的能力來避免在聚合後形成之孔或洞。當滿 足這些特性後’壓印層34可充分地薄化避免在諸如次 分34b之較溥區域形成孔或洞,如第5圖所示。然而, 材料36a的特性係根據製程且可根據需要而改變。例 如’該黏度可為1〇〇 cps或更高。 形成提供前述特性之材料36a的組成成分可不同。 其係由於基板31可由多種不同材料形成。因此,表面 32之化學組成根據基板3 1形成之材料而不同。例如, 基板3 1可由二氧化矽,磷化銦,鈮酸鋰,鈕酸鋰,矽, 塑膠,砷化鎵,碲化汞或類似形成。此外,基板31在 次部分34b中可包括如介電層,金屬層,半導體層,平 坦化層及類似之一或多層。
20 參照第2 ’第3及第4圖’然而材料36a包括滿足當模 28和材料36a及材料36c接合時所欲之鬆脫特性的成 分。特別地’為了確保有效的填充模2 8的圖貌,需建 立模28及材料36a間的界面來促進壓印材料36a在模28 的潤濕性。然而,當材料36a被固化至材料36c,材料36a 需優先地黏著至基板31的表面32,且容易地由模28鬆 脫。在此形式,可減少記錄在固化材料36c圖案的變形。 材料36c優先地黏著至基板3丨係有關於鬆脫特性。該壓 印材料36c之鬆脫特性係利用由Taniguchi et a丨在
13 1324622
Measurement of Adhesive Force Between Mold and
Photocurable Resin in Imprint Technology. Japanese
Journal of Applied Physics, part 1, vol. 40, beginning at page 41 94 (2002)說明之黏著測試來測量。已揭露該 5 些鬆脫特性的較佳值為:(a)舉例來說,但不揭限於對
模28的黏著力約為〇. 15 kg或更少;及(b)舉例來說,但 不侷限於對基板3 1的黏著力約為1.14 kg或更多。較佳 之之黏著力比值’即基板31之黏著力/模28之黏著力[以 下稱為黏著比]為5或更大。 10 除了上述之鬆脫特性,當設計 15 20 材料時’更需考慮包括:(a)低黏度,舉例來說,但不 偈限於55厘泊或更少的黏度,使在基板上有所欲之潤 濕及擴展,且快速填充該壓印板上之圖貌(若黏度夠低 使最小壓力(舉例來說,但不侷限於約2 4 psi)最小戍 不需額外加熱來移動該壓印材料至壓印板之圖貌);^) 低蒸汽壓使蒸發少(由於壓印材料液滴的數量級為微 微升,蒸發是一個問題,且此會導致液滴在表面積和 體積之間具有大比例);(c)使用適合之起始劑來在暴露 至如UV光、熱輻射或類似之光化輻射時起始聚合;(幻 —滿足在液態時低黏度特性之單體成分,並在固離固 化時提供適合之機械強度;及⑷提供所欲之砂來提供 钱刻選擇性之矽化單體。 ’、 除了以上所述,吾人已揭露聚合壓印材料在考慮< 叶一適合之壓印材料時,所欲之巨觀機械性 =X 貝。其包 14 1324622 括:(a)舉例來說,但不侷限於約1〇〇 4〇〇 Mpa或更大 之拉伸模數-典型地,愈高愈好;(b)舉例來說,但不 偈限於約3-12 MPa或更大之斷裂應力-典型地,愈高愈 好;及(c)舉例來說,但不侷限於2%或更多之斷裂伸長 5 量。
10 適合之壓印材料的設計係為一反覆程序,其著重於 材料在以下順序:(a)成分揮發性(即使用低蒸汽壓成 分);(b)黏度控制(即使用低黏度成分);(c)快速聚合動 力學,如低於一分鐘及較適合低於兩秒;成分混合 性,(e)機械性質(拉伸模數,斷裂應力,斷裂伸長量及
Tg) ; (f)调濕及擴展(流體行為);黏著性(對壓印板 低但對基板高)。
低黏度的需求會限制用於製造該壓印材料成分的 選擇。為了增加根據非極性單體之聚合材料的強度, 可以妥協並加入較高黏度之成分。例如,利用異冰片 丙稀酸醋作為架構並加入含矽丙烯酸酯單體成分來提 供石夕用於蝕刻選擇性。典型地,明確地加入高黏度成 分來保持壓印材料36a整體之黏度小於5 cps。 吾人由考慮上述設計需注意之事項已設計一廢印 2〇材料,並加入使用氟化表面活性劑來滿足所需之鬆脫 特性。一材料36a之組成實施例使用氟化表面活性劑, 其係由下述成分所混合製造(實施例之比例由重量表 不):(i)丙烯酿氧代基五甲基二矽氧烷(舉例來說但 不偽限於約 37gm),其可由 Ge丨est,Inc. of M〇rrisvUie 15 1324622
10 15
Pennsylvania的XG-1064得到,(ii)異冰片丙烯酸酯 (“IBΟA”)(舉例來說,但不侷限於約42gm),其可由 Aldrich Chemical Company of Milwaukee, Wisconsin得 到’(iii)乙二醇二丙烯酸酯(舉例來說,但不侷限於 5 約 18gm) ’ 其可由 Aldrich Chemical Company of
Milwaukee,Wisconsin得到,(iv) —UV起始劑,舉例來 說’但不侷限於2-羥基-2-曱基-1·苯基-l-丙酮(舉例來 說,但不侷限於約3gm),其可由CIBA® of Tarrytown, New York 之 Darocur® 1173得到及(v)FSO-lOO (舉例來 說,但不侷限於約〇 5gm),其中Fs〇_1〇〇為一表面活性 劑,可由 DUPONTTMiZONYL® FSO-100得到(FSO-100 具有R1R2的一般結構,其中ri = f(CF2CF2)Y,Y的範 圍為包含 1至7,而 R2 = CH2CH20(CH2CH20)XH,其中 X的範圍為包含〇至15)。 另一材料36a之不同組成,其係由下述成分所混合 製造(實施例之比例由重量表示):(i)丙烯醯氧代基五 甲基二矽氧炫(舉例來說,但不侷限於約37gm),其可由 Gelest, lnc. 〇f Morrisvi】le,Pennsylvani^XG i〇64得 到,(ii)異冰片丙烯酸酯(“IB0A,,)(舉例來說,但不侷 20 限於約 42gm),其可由 Aldrich chemicai Company of Milwaukee,Wisconsin得到,(⑴)乙二醇二丙烯酸酯 (舉例來說,但不偈限於約18gm),其可由A】drich
Chenncal Company 〇f Milwaukee,WiSC0nsin得到,(iv) 一uv起始劑,舉例來說,但不侷限於2羥基·2甲基-^ 16 1324622 苯基· 1 -丙酮(舉例來說,但不侷限於約3gm),其可由 CIBA® of Tarrytown, New York之 Darocur 1173得到及 (v)FC4432 (舉例來說,但不侷限於約〇.5gm),其中 FC4432為一聚合表面活性劑,可由3M公司之 5 FLUORAD® FC4430得到。
10 除了含矽成分之材料36a之外,可使用不含矽成分 之材料36a »不含矽成分之實施例包括i)大約55 gni之異 冰片丙烯酸酯,ii)大約27 gm之正己丙烯酸酯,iii)大約 15呂爪之乙二醇二丙稀酸酯,iv)大約0.5 gm之ZONYL® FSO-1〇〇表面活性劑’及v)大約3 gm之DAROCUR®起始 劑。 其他之不含矽成分之材料3 6a實施例包括i)大約5 5 gm之異冰片丙烯酸酯’ ii)大約27 gm之正己丙烯酸酯, 大約15 gm之乙二醇二丙烯酸酯,iv)大約〇 5 §111之 15 FC4432表面活性劑,及v)大約3 gm之DAROCUR®起始 劑。 另—不含矽成分之材料36a實施例包括〇大約55 gm之異冰片丙烯酸酯,u)大約27 gm之正己丙烯酸酯, ⑴)大,力15 gm之乙二醇二丙烯酸酯,iv)大約〇 5 gm之 20 ZONYL® Fs〇_1〇〇表面活性劑,及v)大約3㈣組成之
DarocUr®起始劑。上述定義之各成分亦包括在化學技藝 中熟知來增加該成分使用期限之穩定劑。 在另—不含矽成分之材料36a包括—表面活性劑混 合物’其包括不含氟化表面活性劑及含氟化表面活性 17 1324622 5
10 15
20 劑。一組成實施例包括i)大約55 gm<異冰片丙稀酸 6曰’ ii)大約27gm之正己丙烯酸酷,⑴)大約之乙 二醇二丙烯酸酯,1V)大約3 gm之Darocur®起始劑及〇 5 gm之表面活性劑混合物。一表面活性劑混合物實施例 包含0.25 gm之FC4432及0.25 gm之三矽氧烷表面活性 劑,其可由 Dow Corning Corporati〇n 〇f Auburn, Michigan之 Sylgard® 309得到。 類似地,該表面活性劑混合物可和上述含矽成分同 時使用。一組成實施例包括(i)丙烯醯氧代基五甲基二 矽氧烷(舉例來說,但不侷限於約37gm) (ii)異冰片丙 烯酸酯(“IBOA”)(舉例來說,但不侷限於約42gm)(⑴) 乙二醇二丙烯酸酯(舉例來說,但不侷限於約1 8gm) (^) Darocur 1173 (舉例來說,但不侷限於約3gm)及(v) 一 表面/舌性劑混合物實施例包含〇.25 gm之FC4432及0.25 gm之三矽氧烷表面活性劑,其可由D〇w c〇rning Corporation of Auburn,Michigan之 Sylgard® 309得到。 上述疋義之各成分亦包括在化學技藝中熟知來增 加。玄成刀使用期限之穩定劑。該表面活性劑包含少於 1 %之壓印材料。然而,該表面活性劑的百分比可大於1%。 由上述壓印材料提供之優點為不需先天之鬆脫 層’即在壓印板28沉積之分離疏水及/或低表面能鬆脫 層。特別地’成分包含表面活性劑提供對模28及壓印 層34所欲之鬆脫特性質,來降低記錄在壓印層34之圖 18 案的剝落或變形。 參照第6圖,一般認為在壓印材料中微滴36之表面 活性劑分子傾向在小於約丨秒内朝氣_液界面移動。因 此 般遇為微滴36在區域136具有較可聚合成分集中 5之區域137高的表面活性劑濃度。一般認為此係能量最 小作用的結果,其中該表面活性劑傾向移動至氣·液界 面,而其疏水端朝向氣體端。例如,一般認為該表面 活性劑之疏水端被調整由液體凸出至氣體,且該疏水 鳊被調整凸出至氣體。然而,當壓印材料接觸到壓印 1〇板的表面,一般認為在該壓印板表面上暴露之矽氫氧 基鍵造成該表面活性劑分子之親水端轉動,並接觸該 暴露之矽氫氧基鍵使該疏水端朝下,如由壓印板表面 朝外使黏著降低。一般更認為弱的層狀表面活性劑鍵 、’·。亦在該壓印板表面上形成,例如,兩⑺層表面活性 15 劑分子。 參照第2圖,由上述壓印材料提供之另一優點為板 的清潔及準備時間被縮短,因此,整個製程被簡化。 當然,該上述壓印材料可使用-先天鬆脫,諸如在 先前技藝中所熟知者。 20 改善模28之鬆脫性質之其他方法,包括預處理模28 之圖案,其係利用暴露至一處理混合劑,其包括會保 留在板28上來降低該模表面之表面能的混合劑。一混 合劑之實施例為一表面活性劑。 上述壓印材料係有用於提供大致高圖貌複製壓印 19 1324622 微影,且提供屋印板適宜之操作壽命。例如,—具有 圖案之愿印板,即使用具有4〇至50 nm圖貌之25 /25 _的模來產生極小圓案圖貌剝落或變形之 壓印。 ; 5 15 20 /吏用上述塵印材料之虔印方法實施例,包括預處理 石央I印板表面來在表面蓋生親水鍵之第—步驟,舉 例來說,但不揭限於梦氫氧基⑶领)鍵。根據本發明 一或更多具體例’該壓印板之表面被浸泡於一H2S〇及 仏02為2.5 : 1之溶液來欢姐#主 4 合及來水解该表面。此被稱為白骨化嘴 滌。 在其次步驟,該表面更由噴稀釋之表面活性劑溶液 至該壓印板表面來預處理(舉例來說,但不侷限於01% 異丙醇叫該表面活性劑有效地在該壓印板表面且 疏水端由表面向外凸出。此調整係由白骨化洗蘇表面 來促進在表面產生石夕氫氧基鍵。該壓印板表面之暴露 可由此技藝中任何已知之實質方法來達成,包括浸泡 該表面至大量之預處理溶液,利用一充滿預處理溶液 之布擦拭該表面,β哈;、—山^ 及噴預處理溶液氣流至該表面上。 預處理溶液中之ΙΡΑ在使用模28前可被蒸發。在此方 法’該ΙΡΑ在離開表面活性劑被吸收處幫助由表面移除 非所欲之污染物。因為該表面活性劑包括一疏水端及 -親水端’㈣氫氧基鍵促進表面活性劑之調整,使 該親水端"連上55石夕® ft其絲jy 夕虱氧基鍵之-0H端,且該疏水端由 表面朝向外部。在並々牛聰 . ^ 在具- 人步驟,介於該壓印板及該基板 20 1324622 間之間隙利用舉例來說,但不侷限於〜5 psi氦氣之氣體 來清洗。 在其次步驟,該印印材料包含提供至該基板之表面 活性劑’舉例來說’但不侷限於利用放置完全等距之 5下述壓印材料微滴之圖案於該基板上,或利用旋轉塗 佈,或利用此技藝中已知的一般技術中任何其他方 法。在此實施例,該基板被一轉移層所覆蓋,其頂層
為一交聯BARC材料(BARC或,,底部抗反射塗層,,為一有 機抗反射塗層,其係典型地利用旋轉塗佈製程製造)。 10該BARC層係用來避免介於壓印材料及轉移層的混 合,其混合在使用文中使用之包含低黏度成分的壓印 材料時可能特別的有問題’因為此成分對許多聚合物 具有溶解力,舉例來說,但不侷限於諸如在緊接之姓 刻製程之圖貌變形。此在圖貌厚度小至5〇至丨〇〇 nm時 15特別的有問題。其次,進行壓印微影常見之步驟,即
暴露至光化輻射來聚合該壓印材料;分離該壓印材料 及*玄基板,並選擇性地姓刻來轉移該圖貌圖案至該基 板0 一般為即使如上述使用一或更多表面活性劑預 2 〇 走 思理該壓印板之表面,被吸附至該壓印板之矽氫氧基 的—或更多表面活性劑最终會被磨除。然而,如上所 述’該表面活性劑被保留在該壓印材料中,快速地來 到。玄微滴之氣·液表面,且該壓印板之表面被再塗佈, 如同一般壓印的結果。因此’根據本發明一或更多具 21 體例,it供該表面活性劑I M g 4 p A 合液至該壓印板表面之預處 理步驟可被消除。事實 貫上,根據本發明一或受多且體 例,印板可和壓印材料接… &更夕具體 .^ . 钭接觸數次,代替提供該表 " 洛液至該表面的預處理步驟。 本發明上述之具體例為實施例。上述列舉之詳細說 明可行許多改變及改良,但仍在本發明範圍之内。因 此本發明之範圍不受限 > “·、上述之#細說明,而應 由參照附加之專利申請範圍同等完整範圍決定。 【圖式簡單說明】 第1圖為可有效進行本發明一或更多具體例之微影 系統之透試圖; 第2圖為顯示於第1圖中圖微影系統之正面簡圖; 第3圖為由第圖2顯示之堡印層包含之材料在聚合 及交聯前之簡單表示; ^圖為由第3圖顯示之材料在受到輻射後被轉化 父聯聚合性材料之簡單表示; 第5圖為顯示於第1圖中,在圖案化及固化/聚合該 壓印層後’由該壓印層空間分離的模之正面簡圖; 第6圖為根據本發明配置於一基板上的壓印材料之 正面簡圖。 【主要元件符號說明】 12".支撐架 16…支撐平台 10···微影系統 14...架 18…壓印頭 20..·移動平台 1324622
22.. .輻射源 26.. .壓印板 28a...凹處 30.. .凹處 32.. .表面 34¾ 34b...次部分 36.. .微滴 36b...點 134.. .交聯材料 23.. .電源產生器 28.. .模 28b...凸出 31…紘 34.. .壓印層 34c...邊 36a. · ·材料 36c...聚合I"生材料 136,137···區域
23

Claims (1)

1324622 9R p 1 f? ^ τ 第094105242號專利申請案申請專利範圍修正本 年月 θ ·· 98年12月 十、申請專利範圍: 1. 一種用於壓印微影之壓印材料,包含: 一組成,具有一與該組成相隨的黏度,且包括一表面活性 劑、一可聚合成分及針對一刺激起反應並回應該刺激來改變該 黏度的一起始劑,該組成在液態下具有低於約100厘泊之黏 度,低於約20托(Torr)之蒸汽壓,且在固態固化態下高於約100 MPa的拉伸模數,高於約3MPa之破裂應力及高於2%之破裂時伸 長量。 10 15 2. 如申請專利範圍第1項之壓印材料,其中該表面活性劑 包含一非離子性表面活性劑。 3. 如申請專利範圍第1項之壓印材料,其中該表面活性劑 包Ί—敦化表面活性劑。 4. 如申請專利範圍第1項之壓印材料,其中該表面活性劑 包令—氟化非離子性表面活性劑。 其中該可聚合成分 曱基丙烯酸酯及二 5. 如申請專利範圍第1項之壓印材料 係一選自於基本上由環氧樹醋、丙稀酸醋 乙烯醚所組成之單體群組。 6.如申請專利範圍第1項之壓印材料,其中該可聚合成分 係一選自於一組含守可聚合成分。 20 7.如申請專利範圍第1項之壓印材料,其中該可聚合成分 為一經取代之丙烯酸酯。 8. 如申請專利範圍第1項之壓印材料,其中該可聚合成分 為含矽丙烯酸酯。 9. 如申請專利範圍第1項之壓印材料,其中該可聚合成分 24 1324622 係選自於基本上由單取代丙烯酸酯及多官能取代丙烯酸酯所構 成的經取代之丙烯酸酯群組。 ίο.如申請專利範圍第丨項之壓印材料,其中該起始劑係選 自於基本上由光起始劑及熱起始劑所組成之起始劑群組。 5 U.如申請專利範圍第1項之壓印材料,其中該起始劑係選 自於基本上由自由基光起始劑所組成之起始劑群組。 12. 如申請專利s圍第i項之壓印材料,其中在該液態下之 黏度為低於約25厘泊。 13. 如申請專利範圍第丨項之壓印材料,其中在該液態下之 10 黏度為低於約10厘泊。 14. 如申請專利範圍第1項之壓印材料,其中該液態下之黏 度為低於约5厘泊。 15. 如申請專利範圍第1項之壓印材料,其中該蒸汽壓低於 約5托。 15 I6.如申請專利範圍第1項之壓印材料,其中該蒸汽壓低於 約2托。 17. 如申請專利範圍第1項之壓印材料,其中該拉伸模數為 lOOMPa或更大。 18. 如申請專利範圍第1項之壓印材料,其中該破裂應力為 20 3MPa或更大。 19. 如申請專利範圍第1項之壓印材料,其中該破裂時伸長 量為8%或更大》 25
TW094105242A 2004-02-23 2005-02-22 Materials for imprint lithography TWI324622B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/784,911 US8076386B2 (en) 2004-02-23 2004-02-23 Materials for imprint lithography

Publications (2)

Publication Number Publication Date
TW200602406A TW200602406A (en) 2006-01-16
TWI324622B true TWI324622B (en) 2010-05-11

Family

ID=34861540

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094105242A TWI324622B (en) 2004-02-23 2005-02-22 Materials for imprint lithography

Country Status (6)

Country Link
US (1) US8076386B2 (zh)
EP (2) EP2261280B1 (zh)
JP (3) JP5426814B2 (zh)
MY (1) MY141006A (zh)
TW (1) TWI324622B (zh)
WO (1) WO2005082992A1 (zh)

Families Citing this family (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
KR100632632B1 (ko) * 2004-05-28 2006-10-12 삼성전자주식회사 나노 결정의 다층 박막 제조 방법 및 이를 이용한유·무기 하이브리드 전기 발광 소자
EP1768846B1 (en) * 2004-06-03 2010-08-11 Molecular Imprints, Inc. Fluid dispensing and drop-on-demand dispensing for nano-scale manufacturing
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
JP5000112B2 (ja) * 2005-09-09 2012-08-15 東京応化工業株式会社 ナノインプリントリソグラフィによるパターン形成方法
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
JP4929722B2 (ja) * 2006-01-12 2012-05-09 日立化成工業株式会社 光硬化型ナノプリント用レジスト材及びパターン形成法
US7360851B1 (en) 2006-02-15 2008-04-22 Kla-Tencor Technologies Corporation Automated pattern recognition of imprint technology
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
US9778562B2 (en) * 2007-11-21 2017-10-03 Canon Nanotechnologies, Inc. Porous template and imprinting stack for nano-imprint lithography
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
KR20100033704A (ko) * 2008-09-22 2010-03-31 엘지전자 주식회사 미세패턴을 구비하는 스탬퍼
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100090341A1 (en) * 2008-10-14 2010-04-15 Molecular Imprints, Inc. Nano-patterned active layers formed by nano-imprint lithography
US20100098858A1 (en) * 2008-10-17 2010-04-22 Molecular Imprints, Inc. Fluid Dispense System Coating
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US20100098847A1 (en) * 2008-10-21 2010-04-22 Molecular Imprints, Inc. Drop Deposition Materials for Imprint Lithography
US8075299B2 (en) * 2008-10-21 2011-12-13 Molecular Imprints, Inc. Reduction of stress during template separation
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US20100109205A1 (en) * 2008-11-04 2010-05-06 Molecular Imprints, Inc. Photocatalytic reactions in nano-imprint lithography processes
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US9164375B2 (en) 2009-06-19 2015-10-20 Canon Nanotechnologies, Inc. Dual zone template chuck
US8913230B2 (en) 2009-07-02 2014-12-16 Canon Nanotechnologies, Inc. Chucking system with recessed support feature
US20110031650A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Adjacent Field Alignment
US20110030770A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
US8961800B2 (en) * 2009-08-26 2015-02-24 Board Of Regents, The University Of Texas System Functional nanoparticles
US20110048518A1 (en) * 2009-08-26 2011-03-03 Molecular Imprints, Inc. Nanostructured thin film inorganic solar cells
JP5564383B2 (ja) * 2009-09-30 2014-07-30 富士フイルム株式会社 インプリント用硬化性組成物、パターン形成方法およびパターン
US20110084417A1 (en) 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
WO2011066450A2 (en) 2009-11-24 2011-06-03 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithography
US20110140304A1 (en) 2009-12-10 2011-06-16 Molecular Imprints, Inc. Imprint lithography template
WO2011094317A2 (en) 2010-01-26 2011-08-04 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
US8980751B2 (en) 2010-01-27 2015-03-17 Canon Nanotechnologies, Inc. Methods and systems of material removal and pattern transfer
US8691134B2 (en) 2010-01-28 2014-04-08 Molecular Imprints, Inc. Roll-to-roll imprint lithography and purging system
WO2011094015A1 (en) 2010-01-28 2011-08-04 Molecular Imprints, Inc. Solar cell fabrication by nanoimprint lithography
JP2013517943A (ja) 2010-01-29 2013-05-20 モレキュラー・インプリンツ・インコーポレーテッド ナノ粒子を形成するためのナノインプリントリソグラフィプロセス
US20110189329A1 (en) * 2010-01-29 2011-08-04 Molecular Imprints, Inc. Ultra-Compliant Nanoimprint Lithography Template
JP5769734B2 (ja) 2010-02-05 2015-08-26 モレキュラー・インプリンツ・インコーポレーテッド 高コントラスト位置合わせマークを有するテンプレート
JP5848263B2 (ja) 2010-02-09 2016-01-27 モレキュラー・インプリンツ・インコーポレーテッド ナノインプリントのためのプロセスガス閉じ込め
JP5491931B2 (ja) * 2010-03-30 2014-05-14 富士フイルム株式会社 ナノインプリント方法およびモールド製造方法
TWI576229B (zh) 2010-04-27 2017-04-01 分子壓模公司 奈米壓印之安全分離技術
WO2011143327A2 (en) 2010-05-11 2011-11-17 Molecular Imprints, Inc. Nanostructured solar cell
US20130099423A1 (en) 2010-07-02 2013-04-25 Tokuyama Corporation Photocurable composition for imprint and method for formation of pattern using the composition
US8891080B2 (en) 2010-07-08 2014-11-18 Canon Nanotechnologies, Inc. Contaminate detection and substrate cleaning
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
WO2012022561A1 (en) * 2010-08-16 2012-02-23 Asml Netherlands B.V. Inspection method for imprint lithography and apparatus therefor
EP2618978B1 (en) 2010-09-24 2016-11-09 Canon Nanotechnologies, Inc. High contrast alignment marks through multiple stage imprinting
EP2635522A4 (en) 2010-11-05 2014-06-11 Molecular Imprints Inc NANOPRÄGUNGS-LITHOGRAPHIEVERSTELLUNG FUNCTIONAL NANOPARTICLE WITH TWO SEPARATE LAYERS
WO2012061816A2 (en) 2010-11-05 2012-05-10 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
US8926888B2 (en) 2011-02-25 2015-01-06 Board Of Regents, The University Of Texas System Fluorinated silazane release agents in nanoimprint lithography
JP2014103135A (ja) * 2011-03-10 2014-06-05 Toyo Gosei Kogyo Kk 光硬化物の製造方法
JP5761860B2 (ja) * 2011-03-25 2015-08-12 富士フイルム株式会社 インプリントシステム、及びインプリント方法
US20120261849A1 (en) 2011-04-14 2012-10-18 Canon Kabushiki Kaisha Imprint apparatus, and article manufacturing method using same
WO2012149029A2 (en) 2011-04-25 2012-11-01 Molecular Imprints, Inc. Optically absorptive material for alignment marks
JP5611912B2 (ja) * 2011-09-01 2014-10-22 株式会社東芝 インプリント用レジスト材料、パターン形成方法、及びインプリント装置
JP5806903B2 (ja) * 2011-09-30 2015-11-10 富士フイルム株式会社 ナノインプリント方法およびそれに用いられるレジスト組成物
WO2013096459A1 (en) 2011-12-19 2013-06-27 Molecular Imprints, Inc. Fabrication of seamless large area master templates for imprint lithography
JP5959865B2 (ja) 2012-02-09 2016-08-02 キヤノン株式会社 光硬化物及びその製造方法
US9616614B2 (en) 2012-02-22 2017-04-11 Canon Nanotechnologies, Inc. Large area imprint lithography
JP5846974B2 (ja) 2012-03-13 2016-01-20 富士フイルム株式会社 光インプリント用硬化性組成物、パターン形成方法およびパターン
JP6071255B2 (ja) * 2012-06-04 2017-02-01 キヤノン株式会社 光硬化物
US20130337176A1 (en) * 2012-06-19 2013-12-19 Seagate Technology Llc Nano-scale void reduction
JP6400074B2 (ja) 2013-03-15 2018-10-03 キャノン・ナノテクノロジーズ・インコーポレーテッド 金属又は酸化物コーティングを有する再使用可能なポリマーテンプレートによるナノインプリンティング
WO2015006695A1 (en) 2013-07-12 2015-01-15 Canon Nanotechnologies, Inc. Drop pattern generation for imprint lithography with directionally-patterned templates
TWI665513B (zh) 2013-11-08 2019-07-11 美商佳能奈米科技股份有限公司 用於經改良重疊校正之低接觸壓印微影樣板卡盤系統
US9155201B2 (en) 2013-12-03 2015-10-06 Eastman Kodak Company Preparation of articles with conductive micro-wire pattern
SG11201604509UA (en) 2013-12-10 2016-07-28 Canon Nanotechnologies Inc Imprint lithography template and method for zero-gap imprinting
KR102243630B1 (ko) 2013-12-30 2021-04-23 캐논 나노테크놀로지즈 인코퍼레이티드 20nm 이하 특징부의 균일한 임프린트 패턴 전사 방법
TWI690482B (zh) 2013-12-31 2020-04-11 佳能奈米科技股份有限公司 用於局部區域壓印之非對稱模板形狀調節
CN107075661B (zh) * 2014-09-26 2020-03-17 韩国机械研究院 形成有多个纳米间隙的基底及其制备方法
CN107111204B (zh) 2014-09-29 2021-02-09 奇跃公司 用于从波导中输出不同波长光的架构和方法
AT516558B1 (de) 2014-12-10 2018-02-15 Joanneum Res Forschungsgmbh Prägelack, Verfahren zum Prägen sowie mit dem Prägelack beschichtete Substratoberfläche
CN107530034A (zh) 2015-03-16 2018-01-02 奇跃公司 增强现实脉冲血氧定量法
KR102359038B1 (ko) 2015-06-15 2022-02-04 매직 립, 인코포레이티드 멀티플렉싱된 광 스트림들을 인-커플링하기 위한 광학 엘리먼트들을 가진 디스플레이 시스템
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
US10131134B2 (en) 2015-10-30 2018-11-20 Canon Kabushiki Kaisha System and method for discharging electrostatic charge in nanoimprint lithography processes
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
US10654216B2 (en) 2016-03-30 2020-05-19 Canon Kabushiki Kaisha System and methods for nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
KR20220040511A (ko) 2016-04-08 2022-03-30 매직 립, 인코포레이티드 가변 포커스 렌즈 엘리먼트들을 가진 증강 현실 시스템들 및 방법들
WO2017195586A1 (ja) 2016-05-11 2017-11-16 Dic株式会社 光インプリント用硬化性組成物及びそれを用いたパターン転写方法
WO2017196999A1 (en) 2016-05-12 2017-11-16 Magic Leap, Inc. Wavelength multiplexing in waveguides
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
US10035296B2 (en) 2016-10-13 2018-07-31 Canon Kabushiki Kaisha Methods for controlling spread of imprint material
US10627715B2 (en) 2016-10-31 2020-04-21 Canon Kabushiki Kaisha Method for separating a nanoimprint template from a substrate
US11454883B2 (en) 2016-11-14 2022-09-27 Canon Kabushiki Kaisha Template replication
JP7237830B2 (ja) 2016-11-18 2023-03-13 マジック リープ, インコーポレイテッド 交差格子を用いた導波管光マルチプレクサ
KR102506485B1 (ko) 2016-11-18 2023-03-03 매직 립, 인코포레이티드 넓은 입사 각도 범위들의 광을 방향전환시키기 위한 다중층 액정 회절 격자들
KR102533671B1 (ko) 2016-11-18 2023-05-16 매직 립, 인코포레이티드 공간 가변적 액정 회절 격자들
US11067860B2 (en) 2016-11-18 2021-07-20 Magic Leap, Inc. Liquid crystal diffractive devices with nano-scale pattern and methods of manufacturing the same
US10969680B2 (en) 2016-11-30 2021-04-06 Canon Kabushiki Kaisha System and method for adjusting a position of a template
WO2018106963A1 (en) 2016-12-08 2018-06-14 Magic Leap, Inc. Diffractive devices based on cholesteric liquid crystal
CN110291453B (zh) 2016-12-14 2022-11-01 奇跃公司 使用具有表面对准图案的软压印复制对液晶图案化
US10578984B2 (en) 2016-12-20 2020-03-03 Canon Kabushiki Kaisha Adaptive chucking system
US10288999B2 (en) * 2016-12-20 2019-05-14 Canon Kabushiki Kaisha Methods for controlling extrusions during imprint template replication processes
US10991582B2 (en) 2016-12-21 2021-04-27 Canon Kabushiki Kaisha Template for imprint lithography including a recession, an apparatus of using the template, and a method of fabricating an article
US10712660B2 (en) 2016-12-21 2020-07-14 Canon Kabushiki Kaisha Template for imprint lithography including a recession and an apparatus and method of using the template
US10371896B2 (en) 2016-12-22 2019-08-06 Magic Leap, Inc. Color separation in planar waveguides using dichroic filters
WO2018136892A1 (en) 2017-01-23 2018-07-26 Magic Leap, Inc. Eyepiece for virtual, augmented, or mixed reality systems
CN110546549B (zh) 2017-02-23 2022-06-07 奇跃公司 具有可变屈光力反射器的显示系统
US10079152B1 (en) 2017-02-24 2018-09-18 Canon Kabushiki Kaisha Method for forming planarized etch mask structures over existing topography
US9971249B1 (en) 2017-02-27 2018-05-15 Canon Kabushiki Kaisha Method and system for controlled ultraviolet light exposure
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
US11073695B2 (en) 2017-03-21 2021-07-27 Magic Leap, Inc. Eye-imaging apparatus using diffractive optical elements
US10303049B2 (en) 2017-03-22 2019-05-28 Canon Kabushiki Kaisha Reducing electric charge in imprint lithography
US10534259B2 (en) 2017-03-28 2020-01-14 Canon Kabushiki Kaisha Method and system for imprint force control
US10996560B2 (en) 2017-07-31 2021-05-04 Canon Kabushiki Kaisha Real-time correction of template deformation in nanoimprint lithography
US10866510B2 (en) 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
US10580659B2 (en) 2017-09-14 2020-03-03 Canon Kabushiki Kaisha Planarization process and apparatus
EP3685215B1 (en) 2017-09-21 2024-01-03 Magic Leap, Inc. Augmented reality display with waveguide configured to capture images of eye and/or environment
US11448958B2 (en) 2017-09-21 2022-09-20 Canon Kabushiki Kaisha System and method for controlling the placement of fluid resist droplets
US10935883B2 (en) 2017-09-29 2021-03-02 Canon Kabushiki Kaisha Nanoimprint template with light blocking material and method of fabrication
US10895806B2 (en) 2017-09-29 2021-01-19 Canon Kabushiki Kaisha Imprinting method and apparatus
US11036130B2 (en) 2017-10-19 2021-06-15 Canon Kabushiki Kaisha Drop placement evaluation
US10788749B2 (en) 2017-11-30 2020-09-29 Canon Kabushiki Kaisha System and method for improving the throughput of a nanoimprint system
US10663869B2 (en) 2017-12-11 2020-05-26 Canon Kabushiki Kaisha Imprint system and imprinting process with spatially non-uniform illumination
AU2018386296B2 (en) 2017-12-15 2023-11-23 Magic Leap, Inc. Eyepieces for augmented reality display system
US10409178B2 (en) * 2017-12-18 2019-09-10 Canon Kabushiki Kaisha Alignment control in nanoimprint lithography based on real-time system identification
US10996561B2 (en) 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
US11194247B2 (en) 2018-01-31 2021-12-07 Canon Kabushiki Kaisha Extrusion control by capillary force reduction
TWI799550B (zh) 2018-03-27 2023-04-21 日商富士軟片股份有限公司 壓印用硬化性組成物、脫模劑、硬化物、圖案形成方法和微影方法
EP3547026B1 (en) * 2018-03-28 2023-11-29 CSEM Centre Suisse d'Electronique et de Microtechnique SA Method for producing a metal stamp for embossing a nano- and/or microstructure on a metal device as well as uses thereof and devices made therewith
US11249405B2 (en) 2018-04-30 2022-02-15 Canon Kabushiki Kaisha System and method for improving the performance of a nanoimprint system
US10739675B2 (en) 2018-05-31 2020-08-11 Canon Kabushiki Kaisha Systems and methods for detection of and compensation for malfunctioning droplet dispensing nozzles
US10921706B2 (en) 2018-06-07 2021-02-16 Canon Kabushiki Kaisha Systems and methods for modifying mesa sidewalls
US10990004B2 (en) 2018-07-18 2021-04-27 Canon Kabushiki Kaisha Photodissociation frame window, systems including a photodissociation frame window, and methods of using a photodissociation frame window
US11294277B2 (en) 2018-07-25 2022-04-05 Canon Kabushiki Kaisha Process of imprinting a substrate with fluid control features
JP2020035924A (ja) * 2018-08-30 2020-03-05 キオクシア株式会社 原版
US10976657B2 (en) 2018-08-31 2021-04-13 Canon Kabushiki Kaisha System and method for illuminating edges of an imprint field with a gradient dosage
US11131923B2 (en) 2018-10-10 2021-09-28 Canon Kabushiki Kaisha System and method of assessing surface quality by optically analyzing dispensed drops
EP3884337A4 (en) 2018-11-20 2022-08-17 Magic Leap, Inc. EYEPIECES FOR AN AUGMENTED REALITY DISPLAY SYSTEM
US11281095B2 (en) 2018-12-05 2022-03-22 Canon Kabushiki Kaisha Frame curing template and system and method of using the frame curing template
US10754078B2 (en) 2018-12-20 2020-08-25 Canon Kabushiki Kaisha Light source, a shaping system using the light source and an article manufacturing method
US11243466B2 (en) 2019-01-31 2022-02-08 Canon Kabushiki Kaisha Template with mass velocity variation features, nanoimprint lithography apparatus that uses the template, and methods that use the template
US11442359B2 (en) 2019-03-11 2022-09-13 Canon Kabushiki Kaisha Method of separating a template from a shaped film on a substrate
US11209730B2 (en) 2019-03-14 2021-12-28 Canon Kabushiki Kaisha Methods of generating drop patterns, systems for shaping films with the drop pattern, and methods of manufacturing an article with the drop pattern
KR20210132100A (ko) 2019-03-22 2021-11-03 후지필름 가부시키가이샤 패턴 형성용 조성물, 키트, 패턴의 제조 방법, 패턴, 및, 반도체 소자의 제조 방법
US11181819B2 (en) 2019-05-31 2021-11-23 Canon Kabushiki Kaisha Frame curing method for extrusion control
WO2020246405A1 (ja) 2019-06-07 2020-12-10 富士フイルム株式会社 パターン形成用組成物、硬化膜、積層体、パターンの製造方法および半導体素子の製造方法
US11402749B2 (en) 2019-06-19 2022-08-02 Canon Kabushiki Kaisha Drop pattern correction for nano-fabrication
WO2020257469A1 (en) 2019-06-20 2020-12-24 Magic Leap, Inc. Eyepieces for augmented reality display system
US11373861B2 (en) 2019-07-05 2022-06-28 Canon Kabushiki Kaisha System and method of cleaning mesa sidewalls of a template
US11164302B2 (en) 2019-08-08 2021-11-02 Canon Kabushiki Kaisha Systems and methods for classifying images of an imprinted film
US11549020B2 (en) 2019-09-23 2023-01-10 Canon Kabushiki Kaisha Curable composition for nano-fabrication
US11327409B2 (en) 2019-10-23 2022-05-10 Canon Kabushiki Kaisha Systems and methods for curing an imprinted field
US11429022B2 (en) 2019-10-23 2022-08-30 Canon Kabushiki Kaisha Systems and methods for curing a shaped film
US11215921B2 (en) 2019-10-31 2022-01-04 Canon Kabushiki Kaisha Residual layer thickness compensation in nano-fabrication by modified drop pattern
US11550216B2 (en) 2019-11-25 2023-01-10 Canon Kabushiki Kaisha Systems and methods for curing a shaped film
US11366384B2 (en) 2019-12-18 2022-06-21 Canon Kabushiki Kaisha Nanoimprint lithography system and method for adjusting a radiation pattern that compensates for slippage of a template
US11567401B2 (en) 2019-12-20 2023-01-31 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system
US11126079B1 (en) 2020-04-09 2021-09-21 Canon Kabushiki Kaisha Nano-fabrication system with cleaning system for cleaning a faceplate of a dispenser and method of cleaning the faceplate
US11262651B2 (en) 2020-05-28 2022-03-01 Canon Kabushiki Kaisha System for detecting accumulated material on a faceplate of a dispenser and method of inspecting the faceplate
US11262652B2 (en) 2020-06-25 2022-03-01 Canon Kabushiki Kaisha Nanofabrication method with correction of distortion within an imprint system
US11774849B2 (en) 2020-09-22 2023-10-03 Canon Kabushiki Kaisha Method and system for adjusting edge positions of a drop pattern
US11994797B2 (en) 2020-10-28 2024-05-28 Canon Kabushiki Kaisha System and method for shaping a film with a scaled calibration measurement parameter
US11747731B2 (en) 2020-11-20 2023-09-05 Canon Kabishiki Kaisha Curing a shaped film using multiple images of a spatial light modulator
US11815811B2 (en) 2021-03-23 2023-11-14 Canon Kabushiki Kaisha Magnification ramp scheme to mitigate template slippage
US11614693B2 (en) 2021-06-30 2023-03-28 Canon Kabushiki Kaisha Method of determining the initial contact point for partial fields and method of shaping a surface
CN117289548B (zh) * 2023-11-27 2024-01-26 青岛天仁微纳科技有限责任公司 一种用于微细线栅制备的高精压印装置及方法

Family Cites Families (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US877036A (en) * 1907-11-04 1908-01-21 United Shoe Machinery Ab Inseam-trimming machine.
US3527062A (en) 1968-09-25 1970-09-08 Singer General Precision Universal joint flexure hinge
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3783520A (en) * 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3807029A (en) * 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807087A (en) * 1972-10-10 1974-04-30 Mattel Inc Automatic battery cut-off system for electric motor-driven toy vehicles using rechargeable batteries
US3919351A (en) 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (de) 1977-01-07 1978-07-13 Instruments Sa Verfahren zur duplizierung einer optischen flaeche sowie so hergestelltes beugungsgitter
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4251277A (en) * 1978-04-24 1981-02-17 Sws Silicones Corporation Compositions containing thiofunctional polysiloxanes
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) * 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
JPS573875A (en) 1980-06-11 1982-01-09 Tamura Kaken Kk Photopolymerizable ink composition
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
SE454519B (sv) * 1981-09-11 1988-05-09 Inst Mekhaniki Metallopolimern Sjevsmorjande kompositmaterial
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (de) 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) * 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4514439A (en) * 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4507331A (en) * 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
EP0166363B1 (en) 1984-06-26 1991-08-07 Asahi Glass Company Ltd. Low reflectance transparent material having antisoiling properties
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
JPS61116358A (ja) * 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4908298A (en) * 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) * 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) * 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) * 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) * 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
KR930000293B1 (ko) 1987-10-26 1993-01-15 마쯔시다덴기산교 가부시기가이샤 미세패턴형성방법
JPH01163027A (ja) * 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd 光学素子の成形方法およびその装置
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
DE3805631A1 (de) 1988-02-24 1989-09-07 Teldix Gmbh Drehschwingungsantrieb
US4883561A (en) 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4846931A (en) 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4891303A (en) * 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0625235B2 (ja) * 1988-06-21 1994-04-06 信越化学工業株式会社 硬化性弾性組成物
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) * 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) * 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
DE59010728D1 (de) 1989-04-24 1997-07-31 Siemens Ag Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) * 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5151754A (en) 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5204381A (en) * 1990-02-13 1993-04-20 The United States Of America As Represented By The United States Department Of Energy Hybrid sol-gel optical materials
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5073230A (en) 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5149592A (en) * 1990-05-09 1992-09-22 Avery Dennison Corporation Ultraviolet radiation curable clearcoat
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
JPH04327939A (ja) * 1991-04-26 1992-11-17 Matsushita Electric Ind Co Ltd 樹脂製凹版およびその製造方法
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
DE69229924T2 (de) 1991-05-17 1999-12-23 Asahi Glass Co Ltd Oberflächenbehandeltes Substrat
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
JPH0555654A (ja) 1991-08-26 1993-03-05 Nec Corp 圧電素子変位拡大機構
US5317386A (en) * 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
DE4228853C2 (de) 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5263073A (en) 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
US5204467A (en) * 1991-12-20 1993-04-20 E. I. Du Pont De Nemours And Company Visible photosensitizers for photopolymerizable compositions
US5204739A (en) * 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
JPH06180505A (ja) * 1992-07-02 1994-06-28 Hitachi Ltd 配線構造体及びその製造方法
US5298556A (en) * 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5482768A (en) * 1993-05-14 1996-01-09 Asahi Glass Company Ltd. Surface-treated substrate and process for its production
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5380474A (en) * 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5414027A (en) * 1993-07-15 1995-05-09 Himont Incorporated High melt strength, propylene polymer, process for making it, and use thereof
US5389696A (en) 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US6180239B1 (en) * 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) * 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
US5534101A (en) * 1994-03-02 1996-07-09 Telecommunication Research Laboratories Method and apparatus for making optical components by direct dispensing of curable liquid
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5542978A (en) 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5837314A (en) 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5523878A (en) 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US5868966A (en) 1995-03-30 1999-02-09 Drexel University Electroactive inorganic organic hybrid materials
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
JP3624476B2 (ja) * 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
EP0839341B1 (de) * 1995-07-19 2002-09-25 Ciba SC Holding AG Heterogene photoinitiatoren, photopolymerisierbare zusammensetzungen und deren verwendung
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
US6518168B1 (en) * 1995-08-18 2003-02-11 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6468642B1 (en) 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
SE508373C2 (sv) 1995-10-30 1998-09-28 Obducat Ab Kruptosystem för optiskt lagringsmedia
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US5747102A (en) 1995-11-16 1998-05-05 Nordson Corporation Method and apparatus for dispensing small amounts of liquid material
US5684066A (en) 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
DE69729376T2 (de) * 1996-03-27 2005-06-02 Novartis Ag Verfahren zur herstellung von porösen polymeren unter anwendung eines porenbildendes agens
ES2201280T3 (es) * 1996-03-27 2004-03-16 Novartis Ag Procedimiento para la fabricacion de un polimero poroso a partir de una mezcla.
DE69726268T2 (de) * 1996-03-27 2004-09-16 Novartis Ag Poröses polymer mit hohem wassergehalt
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
JPH1039501A (ja) * 1996-07-19 1998-02-13 Sekisui Finechem Co Ltd 着色感光性樹脂組成物及びカラーフィルタ
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
SG53043A1 (en) * 1996-08-28 1998-09-28 Ciba Geigy Ag Molecular complex compounds as photoinitiators
US6039897A (en) * 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
DE19648844C1 (de) 1996-11-26 1997-09-18 Jenoptik Jena Gmbh Einrichtung und Verfahren zur Abformung mikrosystemtechnischer Strukturen
US6204343B1 (en) 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5792821A (en) 1997-01-06 1998-08-11 American Dental Association Health Foundation Polymerizable cyclodextrin derivatives
US6495624B1 (en) 1997-02-03 2002-12-17 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6156389A (en) 1997-02-03 2000-12-05 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
DE19710420C2 (de) * 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US6335149B1 (en) * 1997-04-08 2002-01-01 Corning Incorporated High performance acrylate materials for optical interconnects
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US6174932B1 (en) * 1998-05-20 2001-01-16 Denovus Llc Curable sealant composition
JPH10319597A (ja) * 1997-05-23 1998-12-04 Mitsubishi Electric Corp 感光性シリコーンラダー系樹脂組成物、この樹脂組成物にパターンを転写するパターン転写方法および上記樹脂組成物を用いた半導体装置
US5926690A (en) 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
JPH1143521A (ja) * 1997-05-30 1999-02-16 Matsushita Electric Ind Co Ltd モールド組成物、モールド部品およびモールド部品の製造方法
US6033977A (en) * 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US6132632A (en) 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6475704B1 (en) 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
JPH11133201A (ja) * 1997-10-29 1999-05-21 Menicon Co Ltd 光学材料
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5937758A (en) 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
US6114404A (en) 1998-03-23 2000-09-05 Corning Incorporated Radiation curable ink compositions and flat panel color filters made using same
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6150231A (en) 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
DE19828969A1 (de) 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
KR100273172B1 (ko) * 1998-08-01 2001-03-02 윤덕용 아크릴 측쇄에 디옥사스피로환기 유도체를 갖는 화합물을 이용한 포토레지스트
US5907782A (en) 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
TWI230712B (en) * 1998-09-15 2005-04-11 Novartis Ag Polymers
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) * 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6245421B1 (en) 1999-02-04 2001-06-12 Kodak Polychrome Graphics Llc Printable media for lithographic printing having a porous, hydrophilic layer and a method for the production thereof
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP4286374B2 (ja) 1999-03-30 2009-06-24 新日鐵化学株式会社 シリコーン樹脂及びこれを含有する感光性樹脂組成物
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
US6387783B1 (en) 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP3939048B2 (ja) 1999-05-17 2007-06-27 セイコーインスツル株式会社 圧電アクチュエータ
CN1355739A (zh) 1999-06-11 2002-06-26 博士伦公司 用于生产接触镜片和其它眼科产品的带有保护涂层的镜片模具
US6188150B1 (en) * 1999-06-16 2001-02-13 Euv, Llc Light weight high-stiffness stage platen
US6255022B1 (en) * 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
US6467761B1 (en) 1999-06-21 2002-10-22 The United States Of America As Represented By The Secretary Of Commerce Positioning stage
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
WO2001018305A1 (en) 1999-09-10 2001-03-15 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
ATE294648T1 (de) 1999-12-23 2005-05-15 Univ Massachusetts Verfahren zur herstellung von submikron mustern auf filmen
US6696157B1 (en) * 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6245581B1 (en) 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6756165B2 (en) 2000-04-25 2004-06-29 Jsr Corporation Radiation sensitive resin composition for forming barrier ribs for an EL display element, barrier rib and EL display element
US6774183B1 (en) 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
JP2002023349A (ja) * 2000-07-11 2002-01-23 Toray Ind Inc 感光性樹脂凸版材
EP2264522A3 (en) 2000-07-16 2011-12-14 The Board of Regents of The University of Texas System Method of forming a pattern on a substrate
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
WO2002010721A2 (en) 2000-08-01 2002-02-07 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6730256B1 (en) 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
ES2284515T3 (es) * 2000-08-11 2007-11-16 Tokuyama Corporation Procedimiento paa producir un producto curado fotocromico.
WO2002017383A2 (en) 2000-08-21 2002-02-28 Board Of Regents, The University Of Texas System Flexure based translation stage
US6531407B1 (en) 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US6448301B1 (en) 2000-09-08 2002-09-10 3M Innovative Properties Company Crosslinkable polymeric compositions and use thereof
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
JP2002097233A (ja) * 2000-09-21 2002-04-02 Nippon Shokubai Co Ltd ラジカル重合性樹脂乾燥性付与剤、ラジカル重合性樹脂組成物及びラジカル重合性樹脂組成物硬化物
CN100365507C (zh) 2000-10-12 2008-01-30 德克萨斯州大学系统董事会 用于室温下低压微刻痕和毫微刻痕光刻的模板
US6503914B1 (en) 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
AU2002223792A1 (en) * 2000-11-10 2002-05-21 Durand Technology Limited Optical recording materials
KR20020047490A (ko) 2000-12-13 2002-06-22 윤종용 실리콘을 함유하는 감광성 폴리머 및 이를 포함하는레지스트 조성물
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
WO2002069040A1 (en) * 2001-02-27 2002-09-06 Shipley Company, Llc Novel polymers, processes for polymer synthesis and photoresist compositions
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
KR100442859B1 (ko) 2001-04-04 2004-08-02 삼성전자주식회사 실리콘을 함유하는 알킬 비닐 에테르의 중합체로이루어지는 감광성 폴리머 및 이를 포함하는 레지스트조성물
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6737489B2 (en) 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6736857B2 (en) 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US6561706B2 (en) 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP2003057818A (ja) * 2001-08-20 2003-02-28 Asahi Kasei Corp 厚膜導体回路用液状感光性樹脂組成物
US6721529B2 (en) 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6790905B2 (en) 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
JP2003118008A (ja) * 2001-10-17 2003-04-23 Mitsui Chemicals Inc 樹脂成型体の製造方法
US6716767B2 (en) * 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
JP2005516406A (ja) 2001-11-07 2005-06-02 ダウ グローバル テクノロジーズ インコーポレイティド 平坦化マイクロエレクトロニクス基板
US6649272B2 (en) 2001-11-08 2003-11-18 3M Innovative Properties Company Coating composition comprising fluorochemical polyether silane polycondensate and use thereof
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
EP1484645A4 (en) 2002-02-19 2008-12-17 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING ANTIREFLECTION COATING
US6737202B2 (en) 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
EP1342736B1 (en) 2002-02-28 2013-05-08 Merck Patent GmbH Prepolymer material, polymer material, imprinting process and their Use
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6743713B2 (en) 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US20030235787A1 (en) 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7019819B2 (en) 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
JP2006502837A (ja) 2002-07-23 2006-01-26 シエル・インターナシヨネイル・リサーチ・マーチヤツピイ・ベー・ウイ 疎水性表面処理組成物、並びにその製造法及び使用法
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US6980282B2 (en) 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
JP4210858B2 (ja) 2002-12-26 2009-01-21 日産化学工業株式会社 アルカリ溶解型リソグラフィー用ギャップフィル材形成組成物
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
US7452574B2 (en) 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US6830819B2 (en) 2003-03-18 2004-12-14 Xerox Corporation Fluorosilicone release agent for fluoroelastomer fuser members
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US6943117B2 (en) 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
JP2005014348A (ja) 2003-06-25 2005-01-20 Fuji Photo Film Co Ltd 平版印刷版原版及び平版印刷方法
US20050084804A1 (en) 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography

Also Published As

Publication number Publication date
US8076386B2 (en) 2011-12-13
JP2007523249A (ja) 2007-08-16
EP1718697A1 (en) 2006-11-08
EP2261280B1 (en) 2014-10-08
EP2261280A1 (en) 2010-12-15
JP2015130499A (ja) 2015-07-16
JP5426814B2 (ja) 2014-02-26
MY141006A (en) 2010-02-12
WO2005082992A1 (en) 2005-09-09
TW200602406A (en) 2006-01-16
JP6326700B2 (ja) 2018-05-23
US20050187339A1 (en) 2005-08-25
JP2012195610A (ja) 2012-10-11
JP5753132B2 (ja) 2015-07-22
EP1718697A4 (en) 2007-03-21

Similar Documents

Publication Publication Date Title
TWI324622B (en) Materials for imprint lithography
TWI358608B (en) Method to reduce adhesion between a conformable re
KR101621381B1 (ko) 폴리머 몰드
KR101108496B1 (ko) 모세관 임프린트 기술
EP1796851B1 (en) Polymerization technique to attenuate oxygen inhibition of solidification of liquids
CN101097400B (zh) 软模具及其制造方法
TW200531150A (en) Materials and methods for imprint lithography
US7452574B2 (en) Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
TW200903146A (en) Method for imprint lithography utilizing an adhesion primer layer
JP2004002702A (ja) プレポリマー材料、ポリマー材料、インプリンティングプロセスおよびその使用
US20060035029A1 (en) Method to provide a layer with uniform etch characteristics
JP5020079B2 (ja) 均一なエッチング特性を有する層を提供する方法及び組成物
CN1726433B (zh) 用于纳米压印的组合物和方法
TW201825617A (zh) 壓印用底漆層形成用組成物、壓印用底漆層及積層體
KR101179063B1 (ko) 임프린트 리소그래피용 재료
Rodríguez et al. Soft thermal nanoimprint and hybrid processes to produce complex structures
JP7357882B2 (ja) 成形物の製造方法、レプリカモールドの製造方法及びデバイスの製造方法
JP5887871B2 (ja) 被膜形成材料及びパターン形成方法
CN1914265B (zh) 用于刻印平板印刷术的材料