JP5336806B2 - 基板搬送ロボット用の位置検出装置を備えた半導体処理装置及びその方法 - Google Patents

基板搬送ロボット用の位置検出装置を備えた半導体処理装置及びその方法 Download PDF

Info

Publication number
JP5336806B2
JP5336806B2 JP2008249456A JP2008249456A JP5336806B2 JP 5336806 B2 JP5336806 B2 JP 5336806B2 JP 2008249456 A JP2008249456 A JP 2008249456A JP 2008249456 A JP2008249456 A JP 2008249456A JP 5336806 B2 JP5336806 B2 JP 5336806B2
Authority
JP
Japan
Prior art keywords
end effector
substrate
semiconductor substrate
pair
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008249456A
Other languages
English (en)
Other versions
JP2009094506A (ja
Inventor
正浩 滝沢
雅栄 諏訪田
Original Assignee
日本エー・エス・エム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本エー・エス・エム株式会社 filed Critical 日本エー・エス・エム株式会社
Publication of JP2009094506A publication Critical patent/JP2009094506A/ja
Application granted granted Critical
Publication of JP5336806B2 publication Critical patent/JP5336806B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J19/00Accessories fitted to manipulators, e.g. for monitoring, for viewing; Safety devices combined with or specially adapted for use in connection with manipulators
    • B25J19/02Sensing devices
    • B25J19/021Optical sensing devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Description

本発明は、概して、基板処理装置に関し、特に、該基板処理装置を通じて基板を搬送するための方法及び装置に関する。
シリコンウエハなどの半導体基板を処理する装置は、典型的に、処理すべき半導体基板を内部で支持する処理チャンバと、該処理チャンバ内での基板処理の前後において基板を搬送するための基板搬送チャンバと、該基板搬送チャンバにより基板が搬送される前後において基板を格納するためのひとつまたはそれ以上の入/出チャンバを含む。基板搬送チャンバ内には基板搬送ロボットが配置され、該基板搬送ロボットは複数のステーションの間で基板を搬送するよう構成されている。このステーションは、搬送チャンバ内部にあっても、入/出チャンバ内にあっても、処理チャンバ内にあっても、他のチャンバ内にあってもよい。処理チャンバ内部にある典型的なステーションは、処理中に基板を支持するためのサセプタのような基板ホルダである。入/出チャンバ内部にあるステーションは複数の基板を保持するためのカセットを含む。入/出チャンバは、搬送ロボットによりアクセス可能な基板カセットを含む搬入チャンバ及び搬入ポートを含む。入/出チャンバは、搬送チャンバ内に移動され、最終的に処理チャンバ内に移動される手前の、基板が雰囲気的に分離され、かつ、粒子がパージされるロードロックチャンバであってもよい。チャンバ内部にあるかまたは基板搬送チャンバ内にある他のステーションは、前処理チャンバ(ウエハのプレクリーニング用ステーションなど)及び/または後処理チャンバ(冷却ステーションなど)を含む。
典型的に、基板搬送ロボットは、アクチュエータ、ひとつまたはそれ以上のインターリンクアーム、該アームに取付けられたエンドエフェクタを含む。該アクチュエータは、アーム及びエンドエフェクタを動かすように構成されている。該エンドエフェクタはステーションから基板を持ち上げ、ロボットがエンドエフェクタを動かし基板を他のステーションへ移動中に基板を保持し、基板を他のステーションへ載置するよう適応されている。パドル型またはベルヌーイ棒型を含む、さまざまな種類のエンドエフェクタが存在する。
いわゆるクラスタ型ツールにおいて、装置は複数の処理チャンバを有し、典型的に各々の処理チャンバは基板搬送チャンバに隣接している。これらの処理チャンバは同時に基板処理を実行することが可能であり、それにより装置全体の基板のスループットが向上する。基板搬送チャンバは、基板の搬送能力を高めるためひとつ以上の基板搬送ロボットを含むこともできる。
基板はしばしば非常に正確に配置されなくてはならない。半導体ウエハを保持する典型的なサセプタは、サセプタが支持するべきウエハのサイズよりわずかに大きなサイズのウエハポケットを有する。例えば、φ300mmのウエハを支持するよう設計されたサセプタは直径が301mmのウエハポケットを有し、支持すべきウエハのエッジとポケットの周囲との間のクリアランスは0.5mmしかない。ウエハがポケットの中央に配置されかつポケットからはみ出さないことが重要である。ウエハがポケットからはみ出すと、局所的な温度変化が生じ、ウエハを横切って温度勾配が生じる。大部分の半導体処理は温度に顕著に依存していることから、これにより不均一な処理がもたらされる。
エンドエフェクタに対する基板位置のエラーは“基板ドリフト”として知られ、しばしばこれは、ピックアップ時のカセット内での基板位置の変化により生じる。言い換えれば、ピックアップ時に、エンドエフェクタはそれぞれの基板に対してわずかに異なる位置で接触している。基板ドリフトはロボットが移動中にも生じる。特に、ロボットが高速で移動する際には生じやすい。サセプタポケット内の中央に基板を配置することに関連した上記問題に加え、基板ドリフトはウエハカセットなどの基板ステーションに基板を配置するときに基板にダメージを与える。
基板ドリフトに関連するこれらの問題を解決するひとつの方法は、ウエハ搬送チャンバ内に少なくとも2つの光センサを使用することである。例えば、米国特許第7,008,802号は、光センサを使用して、光センサから放射された光線を遮るウエハのエッジまでの距離を検知する旨が開示されている。光センサの光線を遮るウエハエッジまでの距離を測定することによりウエハの位置を計算し、所定の通常位置と比較して、位置エラーを補償するようロボットに適用すべきオフセット変位を計算する。ウエハの位置を計算するのに2つの光センサを使用することは、特開2005−93807号にも開示されている。
米国特許第7,008,802号公報 特開2005−93807号公報
本発明の目的は、半導体基板の位置を正確に検出し、半導体基板を所定位置に精度良く配置することができる基板搬送装置を含む半導体処理装置及びその方法を与えることである。
また、本発明の他の目的は、装置コストが安く、構造が単純で、かつスループットの高い基板搬送装置を備えた半導体処理装置を提供することである。
本発明のひとつの態様において、本発明は、半導体基板処理装置を開示する。当該装置は、基板搬送チャンバと、位置センサの第1の対と、前記基板搬送チャンバ内に設けられた基板搬送ロボットを含む。位置センサの第1の対の各位置センサは光線を放射するよう構成されたエミッタと、光線を受光するよう構成されたレシーバを含む。基板搬送ロボットは細長いエンドエフェクタ及びロボットアクチュエータを含む。該エンドエフェクタは近位端及び遠位端を有する。エンドエフェクタは、半導体基板がエンドエフェクタによりピックアップされかつ保持されるたびにエンドエフェクタに関して基板が同じ所定位置にあるように、遠位端で半導体基板をピックアップしかつ保持するように構成されている。ロボットアクチュエータは、複数の基板ステーションの間で基板を搬送するべく、基板搬送チャンバ内でエンドエフェクタを移動するよう構成されている。
エンドエフェクタは、前記エンドエフェクタにより所定の位置に保持された半導体基板のエッジが、位置センサの第1の対の一方の位置センサのエミッタから放射された光線の一部を遮るとともに、前記エンドエフェクタの前記近位端が前記位置センサの第1の対の他方の位置センサのエミッタから放射された光線の一部を遮るような長さを有する。
本発明の他の態様において、本発明は半導体基板搬送ロボットを制御する方法を開示する。当該方法は、位置センサの第1の対を与える工程を含み、各位置センサはエミッタ及びレシーバを含む。エミッタは光線を放射するよう構成され、レシーバはエミッタからの光線を受光するよう構成されている。当該方法はまた、搬送チャンバ内に基板搬送ロボットを与える工程と、細長いエンドエフェクタを与える工程と、複数の基板ステーションの間で基板を搬送するべく、搬送チャンバ内でエンドエフェクタを移動させるよう構成されたロボットアクチュエータを与える工程を含む。エンドエフェクタは遠位端及び近位端を有し、基板がエンドエフェクタによりピックアップされかつ保持されるたびに、エンドエフェクタに関して基板が同じ所定位置にあるように、遠位端で半導体基板をピックアップしかつ保持するよう構成されている。エンドエフェクタは、前記エンドエフェクタにより所定の位置に保持された前記半導体基板のエッジが、前記位置センサの第1の対の一方の位置センサのエミッタから放射された光線の一部を遮るとともに、前記エンドエフェクタの前記近位端が前記位置センサの第1の対の他方の位置センサのエミッタから放射された光線の一部を遮るような長さを有する。
本発明の他の態様において、本発明は半導体処理装置を開示する。当該装置は、基板搬送チャンバと、該基板搬送チャンバに隣接する第2チャンバと、基板搬送チャンバと第2チャンバとの間の開口部と、搬送チャンバ内部のエンドエフェクタと、ロボットアクチュエータと、位置センサと、制御装置を含む。エンドエフェクタは、パドル及び近位クランプ部材を含む。該パドルはエンドエフェクタの遠位端を定義する遠位端を有する。パドルは前記パドルにより支持される基板のエッジをはさむように適応されたひとつまたはそれ以上のクランプエレメントを有する。近位クランプ部材はパドルにより支持された基板のエッジをはさむように適応されたひとつまたはそれ以上の近位クランプエレメントを有する。近位クランプ部材は、パドルのクランプ部材と、近位クランプ部材のクランプエレメントとの間で、基板を挟んだり離したりするようパドルの遠位端の方へまたはそこから離れる方へ移動するよう適応されている。近位クランプ部材はエンドエフェクタの近位端を定義する近位伸長構造を有する。ロボットアクチュエータは複数の基板ステーションの間で基板を搬送するべく、搬送チャンバ内でエンドエフェクタを移動させるよう構成されている。位置センサは光線を放射するよう構成されたエミッタと、光線を受光するよう構成されたレシーバを含む。制御装置は、エンドエフェクタが前記位置センサから開口部へ伸長する線に沿って方向付けられかつ前記パドルの遠位端が開口部の方向を向いているところの目標位置へ、エンドエフェクタを移動させるようにロボットアクチュエータに命令するようプログラムされている。制御装置は、レシーバによって受光されたエミッタからの光量を決定し、かつ、測定した光量に基づいてエンドエフェクタの動きを制御するようプログラムされている。
発明の内容及び従来技術に対して達成される利点を要約するために、本発明のいくつかの目的及び利点を上記した。無論、これらの目的または利点のすべてが本発明の特定の実施形態に従って必ずしも達成されるものではない。したがって、ここに教示または示唆されるような他の目的または利点を必ずしも達成することなく、ここに教示されるようないくつかの利点を達成し若しくは最適化する方法で本発明が実施または実行されることは当業者の知るところである。
ここに開示されるすべての実施形態は、本発明の態様に含まれるものである。本発明のこれら及び他の実施形態は、図面を参照した以下の好適実施形態の説明から明らかとなるが、本発明は、ここに開示するいずれの実施形態にも限定されるものでない。
基板ドリフトを検出する上記従来の方法のひとつの問題は、しばしば光センサにより放射された光線とロボットアームとが干渉し、それにより基板位置の検出が妨害されるということである。典型的な光センサにおいて、エミッタはエンドエフェクタの上側に配置され、該エミッタは下向きの光線を放射するよう方向付けられており、レシーバはエンドエフェクタの下側に設けられ、エミッタからの光線を受光するよう構成されている。ある位置において、ロボットアームは光線を遮るため、基板の位置を検出するセンサの邪魔をしてしまう。複数の基板を同時に保持する複数のロボットを有する装置において、しばしば基板は互いに重なり合い、どちらの基板が検出されているのかを決定することができなくなる。また、光センサの光線が2枚の異なる基板により遮られている場合、目標の基板の位置の計算に誤差が生じる。
例えば、図1はシリコンウエハのような半導体基板を処理するための従来の半導体処理装置10を示す。当該装置10は、ウエハ搬送チャンバ12、2つの処理チャンバ14、2つのロードロックチャンバ16、2つの冷却チャンバ18、フロントエンド搬送チャンバ20、及び搬入ポート22を含む。ウエハ搬送チャンバ12はウエハ搬送ロボット26を含み、フロントエンド搬送チャンバ20はウエハ搬送ロボット28を含む。
ロボット28は、ウエハカセットまたはFOUPs(front opening unified pods)のようなウエハ収納容器からウエハ24を受け取り、ロードロックチャンバ16へ該ウエハ24を引き渡す。ロボット28は処理済みのウエハ24を搬入ポート22においてウエハ収納容器へ戻す。ロードロックチャンバ16は、搬送チャンバ12、処理チャンバ14、及び冷却チャンバ18に移動する前にウエハ24を隔離しかつパージするためにシールされる。ロボット26はロードロックチャンバ16からウエハ24をピックアップし、該ウエハをウエハ処理用の処理チャンバ14及び冷却チャンバ18へ搬入する。ロボット26はチャンバ14及び18との間ですべてのウエハのピックアップ及び搬入を繰り返す。
ロボット26は、ウエハ24をピックアップするように構成されたパドル形状のエンドエフェクタ30を有し、ウエハ搬送工程中はウエハを支持し、その後はウエハを目標のステーションへ搬入する。ロボット26はアーム32及び34を含む。アーム32の一端はパドル30の端部と枢着し、他端はアーム34の端部と枢着する。アーム34の他端はロボット26を上下移動させる昇降機36に枢着する。昇降機36はロボット26の回転を容易にするために垂直軸の周りに回転するよう構成されている。ロボット26は、処理チャンバ14及び冷却チャンバ18との間でウエハ24を出し入れすることができる。
図2は、図1の従来のウエハ搬送チャンバの平面概略図である。搬送チャンバ12は、搬送チャンバ12を包囲する隣接したチャンバ内へウエハを受け渡しするための6個の目標位置A、B、C、D、E、Fを有する。特に、位置A及びFはロードロックチャンバ16に関連し、位置B及びEは冷却チャンバ18に関連し、位置C及びDは処理チャンバ14に関連する。位置光センサ1〜9が、搬送チャンバ中の、目標位置A〜Fにおけるウエハのエッジに沿った位置に配置される。各光センサは、関連する目標位置においてウエハにより部分的に遮られる垂直光線を生成する。各目標位置A〜Fはそのエッジに沿って2つの光センサを有し、ウエハ位置を計算するにはそれで十分である。
この配置に伴う問題は、パドル30及びアーム32、34が光センサ1〜9により放射された光線を遮り、それがウエハ位置の検出を不可能にしているということである。また、複数のウエハを保持する2つまたはそれ以上のウエハ搬送ロボット26が搬送チャンバ内に与えられれば、特定の光センサがどのウエハを検出しているのかを決定するのがしばしば不可能となる。例えば、ひとつのロボットが目標位置Aにウエハを雑に配置し、他のロボットが目標位置Fにウエハを雑に配置した結果、光センサ2により放射された光線は両方のウエハにより部分的に遮断され、それにより、検出すべきウエハの位置の計算値に誤差が生じる。他方のウエハが正しく配置された状態で、一方のウエハが光線を完全に遮っていれば、装置はウエハの位置を誤認してしまう。
図3は、本発明のひとつの実施形態に従う、シリコンウエハなどの半導体基板を処理するための半導体処理装置100の平面概略図である。装置100は、基板搬送チャンバ102、4つの基板処理チャンバ104、及び2つの入/出チャンバ106を含む。チャンバ104及び106は、搬送チャンバ102に隣接するのが好ましい。好適に、処理チャンバ104は、化学気相成長、原子層堆積、物理気相成長、フォトリソグラフィー、エッチング、プラズマ強化堆積等の基板処理中に基板を支持するためのサセプタなどの基板ホルダを含む。処理チャンバ104は枚葉式の基板処理チャンバか、若しくはバッチ式の基板処理チャンバでもよい。
入/出チャンバ106は、基板カセットまたはFOUPのような基板収容器を有する基板搬入チャンバを含む。入/出チャンバ106は、基板が搬送チャンバ102内及び処理チャンバ104内に移動する前に、基板が雰囲気的に隔離されかつ粒子がパージされるところのロードロックチャンバから成る。図示した基板搬送チャンバ102は、チャンバ104及び106内で基板をピックアップし、移送し、搬入するように適応された真空ロボットのような2つの基板搬送ロボット40を含む。
図4は、本発明のひとつの実施形態に従う、基板搬送ロボット40の平面図である。複数のロボット40が基板搬送チャンバ102内に与えられる場合には、それらは独立に構成されるのが好ましい。図示したロボット40は細長いエンドエフェクタ42及びロボットアクチュエータ44を含む。エンドエフェクタ42は遠位端46及び近位端48を有し、遠位端46において半導体基板をピックアップしかつ保持するように構成されている。ロボットアクチュエータ44は、複数の基板ステーション(チャンバ104及び106内部のステーション)中で基板を搬送するべく、基板搬送チャンバ102内でエンドエフェクタ42を移動させるように構成されている。
エンドエフェクタ42は、基板クランパ若しくはウエハクランパとも呼ばれ、好適にパドル50及び近位クランプ部材52を含む。パドル50は、基板の下側にあって基板を支持するように構成されている。図示した実施形態において、パドル50は、2つの内側スロット53を形成する2つのプロング51を有する。しかし、他の実施形態において、パドル50は、プロング51若しくはスロット53が無くてもよい。パドル50はエンドエフェクタ42の遠位端46を定義する遠位端を有する。パドル50は、エンドエフェクタ42により保持される基板のエッジをはさむよう構成されたひとつまたはそれ以上の前方のクランプエレメント54を有する。図示した実施形態において、クランプエレメント54は立ち上がったフランジを含む。しかし、フランジ以外の構造が替わりに使用されてもよい。図示した実施形態において、クランプエレメント54はパドル50の遠位端にある。しかし、クランプエレメント54はパドル50の遠位端より幾分後方に配置されてもよい。図5に示すように、パドル50は、基板が載置されるところのスペーサピンのようなスペーサ55を含む。
図4及び5を参照して、図示したパドル50はロボットアクチュエータ44に結合されるスリーブ58を構成する近位部56を有する。スリーブ58は、近位クランプ部材52のボディ部分60を収容する。クランプ部材52はエンドエフェクタ42により保持された基板のエッジをはさむように構成されたひとつまたはそれ以上のクランプエレメント66を含む。図示した実施形態において、クランプ部材52は、ボディ部60から長手方向でかつ遠位端方向に伸長する一対のアーム62を含む。スリーブ58の側壁は、スロット64を含み、それを通じてアーム62は、スリーブ58の内部から長手方向に伸長する。各アーム62はひとつのクランプエレメント66を含む。スロット64の幅は、好適には、それを通じて伸長するアーム62の部分の幅よりわずかに広く、その結果、クランプ部材52はエンドエフェクタ42の遠位端46の方向またはその逆方向へ移動することができる。線形アクチュエータ72は、スリーブ58に関するクランプ部材52のこのような動きを生成するために設けられている。アクチュエータ72は電気的に制御される。好適にクランプ部材52はスリーブ58のオリフィス70を通じて伸長するリアフラグ68を含む。このフラグ68の機能については後述する。ひとつの実施形態において、パドル50に関するクランプ部材52の移動距離、すなわち、スロット64の最小長は約2mmである。
図4を参照して、図示したロボットアクチュエータ44は複数のインターリンク型アーム74を含む。下側アーム74bは昇降機76と枢着する第1端、及びエルボ部材78と枢着する第2端を有する。上側アーム74aはエルボ部材78と枢着する第1端、及びエンドエフェクタ42と枢着する第2端を有する。昇降機76はロボット40を垂直方向に移動させ、かつ、基板搬送チャンバ102の底面に関して垂直軸線方向に回転させるように構成されている。ここで図示した実施形態以外にさまざまな種類のロボットアクチュエータ44が使用可能であることは言うまでもない。
図4から図6を参照して、図示したエンドエフェクタ42の好適な基板クランプ機能を説明する。最初に、パドル50が基板80の下側に配置され、基板がパドル上で支持される。図示した実施形態において、基板80はスペーサ55によって支持されている。その後、近位クランプ部材52は、パドル50のクランプエレメント54とクランプ部材52のクランプエレメント66との間で基板80をはさむよう、遠位端方向へ移動する。ひとつの実施形態において、クランプ部材52は線形アクチュエータ72により遠位端方向へ移動させられる。図6に示すように、4つのクランプ部材54、54’、66、66’により、エンドエフェクタ42は、繰り返し所定の位置で基板80を保持することができる。言い換えれば、基板80は、基板がエンドエフェクタによりピックアップされかつ保持されるたびに、基板80はエンドエフェクタ42に関して所定の位置に存在する。エンドエフェクタ42が基板を離す必要がある場合、クランプ部材52は基板を離すべく近位端の方向へ移動する。
図7を参照して、本発明の好適実施形態に従う半導体処理装置100は、4つの処理チャンバ104A、104B、104C、104D、並びに、2つの入/出チャンバ106A、106Bを含む。搬送チャンバ102と処理チャンバ104との間の側壁には、各処理チャンバに関連して開口部が設けられている。したがって、図示した搬送チャンバ102は、処理チャンバ104A、104B、104C、104Dに関連して、処理チャンバ開口部108A、108B、108C、108Dを含む。各処理チャンバ開口部は、エンドエフェクタがエンドエフェクタに関して所定の位置に基板を保持した状態で、ひとつのロボット40のエンドエフェクタ42が処理チャンバ開口部108を通過できるような大きさを有している。
搬送チャンバ102の反対側の側面には、それぞれの処理チャンバ開口部108が互いに対向するように、一対の処理チャンバ104が設けられている。図示した実施形態において、装置100は処理チャンバ104A、104Cの第1の対、及び、処理チャンバ104B、104Dの第2の対を含む。図示した装置100は、処理チャンバ開口部108A、108Cの第1の対、及び、処理チャンバ開口部108B、108Dの第2の対を含む。開口部108A及び108Cは搬送チャンバの反対側の側面にあって互い対向しており、開口部108B及び108Dは搬送チャンバの反対側の側面にあって互いに対向している。
好適に装置100は、各々が搬送チャンバ102からひとつの処理チャンバ104を隔離するように構成された複数のゲートバルブ110を含む。図示した実施形態において、装置100は、搬送チャンバ102から処理チャンバ104A、104B、104C、104Dを隔離するよう構成されたゲートバルブ110A、110B、110C、110Dを含む。各ゲートバルブは開口位置及び閉止位置を有する。ゲートバルブ110がその開口位置にあると、エンドエフェクタが基板80を保持した状態で、エンドエフェクタ42はゲートバルブ110及びひとつの処理チャンバ開口部を通過することができる。好適に、各ゲートバルブ110はその閉止位置において、ひとつの処理チャンバ開口部108をシールする。
好適に装置100はひとつの処理チャンバ開口部108の近くに配置された複数の位置センサ82を含む。ひとつの処理チャンバ104及び開口部108に対して、ひとつのセンサ82が設けられている。図示した実施形態において、装置100は、基板搬送チャンバ102内に位置センサ82A、82B、82C、82Dを含む。処理チャンバ104、開口部108及びゲートバルブ110と同様に、位置センサ82は対となって設けられるのが好ましい。図示した実施形態において、位置センサ82A及び82Cは第1の対を形成し、位置センサ82B及び82Dは第2の対を形成する。位置センサ82の機能は後述する。
好適に装置100は、搬送チャンバ102と入/出チャンバ106との間に入/出開口部112を含む。図示した実施形態において、装置100は入/出チャンバ106A及び106Bにそれぞれ関連する入/出開口部112A、112Bを含む。それぞれの入/出開口部112は、基板がエンドエフェクタに関して所定の位置に保持された状態で、エンドエフェクタ42が入/出開口部を通過することができるような大きさで構成されている。
好適に装置100は、それぞれの入/出チャンバ106に対してゲートバルブ114を含む。それぞれのゲートバルブ114は基板搬送チャンバ102から、関連する入/出チャンバ106を隔離するよう構成されている。図示した実施形態において、装置100は、搬送チャンバ102から入/出チャンバ106A、106Bを隔離するよう構成されたゲートバルブ114A、114Bを含む。好適に各ゲートバルブ114は開口位置及び閉止位置を有する。ゲートバルブ114が開口位置にあれば、基板がエンドエフェクタにより保持された状態で、エンドエフェクタ42がゲートバルブ114及びひとつの入/出開口部112を通過することができる。好適に各ゲートバルブ114は、閉止位置において、ひとつの入/出開口部112をシールする。
好適に装置100は、搬送チャンバ102の入/出開口部112の反対側に配置された入/出位置センサ84を備える。図示した実施形態において、装置100は入/出位置センサ84A、84Bを含む。センサ84Aは入/出開口部112Aと反対側の側面に配置され、センサ84Bは入/出開口部112Bと反対側の側面に配置される。センサ84の機能は後述する。
図8は、本発明のひとつの実施形態に従う位置センサ82または84を概略的に示したものである。好適に位置センサ82、84は、光線エミッタ116及び光線レシーバ118を含む光センサである。ひとつの実施形態において、各センサのエミッタは、基板搬送チャンバ102のロボット40のエンドエフェクタ42の下方に配置され、各センサのレシーバ118はエンドエフェクタ42及びエミッタ116の上方に配置されている。例えば、エミッタ116は搬送チャンバ102の底面に設置されており、レシーバはチャンバ102の天井面に設置されている。他の実施形態において、エミッタ116及びレシーバ118の位置は逆でも良い。好適にはエミッタ116はレシーバ118の方向へレーザ光線120を放射するように構成されている。図示した実施形態において、エミッタ116はレシーバ118に向けて上方へ光線120を放射している。
他の実施形態において、搬送チャンバ102の天井面及び底面は透明であり、エミッタ116及びレシーバ118はチャンバ102の外側に配置されている。例えば、エミッタ116は搬送チャンバ102の透明な底面の下部に固定され、底面を通じてチャンバ102へ光線120を放射するように向けられる。同様に、レシーバ118は搬送チャンバ102の透明天井面の上部に固定され、エミッタ116から光線120を受光するように配置されている。
図8を参照して、放射された光線120は帯形状を有するのが好ましい。図8において、光線120の垂直方向の長さはその進行距離を表し、それはエミッタ116とレシーバ118との間の距離に等しい。図示した光線120の水平方向の長さは横方向断面の距離を表す。光線120は横方向断面を有し、その大きさ及び形状は光線の長さ方向に沿って均一であるのが好ましい。光線120はエンドエフェクタ42により保持された基板80により、または、エンドエフェクタのリアフラグ68により遮られる。レシーバ118はレシーバに到達する光量を特徴づける電圧を生成する。電圧レスポンスは線形であるのが好ましく、基板80またはリアフラグ68により遮られる光線120の面積に逆比例する。制御システム122はレシーバ118からの電圧信号を受信しかつ使用して、それに関連したエミッタ116からレシーバ118により受光した光量を決定する。受光した光量に基づいて、制御システム122は、後述するように、基板の位置を予測することができる。制御システム122は、この方法で、センサ82及び84の各々をモニターする。適当な比例型光センサ82及び84は、日本のKeyence Corp.、カナダのLMI、日本のパナソニックから入手可能であり、しばしばレーザ透過ビームセンサ(LTBS)システムと呼ばれている。ひとつの実施形態において、装置100は100mm×1mmの寸法を有するKeyence Corp.社製のLX2-10を使用するが、これは一例に過ぎず、異なるサイズの比例型光センサを使用しても良い。
上記したように、図6はエンドエフェクタに関して反復して所定位置で基板80を挟むことができるエンドエフェクタ42を示す。言い換えれば、基板80は、基板がエンドエフェクタによりピックアップされかつ保持されるたびに、エンドエフェクタ42に関して同じ所定位置に存在する。図6から8を参照して、一対のセンサ82に対して(例えば、センサ82A及び82Cから成る一対、またはセンサ82B及び82Dから成る一対)、センサ82の位置及び各エンドエフェクタ42の長さは、エンドエフェクタの近位端48が一方のセンサ82のエミッタ116から放射された光線120の一部を遮ると同時に、エンドエフェクタ42により所定位置に保持された基板80のエッジ86が他方のセンサ82のエミッタ116から放射された光線120の一部を遮ることができるようなものであるのが好ましい。後述するように、制御装置122はレシーバ118からの光測定電圧信号を使って、エンドエフェクタ42及びエンドエフェクタに関する基板80の位置を決定する。
図9は、本発明のひとつの実施形態に従う、位置光センサ80、82の光線120とエンドエフェクタ42の近位端48との間の関係を概略的に示したものである。図9は、基板搬送チャンバ102の中心83が図示したエンドエフェクタ42の右側にあるような位置関係で、エンドエフェクタの位置の2つの例を示している。図示した実施形態において、エンドエフェクタ42はリアフラグ68を有し、その近位端はエンドエフェクタの近位端48を構成する。枠線124は、ひとつのセンサのエミッタ116の検知範囲を示す。特に、枠線124の水平方向寸法はエミッタ116により放射された光線120の横方向断面の長さを表している。図9において、基板搬送チャンバ102の中心83に関して、枠線124の左側端は光線120の半径方向の最も外側の位置130を表し、枠線124の右側端は光線の半径方向の最も内側の位置132を表す。枠線126は、位置130から、位置132よりわずかに外側の位置134へ半径方向に伸長する検出範囲の一部を表している。枠線128は、位置130から、位置130と位置134との間の位置136へ半径方向に伸長するより小さい検出範囲の一部を表す。
好適に、記号OUT1は論理的に決定されたデジタル出力であり、その値は枠線126により表された検出範囲の部分で光線を遮る物体の存否に基づいている。この出力はON及びOFFで示す2つの値を有する。特に、その物体がエンドエフェクタ42のリアフラグ68または基板80であり、(後に図10で図示されるように)基板80が枠線126により表される検出範囲の部分において光線の一部を遮る場合、OUT1はONとなる。一方、枠線126により表される検出範囲の部分において光線を遮るものが何もない場合、OUT1はOFFとなる。同様に、記号OUT2は論理的に決定されたデジタル出力であり、その値は枠線128により表された検出範囲の部分で光線を遮る物体の存否に基づいている。OUT1と同様に、OUT2はON及びOFFで示す2つの値を有する。リアフラグ68または基板80のような物体が枠線128で表される検出範囲の部分において光線の一部を遮る場合、OUT2はONとなる。一方、枠線128により表される検出範囲の部分において光線を遮る物体が何もなければ、OUT2はOFFとなる。OUT1及びOUT2は各センサと関連する受光/遮光の光量の測定値から計算することも可能であり、必ずしもセンサ自身に固有の特性によるものでなくともよい。この点は、システムが商業的に入手可能なセンサを使用することができ、ここに開示する目的のために物理的に修正を加える必要がないという点で有利である。
OUT1及びOU2の値はセンサのレシーバ118により受光された全光量を測定することにより単純に決定することができる。受光した全光量がエミッタ116により放射された光量と等しければ、光線は全く遮光されていないことになり、OUT1及びOUT2の両方はOFFに設定される。受光した全光量がエミッタ116から放射された光量より少ないが、遮光物体のエッジ(例えば、フラグ68または基板80)が位置136にあるとき受光すべき所定の閾値より多い場合には、OUT1はONに設定されかつOUT2はOFFに設定される。図9において、これは、フラグ68の近位端48が位置134と136の間にあるところの上側の例に対応している。受光した全光量が所定の閾値以下であれば、OUT1及びOUT2の両方がONに設定される。これは、フラグ68の近位端48が位置136の半径方向外側にあるところの図9の下側の例に対応している。好適実施形態において、制御装置122はレシーバ118からの電圧信号に基づいてOUT1及びOUT2の値を計算するソフトウエアを実行する。
図10は、本発明のひとつの実施形態に従う、位置光センサ80、82の光線と、エンドエフェクタ42の遠位端46で保持される基板80のエッジとの間の関係を概略的に示す。図9と同様に、基板搬送チャンバ102の中心83が図示した基板80の右側にあるところの位置関係で、基板位置の2つの例が示されている。この光センサは図9の光センサの反対側にあり、同一エンドエフェクタ42の反対側の状態を示している。他に、この光センサは図9のものと同じであり、基板搬送チャンバの中心83での垂直軸線方向の周りに180°回転した状態のエンドエフェクタ42を示している。他に、この光センサは、他の光センサ82、84でもよい。
図9と同様に、図10のOUT1及びOUT2の値は、センサのレシーバ118により受光された全光量を測定することにより単純に決定することができる。受光した全光量がエミッタ116により放射された光量と等しければ、光線は全く遮られないことになり、OUT1及びOUT2の両方はOFFに設定される。受光された全光量がエミッタ116から放射された光量より少ないが、基板80のエッジ86が位置136にある際に受光した所定の閾値より多い場合には、OUT1はONに設定され、OUT2はOFFに設定される。図10において、これは、エンドエフェクタ42の遠位端46において基板80のエッジ86が位置134と136との間にあるところの上側の例に対応する。受光した全光量が所定の閾値以下であれば、OUT1及びOUT2の両方がONに設定される。これは、基板のエッジ86が位置136の半径方向外側にあるところの下側の例に対応する。好適実施形態において、制御装置122はレシーバ118からの電圧信号に基づいてOUT1及びOUT2の値を計算するためのソフトウエアを実行する。
図6〜8を参照して、好適に制御装置122は、ロボット40に対して、そのエンドエフェクタ42を、エンドエフェクタが開口部108を通じて処理チャンバ104内に進入するよう適宜配置されるところの所定の目標位置へ、または、エンドエフェクタが開口部112を通じて入/出チャンバ106内に進入するよう適宜配置されるところの所定の目標位置へ移動させるように命令するよう構成されている。図7を参照して、目標位置は、エンドエフェクタ42が基板搬送チャンバ102の中心83を通過する点線に沿って方向付けられるようなものであるのが好ましい。
図6、8及び9を参照して、制御装置122は基板80を保持した状態で、所定の目標位置へエンドエフェクタ42を移動させるようロボット40に命令するものと想定する。好適に各目標位置は、基板80がエンドエフェクタ42に関して所定の位置に適切に保持されれば、エンドエフェクタの近位端48(図示した実施形態において、リアフラグ68として定義されている)が図9の下側の例に示す位置となるように定義される。言い換えれば、フラグ68は後方の位置センサ82の光線120を十分に遮りOUT1及びOUT2の両方をONする。よって、好適に制御システム122は、近位センサ82がOUT1及びOUT2の両方に対してON値を有し、エンドエフェクタ42が目標位置にありかつエンドエフェクタに関して所定位置に基板80を保持していることを意味する状態を読み取るよう構成されている。
一方、好適に制御装置122は、近位センサ82がOUT1に対してON値及びOUT2に対してOFF値を有し、エンドエフェクタ42は目標位置にあるが基板80を保持していないことを意味する状態を読み取るように構成されている。これは、基板を挟むために前方に移動する際、パドル50上に基板が存在しないことにより近位クランプ部材52が遠くまで進むためである。言い換えれば、クランプ部材52の前方移動を停止させるための基板80が存在しない。結果として、エンドエフェクタ42の近位端48は図9の上側の例に示す位置まで移動する(ここで前方とは右側を意味する)。この位置で、リアフラグ68はOUT1をONするのに十分な光線120を遮るが、OUT2はONしない。ひとつの実施形態において、図9の上側と下側のエンドエフェクタ42の近位端48の位置の間の距離は約2mmである。
第3の可能性として、好適に制御装置122は、近位センサ82がOUT1及びOUT2の両方に対してOFF値を有し、エンドエフェクタ42が目標位置に存在しないことを意味する状態を読み取るよう構成されている。これは、目標位置が近位センサ82に関して好適に定義されており、エンドエフェクタが基板を保持しているか否かとは無関係に、光線120の少なくとも一部がエンドエフェクタ42のリアフラグ68により遮られるためである。よって、光線が全く遮られなければ、制御装置は、エンドエフェクタ42が目標位置に達しなかったと結論づける。制御装置122によりそこに移動するように命令されたにもかかわらず、例えば、機械的、電気的、または他の問題により、エンドエフェクタ42は目標位置に到達することを妨害されうる。表1は、目標位置に移動するよう命令された特定のエンドエフェクタ42の後方の位置センサ82に関する結論を要約したものである。
Figure 0005336806
次に、前方の位置センサ82について考察する。図6、図8及び図10を参照して、制御装置122は基板80を保持した状態でエンドエフェクタ42を所定の目標位置に移動させるようにロボット40に命令すると想定する。好適に各目標位置は、エンドエフェクタ42に関して基板80が所定位置に適切に保持されていれば、基板80の前方エッジ86は図10の上側の例に示す位置にあるように定義される(ここで前方とは左側を意味する)。言い換えれば、基板80はOUT1をONするのに十分な前方位置センサ82の光線120を遮るが、OUT2をONするほどではない。よって、好適に制御装置122は、前方のセンサ82がOUT1に対してON値、OUT2に対してOFF値を有し、エンドエフェクタ42がエンドエフェクタに関して所定の位置に基板80を保持していることを意味する状態を読み取るように構成されている。
一方、好適に制御装置122は前方のセンサ82がOUT1及びOUT2に対してON値を有し、基板80がエンドエフェクタに関して基板の所定位置を越えて突出していることを意味する状態を読み取るように構成されている。これは、図10の下側の例に対応する。クランプエレメント54が基板エッジ86と係合するところの所望の状態とは逆に、基板80の前方部分がパドル50のクランプエレメント54上に乗っていればこの状態が生じ得る。この位置において、基板80はOUT1及びOUT2の両方をONにするのに十分な光線120を遮る。
前方の位置センサ82がOUT1及びOUT2の両方に対してON値を有し(図10の下側の例)、同時に後方の位置センサ82がOUT1に対してON値、OUT2に対してOFF値を有すれば(図9の上側の例)、好適に制御装置122は基板80が所定位置を越えて突出していると結論づける。言い換えれば、この場合、前方の位置センサ82に基づく結論は、リア位置センサ82に基づく結論に優先するべきである。これは、基板80が所定位置を越えて前方に突出していれば、近位クランプ部材52はさらに前方に移動するからである。前方の位置センサ82の光線120の一部が遮られると、基板80が存在しないと誤って結論づけられる危険性がある。
第3の可能性として、好適に制御装置122は、前方のセンサ82がOUT1及びOUT2の両方に対してOFF値を有し、基板80が存在しないことを意味する状態を読み取るように構成されている。これは、目標位置がフロントセンサ82に関して好適に定義されており、その結果、基板が存在すれば光線120の一部が基板80により遮られるからである。基板が存在しないという結論は、同時に後方のセンサ82が、エンドエフェクタ42が目標位置にあるということを示した場合にのみ為されるのが好ましい。エンドエフェクタ42が目標位置に存在しなければ、フロントセンサ82の光線が遮られないという事実は、必ずしも基板が存在しないことを意味するものではない。表2は前方の位置センサ82に関連する3つの可能な制御装置の結論を要約したものである。
Figure 0005336806
上記段落において、用語“前方のセンサ”及び“後方のセンサ”は、それぞれエンドエフェクタ42の遠位端及び近位端でのセンサを呼ぶのに使用される。前方のセンサは、エンドエフェクタ42が基板搬送チャンバ102の中心83での垂直軸線の周りに180°回転されれば、後方のセンサとなる。
図11は、エンドエフェクタ42の後方の位置センサ82から読み取ったものを制御装置122が処理する方法140を示している。好適に制御装置122は図11に示すようなステップを実行するようにプログラムされている。ステップ142において、制御装置122はエンドエフェクタに関して所定位置に基板を保持するようエンドエフェクタ42に命令する。ステップ144において、制御装置122は所定位置に基板を保持した状態で所定の目標位置へエンドエフェクタ42を移動させるようロボット40に命令する。上記したように、エンドエフェクタ42の目標位置は、エンドエフェクタ42の遠位端46が一対のセンサ82の第1の方の付近にあり、かつ、エンドエフェクタの近位端48が一対のセンサ82の第2の方の付近にあるように設定されるのが好ましい。
ステップ146において、制御装置122は第2のセンサのエミッタ116から第2のセンサ82のレシーバ118により受光された光量を決定する。ステップ148において、制御装置122は、受光した光量が第2のセンサ82のエミッタ116により放射された光量と等しいか否かを決定する。もし等しければ、制御装置122は、エンドエフェクタ42が目標位置に存在しないと結論づけ、ステップ150において、第1アクションを実行する。例えば、第1アクションは、エンドエフェクタ42を目標位置に移動させようとするものである。ステップ148で答えがノーであれば、ステップ152において制御装置は、受光した光量が、第2センサ82のエミッタ116により放射された光量より少ないが所定の閾値以上であるか否かを決定する。もしそうであれば、制御装置122は、エンドエフェクタ42が目標位置にあるが基板80を保持していないと結論づける。制御装置122はその後ステップ154において第2アクションを実行する。例えば、第2アクションは所与の処理レシピを続行するようロボット40に命令するものである。ステップ152で答えがノーであれば、制御装置122はステップ156において、受光した光量が閾値以下であるか否かを決定する。もしそうであれば、制御装置122は、エンドエフェクタ42が目標位置にありかつ所定位置で基板80を保持していると結論づける。制御装置122はその後ステップ158で第3アクションを実行する。例えば、第3アクションは他の基板80をピックアップするようエンドエフェクタ42に命令するものである。好適には、方法140の第1、第2及び第3アクションは各々異なる。
図12は、制御装置122がエンドエフェクタ42のフロントエンドの位置センサ82から読み取ったものを処理する方法160を示す。好適に制御装置122は、図12に示すステップを実行するようプログラムされている。ステップ162において、制御装置122は、エンドエフェクタに関して所定の位置に基板80を保持するようエンドエフェクタ42に命令する。ステップ164において、制御装置122は、基板80を所定位置に保持しながらエンドエフェクタ42を所定の目標位置に移動させるようロボット40に命令する。上記したように、エンドエフェクタ42の目標位置は、エンドエフェクタ42の遠位端46が一対のセンサ82の第1の方の付近にあり、かつ、エンドエフェクタの近位端48が一対のセンサ82の第2の方の付近にあるようにするのが好ましい。
ステップ166において、制御装置122は第1センサのエミッタ116から第1センサ82のレシーバ118により受光された光量を決定する。ステップ168において、制御装置122は受光した光量が第1センサ82のエミッタ116により放射された光量と等しいか否かを決定する。もしそうであれば、制御装置122は、リアセンサ82はエンドエフェクタが目標位置にあることを示していると仮定して、エンドエフェクタ42が基板80を保持していないと結論づける。その後、ステップ170において、制御装置122は他の基板80をピックアップするようエンドエフェクタ42に命じるような第1アクションを実行する。ステップ168の答えがノーであれば、制御装置122はステップ172において、受光した光量が第1センサ82のエミッタ116により放射された光量以下であるが、所定の閾値より大きいか否かを決定する。もしそうであれば、制御装置122はエンドエフェクタ42が所定位置で基板を保持していると結論づける。制御システム122はステップ174に進み、第2アクションを実行する。例えば、第2アクションは所与の処理レシピを続行するようロボット40に命令するものである。もしステップ172の答えがノーであれば、ステップ176において制御システム122は受光した光量が閾値以下であるか否かを決定する。もしそうであれば、制御システム122は、基板80が所定の位置を越えて突出していると結論づける。その後制御装置122はステップ178に進み第3アクションを実行する。例えば、第3アクションは基板80を後方の所定位置に移動しようとするものである。好適には、方法160の第1、第2及び第3アクションは互いに異なる。
図7を再び参照して、エンドエフェクタ42が、エンドエフェクタの遠位端46が入/出開口部112の方向を向いているところの所定の目標位置を占有している場合、入/出位置センサ84はロボット40に関連する状態を検出するのに使用することもできる。特に、図9に関連して上記したように、位置センサ84は、エンドエフェクタ42が目標位置にあるか否か、エンドエフェクタが基板80を所定位置で保持しているか否かを決定するのに使用することができる。したがって、制御装置122は、基板80を所定の位置で保持した状態で、エンドエフェクタ42を目標位置へ移動させるようロボット40に命令するようプログラムされる。目標位置は、エンドエフェクタ42の近位端48が入/出位置センサ84付近にあり、実質的にエンドエフェクタが入/出位置センサ84及び入/出開口部112と直線的に位置合わせされ、かつ、エンドエフェクタの遠位端46が入/出開口部112方向を向くようなものであるのが好ましい。また、制御装置122は、入/出位置センサのエミッタ116から入/出位置センサ84のレシーバ118により受光された光量を決定するようプログラムされる。受光した光量が入/出位置センサ84のエミッタ116により放射された光量と等しければ、制御装置122は、エンドエフェクタ42が目標位置にないという結論に基づいて第1アクションを実行するようプログラムされる。例えば、第1アクションはエンドエフェクタ42を目標位置に移動させようとするものである。受光した光量が入/出位置センサ84のエミッタ116により放射された光量以下であるが、所定の閾値以上であれば、制御装置122は、エンドエフェクタ42が目標位置にあるが基板80を保持していないという結論に基づいて第2アクションを実行するようプログラムされる。例えば、第2アクションは所与の処理レシピを続行するようロボット40に命令することである。最後に、受光した光量が閾値以下であれば、制御装置122は、エンドエフェクタ42が目標位置にありかつ基板80を所定位置に保持しているという結論に基づいて、第3アクションを実行するようプログラムされる。例えば、第3アクションは、他の基板80をピックアップするようエンドエフェクタ42に命令することである。
図13は、本発明のひとつの実施形態に従う、ウエハ搬送チャンバ内において、一対のウエハ搬送ロボット1及び2により実行される動作ステップのシーケンスを例示したものである。特に、図13は、第1の半導体ウエハが入/出チャンバまたは処理チャンバ(ここではまとめて隣接するチャンバと呼ぶ)からひとつのロボットを使って搬出され、その後、第2のウエハが他のロボットを使って隣接するチャンバ内へ搬入されるところのステップを示している。搬送チャンバは上記したように左右の位置に光センサを有する。図13において、隣接するチャンバは右側の光センサの右側にある。ロボット1は下方ロボットであり、ロボット2はその上側に位置した上方ロボットである。
図13のシーケンスは、上方ロボット2が第2ウエハを保持し、一方下方ロボット1は隣接チャンバから第1ウエハを搬出する準備をしているところの、ウエハ搬出準備ステップAで開始する。この状態で、ロボット1の近位端は左側の光センサを部分的に遮光するが、右側の光センサはロボット1上にウエハが存在しないため遮光されない。この位置において、左側の光センサはロボット1のエンドエフェクタが目標位置にありかつ現在ウエハを保持していないことを確認するために使用される。次に、ウエハ搬出開始ステップBにおいて、下方ロボット1が隣接チャンバから第1ウエハをピックアップするべく伸長する。次に、ウエハ搬出ステップCにおいて、下方ロボットが隣接チャンバ内部のステーションから第1ウエハをピックアップする。続いて、ウエハ搬出完了ステップDにおいて、下方ロボット1は、第1ウエハを保持しながら元の位置に戻る。この状態で、両方の光センサが遮光される。左側の光センサは、ロボット1のエンドエフェクタが目標位置にありかつ現在第1のウエハをエンドエフェクタの所定位置で保持していることを確認するために使用される。右側の光センサも第1ウエハが所定位置にあることを確認するのに使用される。
次に、ウエハ搬入準備ステップEにおいて、ロボット1及び2の両方は、ウエハ搬送チャンバの垂直中心軸線の周りに回転し、上方ロボット2のエンドエフェクタは隣接チャンバに関連した目標位置へ移動される。この状態で、両方の光センサは遮光される。左側の光センサは、ロボット2のエンドエフェクタが目標位置にあり、かつ、現に第2ウエハをエンドエフェクタの所定位置に保持していることを確認するのに使用される。右側の光センサも第2ウエハが所定位置にあることを確認するのに使用される。次に、ウエハ搬入開始ステップFにおいて、ロボット2が第2ウエハを隣接チャンバへ移送するべく伸長する。次に、ウエハ搬入ステップGにおいて、ロボット2は隣接チャンバ内のステーションにウエハを配置する。最後に、ウエハ搬入完了ステップHにおいて、ロボット2のエンドエフェクタは隣接チャンバに関連した目標位置へ戻る。この状態において、左側の光センサは遮光され、かつ、右側の光センサは遮光されない。この状態で、左側の光センサは、ロボット2のエンドエフェクタが目標位置にあり、かつ、現にウエハを保持していないことを確認するのに使用される。右側の光センサもロボット2のエンドエフェクタがウエハを保持していないことを確認するのに使用される。
図13は一対のウエハ搬送ロボットにより実行される動作ステップのシーケンスの一例である。しかし、3つまたはそれ以上のロボットを使って同様の手法を実行することが可能であることは言うまでもない。
再び図7を参照して、半導体処理装置100により使用されるセンサの全個数を減少させるために、光センサ82は、処理チャンバ104と基板搬送チャンバ102との間のゲートバルブ110に対する障害物検出器として使用されてもよい。閉止しているゲートバルブ110によって、ウエハが挟まれた状態で光センサ82により検出されれば、ゲートバルブ110は開口位置に維持される。センサ82のこのような多機能性は、要求されるセンサの個数を減らすことにより全体のコストを削減するのに有利である。同様に、2個のセンサ85が入/出チャンバ106と搬送チャンバ102との間でゲートバルブ114に対する障害物検出器として機能する。
図1及び図2に示すような従来の位置検出装置と比べ、本発明の装置は使用するセンサの個数がより少なくて済み、結果として装置コストを削減することが可能である。図1及び図2に示す従来の基板搬送チャンバ12は6個の隣接チャンバ(処理チャンバ14、ロードロックチャンバ16及び冷却チャンバ18)内でウエハの搬送中にウエハの位置を検出するために9個の位置センサを必要とする。これに比べ、図7の基板搬送チャンバ102は6個の隣接チャンバ(処理チャンバ104及び入/出チャンバ106)内で基板の搬送中に基板位置を検出するために6個の位置センサのみで足りる。
本発明は特定の実施形態について説明されたが、本発明はこれらに限定されるものではない。すなわち、特許請求の範囲に記載された本発明の思想及び態様から離れることなく、さまざまな修正及び変更が可能であることは当業者の知るところである。
図1は、従来の半導体処理装置の平面概略図である。 図2は、図1に示す従来の半導体処理装置のウエハ搬送チャンバの平面概略図である。 図3は、本発明に従う半導体処理装置のひとつの実施形態の平面概略図である。 図4は、本発明に従う基板搬送ロボットのひとつの実施形態の平面図である。 図5は、図4の基板搬送ロボットのエンドエフェクタの断面図である。 図6は、一対の位置センサ及び、基板を挟んでいる状態の図4の基板搬送ロボットの関係を示す平面図である。 図7は、図3の半導体処理装置の位置センサの配置を示す平面図である。 図8は、本発明のひとつの実施形態に従う、位置光センサの光線を部分的に遮る基板またはエンドエフェクタの後方フラグの側面概略図である。 図9は、本発明のひとつの実施形態に従う、位置光センサの光線とエンドエフェクタの近位端との間の関係を概略的に示したものである。 図10は、本発明のひとつの実施形態に従う、位置光センサの光線とエンドエフェクタの遠位端で保持された基板との間の関係を概略的に示したものである。 図11は、エンドエフェクタの後方の位置センサの出力を処理する方法を示すフローチャートである。 図12は、エンドエフェクタの前方の位置センサの出力を処理する方法を示すフローチャートである。 図13は、本発明のひとつの実施形態に従い、基板搬送チャンバ内で一対の基板搬送ロボットによって実行される動作ステップシーケンスの例を示したものである。

Claims (9)

  1. 半導体基板処理装置であって、
    基板搬送チャンバと、
    位置センサの第1の対であって、各々が、光線を放射するよう構成されたエミッタと、前記光線を受光するよう構成されたレシーバとを含むところの位置センサの第1の対と、
    前記基板搬送チャンバ内に配置された基板搬送ロボットであって、前記基板搬送ロボットは、前記半導体基板をピックアップしかつ保持する側の端である遠位端及び該遠位端とは反対側の端である近位端を有する細長いエンドエフェクタであり、前記半導体基板がピックアップされかつ前記エンドエフェクタにより保持されるたびに、前記エンドエフェクタに関して同じ所定位置に前記半導体基板があるように前記遠位端で前記半導体基板をピックアップしかつ保持するよう構成されるところのエンドエフェクタと、複数の基板ステーションの間で前記半導体基板を搬送するべく前記基板搬送チャンバ内で前記エンドエフェクタを移動するよう構成されたロボットアクチュエータを含むところの基板搬送ロボットと、
    を含み、
    前記エンドエフェクタは、前記エンドエフェクタにより所定の位置に保持された前記半導体基板のエッジが、前記位置センサの第1の対の一方の位置センサのエミッタから放射された光線の一部を遮るとともに、前記エンドエフェクタの前記近位端が前記位置センサの第1の対の他方の位置センサのエミッタから放射された光線の一部を遮るような長さを有し、
    前記エンドエフェクタは、前記遠位端を有する第1のクランプエレメントを含むパドルと、前記近位端を有するリアフラグ及び第2のクランプエレメントを含む近位クランプ部材とを含み、
    前記近位クランプ部材は、前記パドルの第1のクランプエレメントと前記第2のクランプエレメントとの間で前記半導体基板を挟んだり離したりするように前記遠位端方向へまたはそこから離れる方向へ移動するように構成され、
    前記近位クランプ部材が、前記半導体基板を前記パドルの第1のクランプエレメントと第2のクランプエレメントとで挟持するために、前記遠位端方向に移動することによる、前記位置センサの第1の対の他方の位置センサのエミッタから放射された光線の一部の遮りにより前記エンドエフェクタに保持される半導体基板の有無を判別することを特徴とする半導体基板処理装置。
  2. 各前記位置センサの各前記エミッタは、前記エンドエフェクタの下方に配置され、かつ、光線を上方に放射するように構成され、各前記位置センサの各前記レシーバは前記位置センサの前記エミッタ及び前記エンドエフェクタの上方に配置される、
    ことを特徴とする請求項1記載の半導体基板処理装置。
  3. さらに、前記基板搬送チャンバに隣接する少なくとも2つのチャンバであって、一つの処理チャンバ及び一つのロードロックチャンバを含むところの隣接する少なくとも2つのチャンバと、
    前記基板搬送チャンバと前記隣接する少なくとも2つのチャンバの各々との間に設けられた開口部と、
    を含み、
    各前記位置センサは前記開口部の付近に配置され、前記開口部は前記エンドエフェクタが前記半導体基板を所定位置に保持した状態で前記開口部を通過することができるような大きさを有する、
    ことを特徴とする請求項1記載の半導体基板処理装置。
  4. さらに、
    前記基板搬送チャンバに隣接する処理チャンバの第1の対と、
    前記基板搬送チャンバと前記処理チャンバの第1の対の各々との間に設けられた処理チャンバ開口部の第1の対であって、前記位置センサの第1の対の各々は前記処理チャンバ開口部の第1の対の各々の付近に配置されているところの処理チャンバ開口部の第1の対と、
    前記基板搬送チャンバに隣接する処理チャンバの第2の対と、
    前記基板搬送チャンバと前記処理チャンバの第2の対の各々との間に設けられた処理チャンバ開口部の第2の対と、
    前記基板搬送チャンバ内に設けられた位置センサの第2の対であって、各々が、光線を放射するよう構成されたエミッタと、光線を受光するよう構成されたレシーバを含むところの位置センサの第2の対と、
    を含み、
    前記位置センサの第2の対は、前記エンドエフェクタにより所定の位置に保持された前記半導体基板のエッジが前記位置センサの第2の対の一方の位置センサのエミッタから放射された光線の一部を遮るとともに、前記エンドエフェクタの前記近位端が前記位置センサの第2の対の他方の位置センサのエミッタから放射された光線の一部を遮るように配置され、前記位置センサの第2の対の各位置センサは前記処理チャンバ開口部の第2の対の各開口部の付近に配置されており、前記処理チャンバ開口部の第1の対及び前記処理チャンバ開口部の第2の対の各開口部は、前記エンドエフェクタが基板を所定位置に保持した状態で前記処理チャンバ開口部を通過することができるような大きさを有する、
    ことを特徴とする請求項1記載の半導体基板処理装置。
  5. さらに、
    前記位置センサの第1の対の各々に対して、各位置センサの前記エミッタから放射されかつ各位置センサの前記レシーバにより受光された光線の光量を決定するようプログラムされた制御装置を含む、
    ことを特徴とする請求項1記載の半導体基板処理装置。
  6. さらに、制御装置であって、
    (a)前記エンドエフェクタに対し、前記半導体基板を所定位置に保持するよう命令し、
    (b)前記半導体基板を所定位置に保持した状態で前記エンドエフェクタを目標位置に移動させるよう前記基板搬送ロボットに命令し、ここで、前記エンドエフェクタの前記目標位置は、前記エンドエフェクタの前記遠位端が前記位置センサの第1の対の一方の位置センサ付近にあり、前記エンドエフェクタの前記近位端が前記位置センサの第1の対の他方の位置センサ付近にあるところの位置であり、
    (c)前記制御装置が前記エンドエフェクタに対して前記半導体基板を所定位置に保持するよう命令し、かつ、前記半導体基板を所定位置に保持した状態で前記エンドエフェクタを目標位置に移動するよう前記基板搬送ロボットに命令したとき、前記位置センサの第1の対の一方の位置センサのエミッタから放射されかつ一方の位置センサのレシーバにより受光された光量を決定し、
    (d)前記受光した光量が一方の位置センサの前記エミッタにより放射された光量と等しければ第1アクションを実行し、
    (e)前記受光した光量が一方の位置センサの前記エミッタにより放射された光量より少ないが、所定の閾値よりも多い場合は、第2アクションを実行し、
    (f)前記受光した光量が前記所定の閾値以下であれば、第3アクションを実行し、前記第1、第2及び第3アクションは異なる、
    ようにプログラムされているところの制御装置を含む、
    ことを特徴とする請求項1記載の半導体基板処理装置。
  7. 前記第1アクションは前記エンドエフェクタが前記半導体基板を保持していないという結論に基づいており、前記第2アクションは前記エンドエフェクタが前記半導体基板を所定位置に保持しているという結論に基づいており、前記第3アクションは前記半導体基板が前記半導体基板の所定位置を越えて突出しているという結論に基づいている、
    ことを特徴とする請求項6記載の半導体基板処理装置。
  8. さらに、
    前記基板搬送チャンバ内に配置された第2基板搬送ロボットを含み、前記第2基板搬送ロボットは、
    前記半導体基板をピックアップしかつ保持する側の端である遠位端及び該遠位端とは反対側の端である近位端を有する第2の細長いエンドエフェクタであり、前記半導体基板がピックアップされかつ前記第2エンドエフェクタにより保持されるたびに、前記第2エンドエフェクタに関して同じ所定位置に前記半導体基板があるように前記遠位端で前記半導体基板をピックアップしかつ保持するよう構成されるところの第2エンドエフェクタと、
    複数の基板ステーションの間で前記半導体基板を搬送するべく前記基板搬送チャンバ内で前記第2エンドエフェクタを移動するよう構成された第2ロボットアクチュエータと、を含み、
    前記第2エンドエフェクタは、前記第2エンドエフェクタにより所定の位置に保持された前記半導体基板のエッジが、前記一方の位置センサの前記エミッタから放射された光線の一部を遮るとともに、前記第2エンドエフェクタの前記近位端が前記他方の位置センサの前記エミッタから放射された光線の一部を遮るような長さを有する、
    ことを特徴とする請求項1記載の半導体基板処理装置。
  9. さらに、前記基板搬送チャンバと前記隣接するチャンバとの間の前記開口部付近にゲートバルブを有し、
    前記位置センサの第1の対の少なくともひとつは、前記ゲートバルブ内の障害物を検出することができるように、前記基板搬送チャンバの外周部に配置されている、
    ことを特徴とする請求項3または4記載の半導体基板処理装置。


JP2008249456A 2007-10-04 2008-09-29 基板搬送ロボット用の位置検出装置を備えた半導体処理装置及びその方法 Active JP5336806B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/867,525 US8041450B2 (en) 2007-10-04 2007-10-04 Position sensor system for substrate transfer robot
US11/867525 2007-10-04

Publications (2)

Publication Number Publication Date
JP2009094506A JP2009094506A (ja) 2009-04-30
JP5336806B2 true JP5336806B2 (ja) 2013-11-06

Family

ID=40523965

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008249456A Active JP5336806B2 (ja) 2007-10-04 2008-09-29 基板搬送ロボット用の位置検出装置を備えた半導体処理装置及びその方法

Country Status (4)

Country Link
US (1) US8041450B2 (ja)
JP (1) JP5336806B2 (ja)
KR (1) KR101553915B1 (ja)
CN (1) CN101404263B (ja)

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8758514B2 (en) * 2007-03-02 2014-06-24 Asm Japan K.K. Cluster type semiconductor processing apparatus
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US8120376B2 (en) 2007-12-12 2012-02-21 Novellus Systems, Inc. Fault detection apparatuses and methods for fault detection of semiconductor processing tools
KR101489963B1 (ko) * 2007-12-13 2015-02-04 한국에이에스엠지니텍 주식회사 박막 증착 장치 및 이를 이용한 증착 방법
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US7963736B2 (en) * 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8688276B2 (en) * 2008-08-01 2014-04-01 Ulvac, Inc. Teaching method for transfer robot
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
JP5208800B2 (ja) * 2009-02-17 2013-06-12 東京エレクトロン株式会社 基板処理システム及び基板搬送方法
JP4707749B2 (ja) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 基板交換方法及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
IT1394483B1 (it) * 2009-06-04 2012-07-05 Ct Pack Srl Apparato per il trasferimento di prodotti.
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
USD625748S1 (en) * 2010-05-06 2010-10-19 Ulvac, Inc. Vacuum transfer robot
USD639323S1 (en) * 2010-05-06 2011-06-07 Ulvac, Inc. Vacuum transfer robot
USRE43781E1 (en) * 2009-11-17 2012-11-06 Ulvac, Inc. Vacuum transfer robot
USRE44567E1 (en) * 2009-11-17 2013-11-05 Ulvac, Inc. Vacuum transfer robot
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130123966A1 (en) * 2011-11-14 2013-05-16 Shenzhen China Star Optoelectronics Technology Co., Ltd. Spatial three-dimensional inline handling system
JP5516612B2 (ja) 2012-01-24 2014-06-11 株式会社安川電機 ロボットシステム
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5935676B2 (ja) * 2012-12-07 2016-06-15 東京エレクトロン株式会社 基板処理装置、基板装置の運用方法及び記憶媒体
KR101408164B1 (ko) * 2012-12-26 2014-06-17 주식회사 싸이맥스 웨이퍼 이송위치 측정 범위를 최소화하는 트랜스퍼 모듈
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9370863B2 (en) 2014-02-04 2016-06-21 Asm Ip Holding B.V. Anti-slip end-effector for transporting workpiece
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US20170029948A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
WO2017019250A1 (en) * 2015-07-28 2017-02-02 Asm Ip Holding B. V. Methods and apparatuses for thin film deposition
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10062599B2 (en) * 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10099377B2 (en) * 2016-06-29 2018-10-16 Applied Materials, Inc. Methods and systems providing misalignment correction in robots
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR102181121B1 (ko) * 2016-09-20 2020-11-20 주식회사 원익아이피에스 기판 이송 장치 및 기판 이송 장치의 제어 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106783686B (zh) * 2016-12-26 2019-05-03 武汉新芯集成电路制造有限公司 一种具有晶圆侦测功能的炉管设备
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10290523B2 (en) * 2017-03-17 2019-05-14 Asm Ip Holding B.V. Wafer processing apparatus, recording medium and wafer conveying method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI620919B (zh) * 2017-05-26 2018-04-11 盛興精密科技有限公司 過壓偵測裝置
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US20190013215A1 (en) * 2017-07-05 2019-01-10 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding hand and substrate conveying apparatus including the same
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10453725B2 (en) * 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11697213B2 (en) * 2019-02-14 2023-07-11 Persimmon Technologies Corporation Radar based position measurement for robot systems
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102020533B1 (ko) * 2019-02-22 2019-09-10 임진희 엔드 이펙터 측정모듈 및 이를 이용한 엔드 이펙터 모니터링 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
CN112071799A (zh) * 2019-06-10 2020-12-11 中微半导体设备(上海)股份有限公司 一种托爪、气锁室及等离子体处理装置主机平台
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US20200411348A1 (en) * 2019-06-28 2020-12-31 Kawasaki Jukogyo Kabushiki Kaisha Substrate transfer apparatus
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021048322A (ja) * 2019-09-19 2021-03-25 株式会社Screenホールディングス 基板搬送装置および基板搬送方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
JP7442389B2 (ja) * 2020-05-22 2024-03-04 東京エレクトロン株式会社 基板搬送装置及び基板把持判定方法
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3907439A (en) * 1973-08-14 1975-09-23 Zygo Corp Edge-sensing with a scanning laser beam
US3945505A (en) * 1974-07-08 1976-03-23 Motorola, Inc. Indexing apparatus
US4148344A (en) * 1975-10-20 1979-04-10 The Pack River Company Portable sawmill
US4024944A (en) * 1975-12-24 1977-05-24 Texas Instruments Incorporated Semiconductor slice prealignment system
DE2652187C2 (de) * 1976-11-16 1986-12-04 Emag Maschinenfabrik Gmbh, 7335 Salach Transportvorrichtung
US4201378A (en) * 1978-05-16 1980-05-06 Bell & Howell Company Skew detector
US4228886A (en) * 1978-12-26 1980-10-21 Ppg Industries, Inc. Position sensor
JPS5855270A (ja) 1981-09-30 1983-04-01 Hitachi Ltd インサ−タプリンタの書式制御方式
GB2109923B (en) * 1981-11-13 1985-05-22 De La Rue Syst Optical scanner
US4457664A (en) * 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
US4466073A (en) * 1982-04-26 1984-08-14 The Perkin Elmer Corporation Wafer prealigner using pulsed vacuum spinners
US4449885A (en) * 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4507078A (en) * 1983-03-28 1985-03-26 Silicon Valley Group, Inc. Wafer handling apparatus and method
US4523985A (en) * 1983-12-22 1985-06-18 Sputtered Films, Inc. Wafer processing machine
US4907035A (en) * 1984-03-30 1990-03-06 The Perkin-Elmer Corporation Universal edged-based wafer alignment apparatus
US4635373A (en) * 1984-09-07 1987-01-13 Canon Kabushiki Kaisha Wafer conveying apparatus with alignment mechanism
US4698511A (en) * 1984-11-08 1987-10-06 Canon Kabushiki Kaisha Document sheet size or position recognition device
JPH0619670B2 (ja) * 1984-12-17 1994-03-16 株式会社デイスコ 自動精密位置合せシステム
JPS61184842A (ja) 1985-02-13 1986-08-18 Canon Inc ウエハの位置決め装置
JPS61228639A (ja) 1985-04-03 1986-10-11 Canon Inc ウエハ処理装置
US4818169A (en) * 1985-05-17 1989-04-04 Schram Richard R Automated wafer inspection system
US4789294A (en) * 1985-08-30 1988-12-06 Canon Kabushiki Kaisha Wafer handling apparatus and method
JPS6273643A (ja) 1985-09-26 1987-04-04 Ando Electric Co Ltd 移動台上のウエハの位置合わせ機構
JPS6187352A (ja) 1985-09-27 1986-05-02 Hitachi Ltd オリエンテーシヨンフラツトの位置決め装置
US5162642A (en) * 1985-11-18 1992-11-10 Canon Kabushiki Kaisha Device for detecting the position of a surface
US4765793A (en) * 1986-02-03 1988-08-23 Proconics International, Inc. Apparatus for aligning circular objects
US4705951A (en) * 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4744713A (en) * 1986-05-21 1988-05-17 Texas Instruments Incorporated Misalignment sensor for a wafer feeder assembly
GB8705368D0 (en) 1987-03-07 1987-04-08 Britax Ltd Hydraulic manual control unit
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4833790A (en) * 1987-05-11 1989-05-30 Lam Research Method and system for locating and positioning circular workpieces
US4880348A (en) * 1987-05-15 1989-11-14 Roboptek, Inc. Wafer centration device
JPH0620097B2 (ja) 1987-10-20 1994-03-16 富士通株式会社 ウエハ位置決め装置
US4838733A (en) * 1988-12-05 1989-06-13 Katz Albert A Landfill compaction
US5044752A (en) * 1989-06-30 1991-09-03 General Signal Corporation Apparatus and process for positioning wafers in receiving devices
US5194743A (en) * 1990-04-06 1993-03-16 Nikon Corporation Device for positioning circular semiconductor wafers
JP2868645B2 (ja) * 1991-04-19 1999-03-10 東京エレクトロン株式会社 ウエハ搬送装置、ウエハの傾き検出方法、およびウエハの検出方法
JPH05283507A (ja) * 1992-04-02 1993-10-29 Nec Kyushu Ltd イオン注入装置における位置決め機構
DE69329269T2 (de) 1992-11-12 2000-12-28 Applied Materials Inc System und Verfahren für automatische Positionierung eines Substrats in einem Prozessraum
US5600258A (en) * 1993-09-15 1997-02-04 Intest Corporation Method and apparatus for automated docking of a test head to a device handler
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
JP3200326B2 (ja) * 1995-04-24 2001-08-20 東京応化工業株式会社 円板状被処理物の移載方法及び移載装置
US5768125A (en) * 1995-12-08 1998-06-16 Asm International N.V. Apparatus for transferring a substantially circular article
US5822213A (en) * 1996-03-29 1998-10-13 Lam Research Corporation Method and apparatus for determining the center and orientation of a wafer-like object
US5870488A (en) * 1996-05-07 1999-02-09 Fortrend Engineering Corporation Method and apparatus for prealigning wafers in a wafer sorting system
US5706201A (en) * 1996-05-07 1998-01-06 Fortrend Engineering Corporation Software to determine the position of the center of a wafer
US5905850A (en) * 1996-06-28 1999-05-18 Lam Research Corporation Method and apparatus for positioning substrates
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
TW350115B (en) * 1996-12-02 1999-01-11 Toyota Automatic Loom Co Ltd Misregistration detection device and method thereof
JPH10223732A (ja) * 1996-12-02 1998-08-21 Toyota Autom Loom Works Ltd 位置ずれ検出装置およびその方法
US6198976B1 (en) * 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
WO1999052686A1 (en) 1998-04-16 1999-10-21 Genmark Automation, Inc. Substrate prealigner
JPH11347975A (ja) 1998-06-05 1999-12-21 Systemseiko Co Ltd 自動ティーチング方法および装置
GB2349204B (en) * 1999-04-19 2004-03-03 Applied Materials Inc A method of detecting the position of a wafer
US6502054B1 (en) * 1999-11-22 2002-12-31 Lam Research Corporation Method of and apparatus for dynamic alignment of substrates
JP4402811B2 (ja) * 2000-05-26 2010-01-20 東京エレクトロン株式会社 被処理体の搬送システムおよび被処理体の位置ずれ量の検出方法
US6327517B1 (en) * 2000-07-27 2001-12-04 Applied Materials, Inc. Apparatus for on-the-fly center finding and notch aligning for wafer handling robots
US6856863B1 (en) * 2000-07-27 2005-02-15 Applied Materials, Inc. Method and apparatus for automatic calibration of robots
KR100396010B1 (ko) * 2000-08-02 2003-08-27 샤프 가부시키가이샤 캐리어 검출 회로 및 적외선 리모콘 수신기
JP2002176092A (ja) * 2000-09-21 2002-06-21 Nikon Corp ウエハ調整方法及びウエハ調整装置
US6485248B1 (en) * 2000-10-10 2002-11-26 Applied Materials, Inc. Multiple wafer lift apparatus and associated method
JP2002141395A (ja) * 2000-11-02 2002-05-17 Assist Japan Kk 基板保持における基板有無確認方法及び装置
US7008802B2 (en) * 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
US6556887B2 (en) * 2001-07-12 2003-04-29 Applied Materials, Inc. Method for determining a position of a robot
JP4005401B2 (ja) * 2002-04-19 2007-11-07 富士通株式会社 増幅回路及び光通信装置
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US7572092B2 (en) * 2002-10-07 2009-08-11 Brooks Automation, Inc. Substrate alignment system
US6788991B2 (en) * 2002-10-09 2004-09-07 Asm International N.V. Devices and methods for detecting orientation and shape of an object
US6996456B2 (en) * 2002-10-21 2006-02-07 Fsi International, Inc. Robot with tactile sensor device
US6852644B2 (en) * 2002-11-25 2005-02-08 The Boc Group, Inc. Atmospheric robot handling equipment
JP4450664B2 (ja) * 2003-06-02 2010-04-14 東京エレクトロン株式会社 基板処理装置及び基板搬送方法
JP4304692B2 (ja) * 2003-06-27 2009-07-29 ローツェ株式会社 円盤状物の把持を確認するクランプ装置
JP4411025B2 (ja) * 2003-07-11 2010-02-10 株式会社ダイヘン 2アーム式搬送ロボット
US7100954B2 (en) * 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
JP2005093807A (ja) 2003-09-18 2005-04-07 Hitachi Kokusai Electric Inc 半導体製造装置
US7319920B2 (en) * 2003-11-10 2008-01-15 Applied Materials, Inc. Method and apparatus for self-calibration of a substrate handling robot
JP3909770B2 (ja) * 2004-03-29 2007-04-25 川崎重工業株式会社 基板把持装置
US7607879B2 (en) * 2004-06-15 2009-10-27 Brooks Automation, Inc. Substrate processing apparatus with removable component module
US7440091B2 (en) * 2004-10-26 2008-10-21 Applied Materials, Inc. Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
US7255747B2 (en) * 2004-12-22 2007-08-14 Sokudo Co., Ltd. Coat/develop module with independent stations
JP2006351883A (ja) * 2005-06-16 2006-12-28 Tokyo Electron Ltd 基板搬送機構及び処理システム
JP2007072162A (ja) * 2005-09-07 2007-03-22 Mitsubishi Electric Corp 表示装置
JP2007227781A (ja) * 2006-02-24 2007-09-06 Tokyo Electron Ltd 基板の位置ずれ検査機構,処理システム及び基板の位置ずれ検査方法
US7880155B2 (en) * 2006-06-15 2011-02-01 Brooks Automation, Inc. Substrate alignment apparatus comprising a controller to measure alignment during transport
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US7963736B2 (en) * 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
US20100003833A1 (en) * 2008-07-01 2010-01-07 Asm Japan K.K. Method of forming fluorine-containing dielectric film

Also Published As

Publication number Publication date
US20090093906A1 (en) 2009-04-09
KR20090034723A (ko) 2009-04-08
US8041450B2 (en) 2011-10-18
CN101404263B (zh) 2013-05-01
CN101404263A (zh) 2009-04-08
KR101553915B1 (ko) 2015-09-17
JP2009094506A (ja) 2009-04-30

Similar Documents

Publication Publication Date Title
JP5336806B2 (ja) 基板搬送ロボット用の位置検出装置を備えた半導体処理装置及びその方法
US6696367B1 (en) System for the improved handling of wafers within a process tool
JP5750472B2 (ja) 基板搬送ロボット、基板搬送システムおよび基板の配置状態の検出方法
US20050137751A1 (en) Auto-diagnostic method and apparatus
JP2008507153A (ja) 処理ツール内のウエハハンドリングシステム
WO2022202626A1 (ja) 基板搬送方法
TWI829776B (zh) 基板處理裝置及搬送位置修正方法
KR102277547B1 (ko) 기판 처리 장치 및 기판 안착 상태 판단 방법
JP7199211B2 (ja) 搬送検知方法及び基板処理装置
KR101184596B1 (ko) 기판 이송 장치 및 그 동작 방법
US20220367223A1 (en) Substrate transport apparatus and substrate transport method
WO2023182082A1 (ja) 搬送装置のティーチング方法及び搬送システム
US20230230862A1 (en) Substrate transport method and substrate processing system
US20240128110A1 (en) Method of controlling substrate transfer system
JP2011138859A (ja) 真空処理装置、および半導体デバイスの製造方法。
US20220324659A1 (en) Method of teaching transfer device, and transfer system
US20220260362A1 (en) Film thickness measuring device, film forming system, and film thickness measuring method
KR20070084979A (ko) 웨이퍼 이송로봇 및 이를 구비한 반도체 제조설비
TW202401639A (zh) 異常偵測方法及搬運裝置
WO2023167043A1 (ja) 基板搬送方法、および半導体製造システム
JP2004311781A (ja) 処理装置
KR20060084926A (ko) 웨이퍼 가공 장치
JP2023081015A (ja) 基板処理システム及び基板処理システムの調整方法
JP2022142615A (ja) 搬送装置のティーチング方法及び搬送システム
KR20060083340A (ko) 포트 도어의 오동작을 감지하는 센서를 구비하는 반도체제조 설비 및 그의 제어 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120613

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120813

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130318

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130611

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130619

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130729

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130802

R150 Certificate of patent or registration of utility model

Ref document number: 5336806

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250