JP3654138B2 - スーパースカラー型マイクロプロセッサ及びデータ処理装置 - Google Patents
スーパースカラー型マイクロプロセッサ及びデータ処理装置 Download PDFInfo
- Publication number
- JP3654138B2 JP3654138B2 JP2000145125A JP2000145125A JP3654138B2 JP 3654138 B2 JP3654138 B2 JP 3654138B2 JP 2000145125 A JP2000145125 A JP 2000145125A JP 2000145125 A JP2000145125 A JP 2000145125A JP 3654138 B2 JP3654138 B2 JP 3654138B2
- Authority
- JP
- Japan
- Prior art keywords
- instruction
- unit
- register
- address
- instructions
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000012545 processing Methods 0.000 title claims abstract description 41
- 239000000872 buffer Substances 0.000 claims abstract description 124
- 230000003139 buffering effect Effects 0.000 abstract description 2
- 238000000034 method Methods 0.000 description 64
- 238000012546 transfer Methods 0.000 description 41
- 230000006870 function Effects 0.000 description 40
- 239000013598 vector Substances 0.000 description 33
- 238000010586 diagram Methods 0.000 description 20
- 230000008859 change Effects 0.000 description 12
- 230000001360 synchronised effect Effects 0.000 description 12
- 238000006243 chemical reaction Methods 0.000 description 11
- 230000004044 response Effects 0.000 description 11
- 230000008901 benefit Effects 0.000 description 10
- 238000013519 translation Methods 0.000 description 7
- 238000004364 calculation method Methods 0.000 description 6
- 238000001514 detection method Methods 0.000 description 6
- 238000003860 storage Methods 0.000 description 6
- 238000006073 displacement reaction Methods 0.000 description 5
- 230000007246 mechanism Effects 0.000 description 5
- 230000008569 process Effects 0.000 description 5
- 230000002457 bidirectional effect Effects 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 238000009826 distribution Methods 0.000 description 4
- 238000012986 modification Methods 0.000 description 4
- 230000004048 modification Effects 0.000 description 4
- 230000003111 delayed effect Effects 0.000 description 3
- 238000012790 confirmation Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 239000011159 matrix material Substances 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 230000000644 propagated effect Effects 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 206010000210 abortion Diseases 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000013256 coordination polymer Substances 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000000313 electron-beam-induced deposition Methods 0.000 description 1
- 238000005111 flow chemistry technique Methods 0.000 description 1
- 230000008571 general function Effects 0.000 description 1
- 230000000977 initiatory effect Effects 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000013507 mapping Methods 0.000 description 1
- 239000003550 marker Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 230000000153 supplemental effect Effects 0.000 description 1
- 230000001960 triggered effect Effects 0.000 description 1
- 238000003079 width control Methods 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3818—Decoding for concurrent execution
- G06F9/3822—Parallel decoding, e.g. parallel decode units
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30003—Arrangements for executing specific machine instructions
- G06F9/3005—Arrangements for executing specific machine instructions to perform operations for flow control
- G06F9/30054—Unconditional branch instructions
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30003—Arrangements for executing specific machine instructions
- G06F9/3005—Arrangements for executing specific machine instructions to perform operations for flow control
- G06F9/30061—Multi-way branch instructions, e.g. CASE
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30003—Arrangements for executing specific machine instructions
- G06F9/30072—Arrangements for executing specific machine instructions to perform conditional operations, e.g. using predicates or guards
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/30105—Register structure
- G06F9/30112—Register structure comprising data of variable length
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/30105—Register structure
- G06F9/30116—Shadow registers, e.g. coupled registers, not forming part of the register space
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/3012—Organisation of register space, e.g. banked or distributed register file
- G06F9/30123—Organisation of register space, e.g. banked or distributed register file according to context, e.g. thread buffers
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/3012—Organisation of register space, e.g. banked or distributed register file
- G06F9/3013—Organisation of register space, e.g. banked or distributed register file according to data content, e.g. floating-point registers, address registers
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30098—Register arrangements
- G06F9/3012—Organisation of register space, e.g. banked or distributed register file
- G06F9/30134—Register stacks; shift registers
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/30145—Instruction analysis, e.g. decoding, instruction word fields
- G06F9/3016—Decoding the operand specifier, e.g. specifier format
- G06F9/30167—Decoding the operand specifier, e.g. specifier format of immediate specifier, e.g. constants
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/32—Address formation of the next instruction, e.g. by incrementing the instruction counter
- G06F9/322—Address formation of the next instruction, e.g. by incrementing the instruction counter for non-sequential address
- G06F9/323—Address formation of the next instruction, e.g. by incrementing the instruction counter for non-sequential address for indirect branch instructions
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/32—Address formation of the next instruction, e.g. by incrementing the instruction counter
- G06F9/322—Address formation of the next instruction, e.g. by incrementing the instruction counter for non-sequential address
- G06F9/327—Address formation of the next instruction, e.g. by incrementing the instruction counter for non-sequential address for interrupts
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3802—Instruction prefetching
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3802—Instruction prefetching
- G06F9/3804—Instruction prefetching for branches, e.g. hedging, branch folding
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3802—Instruction prefetching
- G06F9/3804—Instruction prefetching for branches, e.g. hedging, branch folding
- G06F9/3806—Instruction prefetching for branches, e.g. hedging, branch folding using address prediction, e.g. return stack, branch history buffer
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3824—Operand accessing
- G06F9/3826—Bypassing or forwarding of data results, e.g. locally between pipeline stages or within a pipeline stage
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3824—Operand accessing
- G06F9/3834—Maintaining memory consistency
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
- G06F9/3838—Dependency mechanisms, e.g. register scoreboarding
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
- G06F9/3838—Dependency mechanisms, e.g. register scoreboarding
- G06F9/384—Register renaming
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
- G06F9/3851—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3854—Instruction completion, e.g. retiring, committing or graduating
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3854—Instruction completion, e.g. retiring, committing or graduating
- G06F9/3856—Reordering of instructions, e.g. using queues or age tags
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3854—Instruction completion, e.g. retiring, committing or graduating
- G06F9/3858—Result writeback, i.e. updating the architectural state or memory
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3861—Recovery, e.g. branch miss-prediction, exception handling
- G06F9/3863—Recovery, e.g. branch miss-prediction, exception handling using multiple copies of the architectural state, e.g. shadow registers
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3861—Recovery, e.g. branch miss-prediction, exception handling
- G06F9/3865—Recovery, e.g. branch miss-prediction, exception handling using deferred exception handling, e.g. exception flags
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3885—Concurrent instruction execution, e.g. pipeline or look ahead using a plurality of independent parallel functional units
Landscapes
- Engineering & Computer Science (AREA)
- Software Systems (AREA)
- Theoretical Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Multimedia (AREA)
- Advance Control (AREA)
- Executing Machine-Instructions (AREA)
- Pharmaceuticals Containing Other Organic And Inorganic Compounds (AREA)
- Microcomputers (AREA)
Description
【発明の属する技術分野】
本発明は、RISC型マイクロプロセッサ・アーキテクチャの設計に関し、具体的には、複数の命令を同時平行に実行することのできるRISCマイクロプロセッサ・アーキテクチャに関する。
なお、以下に列挙した米国特許出願は本件特許出願と同時に米国特許出願され、係属中のものであるが、これらの米国特許出願に開示されており、かつそれぞれ対応して出願された日本での特許出願に開示されている事項は、その出願番号を本明細書で引用することにより本明細書の一部を構成するものとする。
(1)発明の名称「拡張可能RISCマイクロプロセッサ・アーキテクチャ」(Extensible RISC Microprocessor Architecture)SMOS 7985 MCF/GBR,米国特許出願第07/727,058号)1991年7月8日出願、発明者Le T.Nguyen他、およびこれに対応する特願平5ー502153号(特表平6ー501124号公報)。
(2)発明の名称「アーキテクチャ上の依存関係を隔離したRISCマイクロプロセッサ・アーキテクチャ」(RISC Microprocessor Architecture with isolated Architectural Dependencies)SMOS 7987 MCF/GBR,米国特許出願第07/726,744号、1991年7月8日出願、発明者Le T.Nguyen他、及びこれに対応する特願平5ー502152号(特表平6ー502034号公報)。
(3)発明の名称「複数型レジスタ・セットを採用したRISCマイクロプロセッサ・アーキテクチャ」(RISC Microprocessor Architecture Implementing Multiple TypedRegister Sets)SMOS 7988 MCF/GBR/RCC,米国特許出願第07/726,773号、1991年7月8日出願、発明者Sanjiv Garg他、及びこれに対応する特願平5ー502403号(特表平6ー501805号公報。
(4)発明の名称「高速トラップと例外状態をインプリメントしたRISCマイクロプロセッサ・アーキテクチャ(RISC Microprocessor Architecture Implementing Fast Trap and Exception State)SMOS 7989 MCF/GBR/WSW,米国特許出願第07/726,942号、1991年7月8日出願、発明者Le T.Nguyen他、及びこれに対応する特願平5ー502154号(特表平6ー502035号公報)。
(5)発明の名称「シングル・チップ・ページ・プリンタ・コントローラ」(Single Chip Page Printer Controller)SMOS 7991 MCF/GBR,米国特許出願第07/726,929号、1991年7月8日出願、発明者Derek J.Lentz他、及びこれに対応する特願平5ー502149号(特表平6ー501586号公報)。
(6)発明の名称「複数の異種プロセッサをサポートすることのできるマイクロプロセッサ・アーキテクチャ」(Microprocessor Architecture Capable of Supporting Multiple Heterogeneous Processors)SMOS 7992MCF/WMB,米国特許出願第07/726,893号、1991年7月8日出願、発明者Derek J.Lentz他、及びこれに対応する特願平5ー502151号(特表平6ー501123号公報)。
【0002】
本明細書の記述は本件出願の優先権の基礎たる米国特許出願07/727,066号の明細書の記載に基づくものであって、当該米国特許出願の番号を参照することによって、当該米国特許出願の明細書の記載内容が本明細書の一部分を構成するものとする。
【0003】
【従来の技術】
近年、マイクロプロセッサ・アーキテクチャの設計は複合命令セット・コンピュータ(CISC−Complex Instruction Set Computer)アーキテクチャを採用したものから、より単純化された縮小命令セット・コンピュータ(RISC−Reduced Instruction Set Computer)アーキテクチャを採用したものまでに発達している。CISCアーキテクチャは大部分がハードウェアで命令実行パイプラインを実現し、サポートしていることを特徴としている。従来のパイプライン構造の代表的なものは、命令フェッチ、命令デコード、データ・ロード、命令実行、データ・ストアのステージからなり、これらの順序は固定している。命令セットの異なる部分をパイプラインのそれぞれのステージを通して同時平行に実行すると、パフォーマンス上の利点が得られる。パイプラインを長くすると、利用できる実行ステージの数が増加し、同時平行に実行できる命令数が増加する。
【0004】
CISCパイプライン・アーキテクチャの効率を制約する一般的問題として、2つある。最初の問題は、先に実行される条件コード設定命令がパイプラインを通して実質的に実行を完了するまで、条件付ブランチ命令が正しく評価できないことである。
従って、そのあとに続く条件付命令の実行が遅延または停止(stall)されるので、いくつかのパイプライン・ステージが数プロセッサ・サイクルの間インアクティブ(inactive)、すなわち不動作のままになっている。代表例として条件コードは実行ステージを通してある命令の処理が完了したときだけ、プロセッサ状況レジスタ(PSR)とも呼ばれる条件コード・レジスタに書かれている。そのために、ブランチ条件コードが判断されるまでの数プロセッサ・サイクルの間、条件付きブランチ命令をデコード・ステージにおいたままパイプラインを停止させなければならない。パイプラインが停止すると、スループットの損失が大になる。さらにコンピュータの平均スループットはプログラム命令ストリームの中で条件付きブランチ命令が条件コード設定命令のあとに近接して現れる頻度によって左右される。
【0005】
第2の問題は、プログラム命令ストリームの中で近接して置かれている命令がプロセッサ・レジスタ・ファイルの同じレジスタを参照する傾向があることから起こる問題である。データ・レジスタは、連続する命令のストア・ステージとロード・ステージにおいてデータの宛先、またはソースとして頻繁に使用されている。一般的にデータをレジスタ・ファイルにストアする命令が少なくとも1つの実行ステージを通して処理を完了してからでなければ、後続命令のロード・ステージ処理でレジスタ・ファイルをアクセスすることができないようになっている。多数の命令を実行するには、ストア・データを得るために1実行ステージで複数のプロセッサ・サイクルを必要とするので、実行ステージのオペレーションが続いている間、パイプライン全体が停止しているのが代表的である。その結果、コンピュータの実行スループットは、命令ストリームが実行される順序に左右されることになる。
【0006】
第3の問題は、命令自体の実行から起こる問題ではなく、マイクロプロセッサ自体のハードウェア・サポートによる命令実行環境の維持、すなわち、マシンの状態(state−of−machine)から起こる問題である。現在のCISCマイクロプロセッサのハードウエア・サブシステムは、命令の実行中にトラップ条件が現れると、それを検出できるようになっている。各トラップを処理するには、対応するトラップ処理ルーチンをプロセッサに実行させる必要がある。トラップが検出されたとき、実行パイプラインをクリアして、トラップ処理ルーチンが即時に実行できるようにする必要がある。同時にトラップが現れた正確な個所で、そのときのマシンの状態を設定しなければならない。この正確な個所は、そのとき実行中の最初の命令が割り込みとトラップのために完了したときと、例外のために実行されなかった命令の直前に現れる。そのあと、マシンの状態と、この場合も、トラップの内容に応じて実行中の命令自体を処理ルーチンの完了時に復元しなければならい。その結果、各トラップまたは関連事象(イベント)が起こると、処理ルーチンの開始時と終了時にパイプラインをクリアし、正確なマシンの状態をセーブし、復元するために待ち時間が生じ、プロセッサのスループットがその分だけ減少することになる。
【0007】
CISCアーキテクチャが潜在的にもつスループットを向上するために、これらの問題に対する解決方法がいろいろと試みられている。条件付きブランチ命令が正しく実行されると想定すれば、ブランチ条件コードの最終的判断に先立って、パイプライン実行を試行的に進めることが可能である。また、レジスタが修正されると想定すれば、後続の命令を試行的に実行することが可能である。処理ルーチンの実行を必要とするような例外の発生を最小にすることによって、プログラム命令ストリームの処理に割り込みをかける例外の発生頻度を少なくすることを、別のハードウエアで行うことが可能である。
【0008】
これらの解決方法は、明らかに追加ハードウエアを複雑化するものではあるが、その方法自身にも別の問題がある。つまり、ブランチ条件コードの最終的判断またはレジスタ・ファイルのストア・アクセスに先立って命令の実行を続けるためには、条件付きブランチのロケーションを含むプログラム命令ストリーム内の複数の個所のいずれかにレジスタ・ファイルの各々の修正内容に、及び例外が発生した場合には、最後の複数の命令の実行が完了した以前の個所にマシンの状態が復元可能であることが必要である。その結果、さらに別のサポート・ハードウエアが必要になり、しかも、いずれかのパイプライン・ステージのサイクル・タイムが大幅に増加しないように、ハードウエアを設計しなければならない。
【0009】
RISCアーキテクチャでは、マイクロプロセッサ・アーキテクチャのハードウエアによる実現を大幅に単純化することによって、上記の問題の多くを解決することを試みている。極端な場合には、各RISC命令はロード・サイクル、実行サイクル・及びストア・サイクルからなる3つのパイプライン化プログラム・サイクルだけで実行される。ロード及びストア・データをバイパスすることによって、従来のRISCアーキテクチャは3ステージ・パイプラインにおいてサイクルあたり1命令の実行を可能にすることを基本にしている。
【0010】
可能な限り、RISCアーキテクチャにおけるハードウエア・サポートは最小化され、必要とする機能はソフトウェア・ルーチンで実行するようにしている。その結果、RISCアーキテクチャは、最適に適合したパイプラインで実行される単純なロード/ストア命令セットの使用により大幅な柔軟性と高速化が期待できる。
また、実際にはRISCアーキテクチャは短い高性能パイプラインと増加した命令数を実行する必要性との調和を図ると、必要とするすべての機能を実現できることが判明している。
【0011】
RISCアーキテクチャの設計は一般的に、ブランチ、レジスタ参照及び例外に関してCISCアーキテクチャに起こっている問題を回避し、あるいは最小化するようになっている。RISCアーキテクチャにおけるパイプラインは短く、スピードが最適化されている。パイプラインを短くすると、パイプライン停止(stall)またはクリアによって生じる結果を最小化するとともに、マシンの状態を以前の実行個所に復元する際に起こる問題を最小化することができる。
【0012】
しかし、一般に認識されている現水準を大幅に超えたスループット・パフォーマンスの向上は、従来のRISCアーキテクチャによっては容易に達成することができない。その結果、これに変わるスーパースカラー(super−scaler)と呼ばれるアーキテクチャが種々提案されている。これらのアーキテクチャは、一般的には、複数の命令を同時並行に実行することによって、プロセッサのスループットを比例的に向上させることを試みている。残念ながら、この種のアーキテクチャの場合もCISCアーキテクチャの問題と同じでないとしても、条件付きブランチ、レジスタ参照、及び例外処理に同じような問題が起こっている。
【0013】
【発明が解決しようとする課題】
したがって、本発明の一般的目的は従来のCISCアーキテクチャとRISCアーキテクチャに対して大幅なパフォーマンス向上が得られ、さらにマイクロプロセッサで実現するのに適した高性能の、RISCベースのスーパースカラー型プロセッサ・アーキテクチャを提供することである。
【0014】
【課題を解決するための手段】
この目的を達成するために、本発明によるマイクロプロセッサ・アーキテクチャは命令ストアからフェッチした命令を同時並行に実行することを可能にしている。このマイクロプロセッサ・アーキテクチャは命令ストアから命令セットをフェッチするための命令プリフェッチ・ユニットを備えている。各命令セットは複数の固定長命令から構成されている。命令FIFOは第1バッファと第2バッファを含む複数の命令セット・バッファに命令セットを置いておくバッファリングのために用意されたものである。命令実行ユニットは、レジスタ・ファイルと複数の機能ユニット(functional unit)から構成され、第1バッファと第2バッファに置かれている命令セットを調べ、使用可能な機能ユニットに実行させるためにこれらの命令の1つを出す機能を持つ命令制御ユニットを備えている。機能ユニットとレジスタ・ファイル間は複数のデータ経路(datapath)で結ばれているので、それぞれの命令を並行実行するために必要とされるレジスタ・ファイルへの複数の独立アクセスが可能になっている。
【0015】
レジスタ・ファイルはレジスタ・データを一時的にストアしておくために使用される別セットのデータ・レジスタを含んでいる。これらの一時データ・レジスタは、命令が順序外(out−of−order)で実行される際に機能ユニットによって処理されたデータを受け入れるために命令実行ユニットによって利用される。一時データ・レジスタにストアされたデータは選択的に保持され、そのあと先行するすべての順序内(in−order)命令の実行が完了して退避された、命令ストリーム内の命令ロケーションまで正確なマシン状態が進んだときクリアされるか、レジスタ・ファイルに退避される。
【0016】
最後に命令ストアからの命令セットのプリフェッチは、メイン・プログラム命令ストリーム、ターゲット条件付きブランチ命令ストリーム及びプロシージャ命令ストリームのプリフェッチを可能にする複数のプリフェッチ経路によって容易化されている。ターゲット条件付きブランチ・プリフェッチ経路を利用すると、条件付きブランチ命令となり得る両方の命令ストリーム、つまりメインとターゲットを同時にプリフェッチすることが可能である。プロシージャ命令プリフェッチ経路を利用すると、メインまたはターゲット命令ストリームにある一つの命令を実行する拡張プロシージャの実行を可能にする上で効果的な補足的命令ストリームを可能にする。また、プロシージャ・プリフェッチ経路によると、少なくともメイン・プリフェッチ・バッファをクリアすることなく、これらの拡張プロシージャをフェッチして実行することができる。
【0017】
以上のとおり、本発明の利点は、基本的にRISC型のコア・アーキテクチャを利用して非常に高性能なスループットを実現するアーキテクチャを提供することにある。
本発明の別の利点は、サイクルごとに複数の命令の実行を可能にしたことにある。
さらに、本発明の利点は、複数の命令を同時並行に実行することを最適化するために必要な機能ユニットを動的に(ダイナミック)に選択して、利用することを可能にしたことである。
さらに本発明の別の利点は、正確なマシン状態復帰機能をサポートするメカニズムと一体化したレジスタ・ファイル・ユニットを設けたことにある。
【0018】
さらに、本発明の別の利点は、レジスタ・ファイル・ユニット内に複数のレジスタ・ファイルを内蔵し、これらのレジスタ・ファイルは汎用化され、タイプ化され、複数の独立並列整数レジスタ・ファイルとしてのオペレーション、浮動少数点ファイルと整数ファイルとしてのレジスタ・ファイルのオペレーションおよび専用ブール・レジスタ・ファイルのオペレーションを含む、複数のレジスタ・ファイル機能を備えていることにある。
【0019】
さらに、本発明の別の利点は、ロードとストア・オペレーション及び例外と割り込みの処理を効率的な命令キャンセル・メカニズムやロード/ストア順序シンクロナイザを含む、正確なマシン状態復帰機能の使用により正確に実行できるようにしたことである。
さらに、本発明の別の利点は、専用レジスタ・ファイル・ユニットによってトラップ状態をサポートして待ち時間を最小化し、処理スループットを向上したことにある。
【0020】
さらに、本発明の別の利点は、メイン及びターゲット・ブランチ・プリフェッチ待ち行列を設け、それによって正確でないターゲット・ブランチ・ストリームが先に実行されても、本発明によって得られる全体的な処理スループットに与える影響を最小化したことにある。さらに、プロシージャ命令プリフェッチ待ち行列が設けられているので、メインまたはターゲット・ブランチ命令ストリームの実行に効率的に割り込んで、プロシージャ・ルーチンの実行を通して新規命令を実現することを可能にし、特にプロシージャ・ルーチンを外部から修正することによって、組み込みプロシージャ命令を実現することを可能にしたことである。
【0021】
【発明の実施の形態】
以下、本発明の実施の形態について説明する。なお、以下の目次に従って順次説明する。
【0022】
目次
I. マイクロプロセッサ・アーキテクチャの概要
II. 命令フェッチユニット
A)IFUデータ経路
B)IFU制御経路
C)IFU/IEU制御インタフェース
D)PCロジック・ユニットの詳細
1)PFおよびExPC制御/データ・ユニットの詳細
2)PC制御アルゴリズムの詳細
E)割込みおよび例外の処理
1)概要
2)非同期割込み
3)同期例外
4)ハンドラ・ディスパッチとリターン
5)ネスト
6)トラップ一覧表
III.命令実行ユニット
A)IEUデータ経路の詳細
1)レジスタ・ファイルの詳細
2)整数データ経路の詳細
3)浮動小数点データ経路の詳細
4)ブール・レジスタ・データ経路の詳細
B)ロード/ストア制御ユニット
C)IEU制御経路の詳細
1)Eデコード・ユニットの詳細
2)キャリー・チェッカ・ユニットの詳細
3)データ依存関係チェッカ・ユニットの詳細
4)レジスタ改名ユニットの詳細
5)命令発行ユニットの詳細
6)完了制御ユニットの詳細
7)退避制御ユニットの詳細
8)制御フロー制御ユニットの詳細
9)バイパス制御ユニットの詳細
IV.仮想メモリ制御ユニット
V. キャッシュ制御ユニット
VI.要約及び結論
以下に目次に従って説明する。
【0023】
I.マイクロプロセッサ・アーキテクチャの概要
図1は本発明のアーキテクチャ100の概要を示すものである。命令フェッチ・ユニット(IFU)102と命令実行ユニット(IEU)104はアーキテクチャ100の中心となる機能要素である。仮想メモリ・ユニット(VMU)108、キャッシュ制御ユニット(CUU)106、およびメモリ制御ユニット(MCU)110は、IFU102とIEU104の機能を直接にサポートするためのものである。また、メモリ・アレイ・ユニット(MAU)112は基本的要素として、アーキテクチャ100を動作させるためのものである。もっともMAU112はアーキテクチャ100の一つの一体的なコンポーネントとして直接的に存在しない。つまり、本発明の好適実施例ではIFU102、IEU104、VMU108、CCU106およびMCU110は従来の0.8ミクロン設計ルールの低電力CMOSプロセスを利用してシングル・シリコン・チップ上に実装され、約1,200,000個のトランジスタから構成されている。アーキテクチャ100の標準プロセッサまたはシステムのクロック速度は40MHzである。しかし、本発明の好適実施例によれば、プロセッサの内部クロック速度は160MHzである。
【0024】
IFU102の基本的役割は命令をフェッチし、IEU104による実行が保留されている間命令をバッファに置いておき、一般的には次の命令をフェッチするとき使用される次の仮想アドレスを計算することである。
【0025】
本発明の好適実施例では、各命令は長さが32ビットに固定されている。命令セット、つまり、4個の命令からなる「バケット」(bucket)はCCU106内の命令用キャッシュ132から128ビット幅の命令バス114を経由してIFU102によって同時にフェッチされる。命令セットの転送は、制御ライン116経由で送られてきた制御信号によって調整されて、IFU102とCCU106間で行われる。フェッチされる命令セットの仮想アドレスはIFU仲裁、制御およびアドレスを兼ねたバス118経由でIFU102から出力され、さらにIEU104とVMU108間を結合する仲裁、制御およびアドレス共用バス120上に送出される。VMU108へのアクセスの仲裁(arbitration)はIFU102とIEU104の両方がVMU108を共通の共用資源として利用することから行われる。本発明の好適実施例では、仮想アドレスの物理ページ内のアドレスを定義する下位ビットは、IFU102から制御ライン116を経由して直接にキャッシュ制御ユニット106へ転送される。IFU102から与えられる仮想アドレスの仮想上位ビットは、バス118、120のアドレス部分によってVMU108へ送られ、そこで対応する物理ページ・アドレスに変換される。IFU102では、この物理ページ・アドレスは変換要求がVMU108に出されたあと内部プロセッサ・クロック・サイクルの1/2の間に、VMU108からアドレス制御ライン122経由で直接にキャッシュ制御ユニット106へ転送される。
【0026】
IFU102によってフェッチされた命令ストリームの方は命令ストリーム・バス124経由でIEU104に渡される。制御信号は、制御ライン126を介してIFU102とIEU104間でやりとりされる。さらに、ある種の命令フェッチ・アドレス、例えばIEU104内に存在するレジスタ・ファイルへアクセスを必要とするアドレスは、制御ライン126内のターゲット・アドレス・リターン・バスを経由してIFU102へ送り返される。
【0027】
IEU104は、CCU106内に設けられたデータ用キャッシュ134との間で80ビット幅双方向データ・バス130を通してデータをストアし、データを取り出す。IEU104がデータ・アクセスするときの物理アドレス全体は制御バス128のアドレス部分によってCCU106へ渡される。また、制御バス128を通して、データ転送を管理するための制御信号をIEU104とCCU106との間でやりとりすることもできる。IEU104は、仮想データ・アドレスをCCU106へ渡すのに適した物理データ・アドレスに変更するための資源としてVMU108を使用する。データ・アドレスの仮想化部分は、仲裁、制御およびアドレス・バス120を経由してVMU108へ渡される。IFU102に対するオペレーションと異なり、VMU108は対応する物理アドレスをバス120経由でIEU104へ返却する。アーキテクチャ100の好適実施例では、IEU104は物理アドレスを使用して、ロード/ストア・オペレーションが正しいプログラム・ストリーム順序で行われていることを確かめている。
【0028】
CCU106は、物理アドレスで定義したデータ要求を命令用キャッシュ132とデータ用キャッシュ134のどちらか該当する方から満足できるかどうかを判断する従来のハイレベル機能を備えている。アクセス要求が命令用キャッシュ132またはデータ用キャッシュ134へアクセスすることで正しく満足できる場合は、CCU106はデータ・バス114、128を経由するデータ転送を調整して、その転送を行う。
【0029】
データ・アクセス要求が命令用キャッシュ132またはデータ用キャッシュ134から満足できない場合は、CCU106は対応する物理アドレスをMCU110へ渡し、MAU112が、要求しているのは読取りアクセスであるか書込みアクセスであるかを判別し、各要求ごとにCCU106のソースまたは宛先キャッシュ132、134を識別するのに十分な制御情報および要求オペレーションをIFU102またはIEU104から出された最終的データ要求と関係づけるための追加識別情報も一緒にMCU110へ渡される。
【0030】
MCU110は、好ましくはポート・スイッチ・ユニット142を備えており、このユニットは単方向データ・バス136によってCCU106との命令用キャッシュ132に接続され、双方向データ・バス138によってデータ用キャッシュ134に接続されている。ポート・スイッチ142は基本的には大きなマルチプレクサであり、制御バス140から得た物理アドレスを複数のポートPO Pn 146O-n のいずれかへ送ることを可能にし、また、ポートからデータ・バス136、138へのデータの双方向転送を可能にする。MCU110によって処理される各メモリ・アクセス要求は、MAU112をアクセスするとき要求されるメイン・システム・メモリ・バス162へのアクセスを仲裁する目的でポート146O-n の1つと関連づけられる。データ転送の接続が確立されると、MCUは制御情報を制御バス140経由でCCU106に渡して、ポート141およびポート146O-n のうち対応する1つを経由して命令用キャッシュ132またはデータ用キャッシュ134とMAU112との間でデータを転送することを開始する。アーキテクチャ100の好適実施例では、MCU110は、実際にはCCU106とMAU112間を転送する途中にあるデータをストアまたはラッチしない。このようにしたのは、転送の待ち時間を最小にし、MCU110に一つだけ存在するデータを追跡または管理しないですむようにするためである。
【0031】
II.命令フェッチ・ユニット
命令フェッチ・ユニット102の主要エレメントを図2に示す。これらのエレメントのオペレーションおよび相互関係を理解しやすくするために、以下ではこれらのエレメントがIFUデータ経路と制御経路に関与する場合を考慮して説明する。
【0032】
A)IFUデータ経路
IFUデータ経路は、命令セットを受け取ってプリフェッチ・バッファ260に一時的にストアしておく命令バス114から始まる。プリフェッチ・バッファ260からの命令セットはIデコード・ユニット262を通ってIFIFOユニット264へ渡される。命令FIFO264の最後の2ステージにストアされた命令セットは、データ・バス278、280を通してIEU104に連続的に取り出して利用することができる。
【0033】
プリフェッチ・バッファ・ユニット260は一度に1つの命令セットを命令バス114から受け取る。完全な128ビット幅命令セットは、一般にプリフェッチ・バッファ260のメイン・バッファ(MBUF)188部分の4つの128ビット幅プリフェッチ・バッファ・ロケーションの1つに並列に書き込まれる。追加の命令セットは最高4つまで同じように、2つの128ビット幅ターゲット・バッファ(TBUF)190のプリフェッチ・バッファ・ロケーションに、または2つの128ビット幅プロシージャ・バッファ(EBUF)192のプリフェッチ・バッファ・ロケーションに書き込むことが可能である。好適アーキテクチャ100では、MBUF188、TBUF190またはEBUF192内のプリフェッチ・バッファ・ロケーションのいずれかに置かれている命令セットは、プリフェッチ・バッファ出力バス196へ転送することが可能である。さらに、直接フォールスルー(fall through)命令セット・バス194は、命令バス114をプリフェッチ・バッファ出力バス196と直接に接続することによってMBUF188、TBUF190およびEBUF192をバイパスするためのものである。
【0034】
好適アーキテクチャ100では、MBUF188は名目的またはメイン命令ストリーム中の命令セットをバッファするために利用される。TBUF190は、試行的なターゲット・ブランチ命令ストリームからプリフェッチした命令セットをバッファするために利用される。その結果、プリフェッチ・バッファ・ユニット260を通して、条件付きブランチ命令のあとに置かれている可能性のある両方の命令ストリームをプリフェッチすることができる。この機能により、MAU112の待ち時間は長くなるとしても、少なくともCCU112への以後のアクセス待ち時間がなくなるので、条件付きブランチ命令の解決時にどの命令ストリームが最終的に選択されるかに関係なく、条件付きブランチ命令のあとに置かれた正しい次の命令セットを得て、実行することができる。本発明の好適アーキテクチャ100では、MBUF188とTBUF190があるために、命令フェッチ・ユニット102は現れる可能性のある両方の命令ストリームをプリフェッチすることができ、命令実行ユニット104に関連して以下に説明するようにただしいと想定された命令ストリームを引き続き実行することができる。条件付きブランチ命令が解決されたとき、正しい命令ストリームがプリフェッチされて、MBUF188に入れられた場合は、TBUF190に残っている命令セットは無効にされるだけである。他方、正しい命令ストリームの命令セットがTBUF190に存在する場合は、命令プリフェッチ・バッファ・ユニット260を通して、これらの命令セットがTBUF190から直接に並行にMBUF188内のそれぞれのバッファ・ロケーションへ転送される。それ以前にMBUF188にストアされた命令セットは、TBUF190から転送された命令セットを重ね書きすることによって事実上無効にされる。MBUFロケーションへ転送するTBUF命令セットが無ければ、そのロケーションには無効の印が付けられるだけである。
【0035】
同様に、EBUF192はプリフェッチ・バッファ260を経由する別の代替プリフェッチ経路となるものである。EBUF192は好ましくはMBUF188命令ストリームに現れた単一の命令、つまり、「プロシージャ」命令で指定されたオペレーションを実現するために使用される代替命令ストリームをプリフェッチする際に利用される。このようにすると、複雑な命令や拡張された命令はソフトウェア・ルーチンまたはプロシージャを通して実現することができ、すでにプリフェッチされてMBUF188に入れられた命令ストリームを乱すことなくプリフェッチ・バッファ・ユニット260を通して処理することができる。一般的には、本発明によれば、最初にTBUF190に現れたプロシージャ命令を処理することができるが、プロシージャ命令ストリームのプリフェッチは保留され、以前に現れた保留中の条件付きブランチ命令ストリームが全て解決される。これにより、プロシージャ命令ストリームに現れた条件付きブランチ命令は、TBUF190の使用を通して矛盾なく処理されることになる。従って、プロシージャ・ストリームでブランチが行われる場合は、ターゲット命令セットはすでにプリフェッチされてTBUF190に入れられているので、EBUF192へ並列に転送することができる。
【0036】
最後にMBUF188、TBUF190およびEBUF192の各々はプリフェッチ・バッファ出力バス196に接続され、プリフェッチ・ユニットによってストアされた命令セットを出力バス196上に送出するようになっている。さらに、バス194を通過するフローは命令セットを命令バス114から直接に出力バス196へ転送するためのものである。
【0037】
好適アーキテクチャ100ではMBUF188、TBUF190、EBUF192内のプリフェッチ・バッファは直接的にはFIFO構造を構成していない。その代わりにどのバッファ・ロケーションも出力バス196に接続されているので、命令用キャッシュ132から取り出された命令セットのプリフェッチ順序に大幅な自由度をもたせることができる。つまり、命令フェッチ・ユニット102は命令ストリームに一定順序で並んだ命令順に命令セットを判断して要求するのが一般的になっている。しかし、命令セットがIFU102へ返されるときの順序は、要求したある命令セットが使用可能で、CCU106だけからアクセス可能であり、他の命令セットはMAU102のアクセスを必要とするような場合に合わせて順序外に現れることも可能である。
【0038】
命令セットは一定順序でプリフェッチ・バッファ・ユニット260へ返されない場合があっても、出力バス196上に出力される命令セットの列は、一般的にIFU102から出された命令セット要求の順序に従っていなければならない。順序内(in−order)の命令ストリーム列は、例えばターゲット・ブランチ・ストリームの試行的実行に影響されるためである。
【0039】
Iデコード・ユニット262は、IFIFOユニット264のスペースが許す限り、プリフェッチ・バッファ出力バス196から命令セットを、普通は1サイクルに1つの割合で受け取る。一つの命令セットを構成する4個の命令からなる各セットはIデコード・ユニット262によって並行にデコードされる。関係の制御フロー情報がIFU102の制御経路部分のためにライン318から抜き出されている間は、命令セットの内容はIデコード・ユニット262によって変更されない。
【0040】
Iデコード・ユニット262からの命令セットはIFIFOユニット264の128ビット幅入力バス198上に送出される。内部的には、IFIFOユニット264はマスタ/スレーブ・レジスタ200、204、208、212、216、220、224の列から構成されている。各レジスタはその後続レジスタに接続され、マスタ・レジスタ200、208、216の内容がFIFOオペレーションの内部プロセッサ・サイクルの前半時にスレーブ・レジスタ204、212、220へ転送され、そのあとオペレーションの後半サイクル時に次の後続マスタ・レジスタ208、216、224へ転送されるようになっている。入力バス198はマスタ・レジスタ200、208、216、224の各々の入力に接続され、FIFOオペレーションの後半サイクル時に命令セットがIデコード・ユニット262からマスタ・レジスタに直接にロードされるようになっている。しかし、マスタ・レジスタを入力バス198からロードすることは、IFIFOユニット264内でデータをFIFOシフトすることと同時に行う必要はない。その結果、命令FIFOユニット264内にストアされた命令セットの現在の深さに関係なく、さらに、IFIFOユニット264内でデータをFIFOシフトすることから独立して入力バス198から連続的にIFIFOユニット264に入れていくことができる。
【0041】
マスタ/スレーブ・レジスタ200、204、208、212、216、220、224の各々は、128ビット幅命令セットの全ビットを並列にストアできるほかに、制御情報のいくつかのビットをそれぞれの制御レジスタ202、206、210、214、218、222、226にストアすることもできる。好ましくは、制御ビットのセットは、例外不一致(exception miss)と例外修正(exception modify)(VMU)、メモリなし(MCU)、ブランチ・バイアス、ストリーム、およびオフセット(IFU)からなっている。この制御情報はIFIFOマスタ・レジスタに入力バス198から新しい命令セットをロードするのと同時に、IFU102の制御経路部分から発生する。そのあと、制御レジスタ情報は命令セットと並行してIFIFOユニット264内で並列にシフトされる。
【0042】
最後に好適アーキテクチャ100ではIFIFOユニット264からの命令セットの出力は最後の2マスタ・レジスタ216、224から同時に得られて、
I Bucket 0とI Bucket 1命令セット出力バス278、280上に送出される。さらに、対応する制御レジスタ情報がIBASV0とIBASB1制御フィールドバス282、284上に送出される。これらの出力バス278、282、280、284は全てIEU104へ通じる命令ストリーム・バス124となるものである。
【0043】
B)IFU制御経路
IFU102制御経路は、プリフェッチ・バッファ・ユニット260、Iデコード・ユニット262およびIFIFOユニット264のオペレーションを直接にサポートする。プリフェッチ制御ロジック・ユニット266は主にプリフェッチ・バッファ・ユニット260のオペレーションを管理する。プリフェッチ制御ロジック・ユニット266とIFU102は一般的にはクロック・ライン290からシステム・クロック信号を受信して、IFUのオペレーションとIEU104、CCU106およびVMU108のオペレーションとの同期をとるようにしている。命令セットを選択して、MBUF188、TBUF190およびEBUF192に書き込むための制御信号は制御ライン304上に送出される。
【0044】
多数の制御信号は、制御ライン316上に送出されて、プリフェッチ制御ロジック・ユニット266へ送られる。具体的には、フェッチ要求制御信号はプリフェッチ・オペレーションを開始するために送出される。制御ライン316上に送出される他の制御信号は要求したプリフェッチ・オペレーションが目標とする宛先かMBUF188であるか、TBUF190であるか、EBUF192であるかを指定している。プリフェッチ要求を受けて、プリフェッチ制御ロジック・ユニット266はID値を生成し、プリフェッチ要求をCCU106に通知できるかどうかを判断する。ID値の生成は、循環4ビット・カウンタを使用して行われる。
【0045】
4ビット・カウンタの使用は次の3つの点で重要である。第1は最大9個までの命令セットをプリフェッチ・バッファ・ユニット260で一度にアクティブにできることである。すなわち、MBUF188での4命令セット、TBUF190での2命令セット、EBUF192での命令セット、およびフロー・スルー・バス194経由で直接にIデコード・ユニット262に渡される1命令セットである。第2は、命令セットが各々4バイトの4個の命令からなることである。その結果、フェッチする命令を選択するどのアドレスも、その最下位4ビットは余分になっている。最後は、プリフェッチ要求アドレスの最下位4ビットとして挿入することで、プリフェッチ要求IDをプリフェッチ要求と容易に関連づけることができることである。これにより、CCU106とのインターフェースとなるために必要な総アドレス数が減少することになる。
【0046】
IFU102から出されたプリフェッチ要求の順序に対して順序外で命令セットがCCU106から返却されるようにするために、アーキテクチャ100ではCCU106からの命令セットの返却と一緒にID要求値が返されるようになっている。しかし、順序外の命令セット返却機能によると、16個の固有IDが使いつくされるおそれがある。条件付き命令の組合せが順序外で実行されると、要求されたがまだ返却されていない追加のプリフェッチと命令セットがあるので、ID値を再使用することが可能になる。したがって、4ビット・カウンタは保持しておくのが好ましく、それ以降の命令セットのプリフェッチ要求が出されないことになり、その場合には次のID値は、未処理のまま残っているフェッチ要求やそのときプリフェッチ・バッファ260に保留されている別の命令セットに関連づけられたものとなる。
【0047】
プリフェッチ制御ロジック・ユニット266はプリフェッチ状況配列(アレイ)268を直接に管理し、この配列はMBUF188、TBUF190およびEBUF192内の各命令セット・プリフェッチ・バッファ・ロケーションに論理的に対応する状況記憶ロケーションからなっている。プリフェッチ制御ロジック・ユニット266は選択およびデータ・ライン306を通して、データをスキャンし、読み取って、状況レジスタ配列268に書き込むことができる。配列268内では、メイン・バッファ・レジスタ308は4個の4ビットID値(MB ID)、4個の1ビット予約フラグ(MB RES)および4個の1ビット有効フラグ(MB VAL)をストアしておくためのものであり、これらの各々は論理ビット位置別にMBUF180内のそれぞれの命令セット記憶ロケーションに対応づけられている。同様に、ターゲット・バッファ・レジスタ310と拡張バッファ・レジスタ312は、それぞれ2個の4ビットID値(TB ID、EBID)、2個の1ビット予約フラグ(TB RES、EB RES)および2個の1ビット有効フラグ(TB VAL、EB VAL)をストアしておくためのものである。最後にフロー・スルー状況レジスタ314は1個の4ビットID値(FT ID)、1個の予約フラグ・ビット(FT RES)および1個の有効フラグ・ビット(FT VAL)をストアしておくためのものである。
【0048】
状況レジスタ配列268が最初にスキャンされ、該当するときは、プリフェッチ要求がCCU106に出されるたびにプリフェッチ制御ロジック・ユニット266によって更新され、そのあとは命令セットが返されるたびにスキャンされ、更新される。具体的に説明すると、制御ライン316からプリフェッチ要求信号を受け取ると、プリフェッチ制御ロジック・ユニット266は現在の循環カウンタ生成ID値をインクリメントし、状況レジスタ配列268をスキャンして、使用可能なID値があるかどうか、プリフェッチ要求信号で指定されたタイプのプリフェッチ・バッファ・ロケーションが使用可能であるかどうかを判断し、CCU IBUSY制御ライン300の状態を調べてCCU106がプリフェッチ要求を受け付けることができるかどうかを判断し、受付可能ならば、制御ライン298上のCCU IREAD制御信号を肯定し、インクリメントされたID値をCCU106と結ばれたCCU ID出力バス294上に送出する。プリフェッチ記憶ロケーションは、対応する予約状況フラグと有効状況フラグが共に偽である場合に使用が可能である。
【0049】
プリフェッチIDは要求がCCU106に出されるのと並行して、MBUF188、TBUF190、またはEBUF192内の目標とする記憶ロケーションに対応する状況レジスタ配列268内のID記憶ロケーションに書き込まれる。さらに、対応する予約状況フラグが真にセットされる。
【0050】
CCU106が以前に要求された命令セットをIFU102へ返却できるときは、CCU IREADY信号が制御ライン302上で肯定され、対応する命令セットIDがCCU ID制御ライン296上に送出される。プリフェッチ制御ロジック・ユニット266は状況レジスタ配列268内のID値と予約フラグをスキャンして、プリフェッチ・バッファ・ユニット260内の命令セットの目標とする宛先を判別する。一致するものは一つだけが可能である。判別されると、命令セットはバス114を経由してプリフェッチ・バッファ・ユニット260内の該当ロケーションに書き込まれ、フロー・スルー要求と判別されたときは、直接にIデコード・ユニット262に渡される。どちらの場合も、対応する状況レジスタ配列に入っている有効状況フラグは真にセットされる。
【0051】
PCロジック・ユニット270は、以下で詳しく説明するように、IFU102全体を調べてMBUF188、TBUF190およびEBUF192命令ストリームの仮想アドレスを探し出す。この機能を実行する際、PCロジック・ブロック270はIデコード・ユニット262を制御すると同時に、そこから動作する。具体的には、Iデコード・ユニット262によってデコードされ、プログラムの命令ストリームのフローの変化と関わりがある可能性のある命令部分はバス318を経由して制御フロー検出ユニット274へ送られると共に、直接にPCロジック・ブロック270へ送られる。制御フロー検出ユニット274は条件付きブランチ命令と無条件ブランチ命令、コール型命令、ソフトウェア・トラップ・プロシージャ命令および種々のリターン命令を含む制御フロー命令を構成する各命令をデコードされた命令セットの中から判別する。制御フロー検出ユニット274は制御信号をライン322を経由して、PCロジック・ユニット270へ送る。この制御信号は、Iデコード・ユニット262に存在する命令セット内の制御フロー命令のロケーションと種類を示している。これを受けて、PCロジック・ユニット270は、一般的には命令に入れられて、ライン318経由でPCロジック・ユニットへ転送されたデータから制御フロー命令のターゲット・アドレスを判断する。例えば、条件付きブランチ命令に対して先に実行するためにブランチ・ロジック・バイアスが選択された場合には、PCロジック・ユニット270は条件付きブランチ命令ターゲット・アドレスから命令セットをプリフェッチすることを指示し、別々に追跡することを開始する。したがって、制御ライン316上のプリフェッチ要求を次に肯定すると、PCロジック・ユニット270はさらにライン316を経由する制御信号を肯定し、先行するプリフェッチ命令セットがMBUF188またはEBUF192へ送られたものと想定すると、プリフェッチの宛先をTBUF190として選択する。プリフェッチ要求をCCU106へ渡すことができるとプリフェッチ制御ロジック・ユニット266が判断すると、プリフェッチ制御ロジック・ユニット266は、この場合もライン316を経由してイネーブル(許可)信号をPCロジック・ユニット270へ送って、ターゲット・アドレスのページ・オフセット部分(CCU PADDR〔13:4〕)をアドレス・ライン324を経由して直接にCCU106へ渡すことを可能にする。これと同時に、PCロジック・ユニット270は新しい仮想ページから物理ページへの変換が必要な場合には、さらに、VMU要求信号を制御ライン328を経由して、ターゲット・アドレスの仮想化部分(VMU VADDR〔13:14〕)をアドレス・ライン326を経由してVMU108へ渡して、物理アドレスに変換する。ページ変換が必要でない場合は、VMU108によるオペレーションは必要でない。その代わりに、以前の変換結果がバス122に接続された出力ラッチに保存されているので、CCU106によって即時に使用される。
【0052】
PCロジック・ユニット270が要求した仮想から物理への変換時にVMU108にオペレーション・エラーが起こると、VMU例外およびVMU不一致制御(miss control)ライン332、334を通して報告される。VMU不一致制御ライン334は変換索引緩衝機構(Translation lookaside buffer:TLB)の不一致を報告する。VMU例外ライン332上のVMU例外制御信号は他の例外が起こると発生する。いずれの場合も、PCロジック・ユニットは命令ストリーム中の現在の実行個所をストアしておき、そのあと無条件ブランチが行われたのと同じように、それを受けてエラー条件を診断し処理するための専用例外処理ルーチン命令ストリームをプリフェッチすることによって、エラー条件を処理する。VMU例外および不一致制御信号は、発生した例外の種類を示しているので、PCロジック・ユニット270は対応する例外処理ルーチンのプリフェッチ・アドレスを判別することができる。
【0053】
IFIFO制御ロジック・ユニット272はIFIFOユニット264を直接にサポートするためのものである。具体的には、PCロジック・ユニット270は制御ライン336を経由して制御信号を出力し、命令セットがIデコード・ユニット262から入力バス198経由で使用可能であることをIFIFO制御ロジック・ユニット272に通知する。IFIFO制御ユニット272は命令セットを受け取るために、最も奥の使用可能なマスタ・レジスタ200、208、216、224を選択する役割をもっている。マスタ・レジスタ202、210、218、226の各々の出力は制御バス338を経由してIFIFO制御ユニット272へ渡される。各マスタ制御レジスタによってストアされる制御ビットは2ビット・バッファ・アドレス(IF Bx ADR)、単一ストリーム・インジケータ・ビット(IF Bx STRM)、および単一有効ビット(IF Bx VLD)からなっている。2ビット・バッファ・アドレスは対応する命令セット内の最初の有効命令を指定している。つまり、CCU106から返された命令セットは、例えば、ブランチ・オペレーションのターゲット命令が命令セット内の最初の命令ロケーションに置かれるように境界合わせされていないことがある。したがって、バッファ・アドレス値は、実行の対象として考慮される、命令セット内の最初の命令を一意的に示すために与えられる。
【0054】
ストリーム・ビットは、条件付き制御フロー命令を含んでいる命令セットのロケーションを示し、IFIFOユニット264を通る命令のストリームに潜在的制御フローの変更を引き起こすマーカとして使用されることを基本としている。メイン命令ストリームは一般にストリーム・ビット値が0のときMBUF188を通して処理される。例えば、相対条件付きブランチ命令が現れるとすると、対応する命令セットはマークが付けられ、ストリーム・ビット値が1となる。条件付き命令セットはIデコード・ユニット262によって検出される。条件付き制御フロー命令は最高4つまで命令セットに存在することができる。そのあと、命令セットはIFIFOユニット264の最も奥の使用可能なマスタ・レジスタにストアされる。
【0055】
条件付きブランチ命令のターゲット・アドレスを判断するために、現在のIEU104の実行点アドレス(DPC)、ストリーム・ビットで指定された条件付き命令が入っている命令セットの相対ロケーション、制御フロー検出ユニット274から得られた命令セット内の条件付き命令ロケーション・オフセットは、制御ライン318を通して対応するブランチ命令フィールドから得られた相対ブランチ・オフセット値と結合される。その結果はブランチ・ターゲットの仮想アドレスとなり、PCロジック・ユニット270によってストアされる。ターゲット命令ストリームの最初の命令セットは、このアドレスを使用してプリフェッチしてTBUF190に入れることができる。PCロジック・ユニット270のために事前に選択されたブランチ・バイアスに応じてIFIFOユニット264はMBUF188またはTBUF190からロードが続けられる。1つまたは2つ以上の条件付フロー命令を含んでいる2番目の命令セットが現れると、その命令セットはストリーム・ビット値に0のマークが付けられる。2番目のターゲット・ストリームはフェッチできないので、ターゲット・アドレスはPCロジック・ユニット270によって計算されてストアされるが、プリフェッチは行われない。さらに、それ以降の命令セットはIデコード・ユニット262を通して処理することができない。少なくとも、条件付きフロー制御命令を含んでいることが分かった命令セットは1つも処理されない。
【0056】
本発明の好適実施例では、PCロジック・ユニット270は最高2個までの命令セットに現れた条件付きフロー命令を最高8個まで管理することができる。ストリーム・ビットの変化でマークが付けられた2命令セットの各々のターゲット・アドレスは4つのアドレス・レジスタの配列にストアされ、ターゲット・アドレスは命令セット内の対応する条件付きフロー命令のロケーションに対して論理的位置に置かれる。
【0057】
最初の順序内条件付きフロー命令のブランチ結果が解決されると、PCロジック・ユニット270は、ブランチが行われる場合にはTBUF190の内容をMVUF188に転送し、TBUF190の内容に無効のマークを付けるように、ライン316上の制御信号によってプリフェッチ制御ユニット266に指示する。正しくない命令ストリーム、つまりブランチが行われない場合はターゲット・ストリームからの、ブランチが行われる場合はメイン・ストリームからの命令セットが、IFIFOユニット264にあるとIFIFOユニット264からクリアされる。2番目またはそれ以降の条件付きフロー制御命令が第1ストリーム・ビットのマークが付けられた命令セットに存在すると、その命令は統一された方法で処理される。すなわち、ターゲット・ストリームからの命令セットはプリフェッチされ、MBUF188またはTBUF190からの命令セットはブランチ・バイアスに応じてIデコード・ユニット262を通して処理され、条件付きフロー命令が最終的に解決されると、正しくないストリーム命令セットがIFIFOユニット264からクリアされる。
【0058】
IFIFOユニット264から正しくないストリーム命令がクリアされたとき、2番目の条件付きフロー命令がIFIFOユニット264に残っていて、最初の条件付きフロー命令セットにそれ以降の条件付きフロー命令が含まれていないと、第2ストリーム・ビットのマークが付いた命令セットのターゲット・アドレスはアドレス・レジスタの最初の配列にプロモートされる。いずれの場合も、条件付きフロー命令を含んでいる次の命令セットはIデコード・ユニット262を通して評価することが可能になる。したがって、ストリーム・ビットをトグルとして使用すると、ブランチ・ターゲット・アドレスを計算する目的のために、また、ブランチ・バイアスが特定の条件付きフロー制御命令では正しくなかったと、後で判断された場合に、それより上をクリアすべき命令セット・ロケーションにマークをつける目的のために、潜在的制御フローの変化にマークをつけておき、IFIFOユニット264を通して追跡することができる。
【0059】
命令セットをマスタ・レジスタから実際にクリアするのではなく、IFIFO制御ロジック・ユニット272はIFIFOユニット264の対応するマスタ・レジスタの制御レジスタに入っている有効ビット・フラグをリセットするだけである。このクリア・オペレーションはライン336に送出される制御信号でPCロジック・ユニット270によって開始される。マスタ制御レジスタ202、210、218、226の各々の入力は状況バス230を通してIFIFO制御ロジック・ユニット272が直接にアクセスすることができる。好適実施例のアーキテクチャ100ではこれらのマスタ制御レジスタ202、210、218、226内のビットはIFIFOユニット264によるデータ・シフト・オペレーションと並行して、または独立してIFIFO制御ユニット272によってセットすることが可能である。この機能により、IEU104のオペレーションと非同期に、命令セットをマスタ・レジスタ200、208、216、224のいずれかに書込み、対応する状況情報をマスタ制御レジスタ202、210、218、226に書き込むことができる。
【0060】
最後に、制御および状況バス230上の追加の制御ラインはIFIFOユニット264のIFIFOオペレーションを可能にし、指示する。IFIFOシフトは制御ライン336を通してPCロジック・ユニット270から出力されたシフト要求制御信号を受けてIFIFOユニット264によって行われる。IFIFO制御ユニット272は、命令セットを受け入れるマスタ・レジスタ200、208、216、224が使用可能であると、制御信号をライン316を経由してプリフェッチ制御ユニット266に送ってプリフェッチ・バッファ260から次の該当命令セットを転送することを要求する。命令セットが転送されると、配列268内の対応する有効ビットがリセットされる。
【0061】
C)IFU/IEU制御インタフェース
IFU102とIEU104とを結ぶ制御インタフェースは制御バス126によって提供される。この制御バス126はPCロジック・ユニット270に接続され、複数の制御、アドレスおよび特殊データ・ラインから構成されている。割り込み要求と受信確認制御信号を制御ライン340を経由して渡すことにより、IFU102は割り込みオペレーションを通知し、IEU104との同期をとることができる。外部で発生した割り込み信号はライン292経由でロジック・ユニット270へ送られる。これを受けて、割り込み要求制御信号がライン340上に送出されると、IEU104は試行的に実行された命令をキャンセルする。割り込みの内容に関する情報は、割り込み情報ライン341を通してやりとりされる。IEU104がPCロジック・ユニット270によって判断された割り込みサービス・ルーチンのアドレスからプリフェッチされた命令の受信を開始する準備状態になると、IEU104はライン340上の割り込み受信確認制御信号を肯定する。IFU102によってプリフェッチされた割り込みサービス・ルーチンがそのあと開始される。
【0062】
IFIFO読取り(IFIFO RD)制御信号はIEU104から出力され、最も奥のマスタ・レジスタ224に存在する命令セットが実行を完了したことおよび次の命令セットが必要であることを通知する。この制御信号を受けると、PCロジック・ユニット270はIFIFOユニット264でIFIFOシフト・オペレーションを実行するようにIFIFO制御ロジック・ユニット272に指示する。
【0063】
PCインクリメント要求とサイズ値(PC INC/SIZE)は制御ライン344上に送出されて、現在のプログラム・カウンタ値を命令の対応するサイズ数だけ更新するようにPCロジック・ユニット270に指示する。これによりPCロジック・ユニット270は、現在のプログラム命令ストリーム中の最初の順序内実行命令のロケーションを正確に指した個所に実行プログラム・カウンタ(DPC)を維持することができる。
【0064】
ターゲット・アドレス(TARGET ADDR)はアドレス・ライン346を経由してPCロジック・ユニット270に返される。このターゲット・アドレスはIEU104のレジスタ・ファイルにストアされているデータによって決まるブランチ命令の仮想ターゲット・アドレスである。したがって、ターゲット・アドレスを計算するためにIEU104のオペレーションが必要である。
【0065】
制御フロー結果(CF RESULT)制御信号は制御ライン348を経由して、PCロジック・ユニット270へ送られて、現在保留されている条件付きブランチ命令が解決されたかどうか、その結果がブランチによるものなのか、ブランチによらないものなのかを示している。これらの制御信号に基づいて、PCロジック・ユニット270は、条件付きフロー命令の実行の結果として、プリフェッチ・バッファ260とIFIFOユニット264に置かれている命令セットのどれをキャンセルする必要があるかを判断することができる。
【0066】
いくつかのIEU命令リターン型制御信号(IEUリターン)が制御ライン350上を送出されて、IEU104によってある命令が実行されたことをIFU102に通知する。これらの命令には、プロシージャ命令からのリターン、トラップからのリターンおよびサブルーチン・コールからのリターンがある。トラップからのリターン命令はハードウェア割り込み処理ルーチンとソフトウェア・トラップ処理ルーチンで同じように使用される。サブルーチン・コールからのリターンもジャンプとリンク型コールと併用される。どの場合も、リターン制御信号は以前に割り込みがかけられた命令ストリームに対して命令フェッチ・オペレーションを再開するようにIFU102に通知するために送られる。これらの信号をIEU104から出すことにより、システム100の正確なオペレーションを維持することができる。「割り込みがかけられた」命令ストリームの再開はリターン命令の実行個所から行われる。
【0067】
現命令実行PCアドレス(現IF PC)はアドレス・バス352を経由してIEU104へ送られる。このアドレス値(DPC)はIEU104によって実行される正確な命令を指定している。つまり、IEU104が現在のIF PCアドレスを通過した命令を先に試行的に実行している間は、このアドレスは割り込み、例外その他に正確なマシンの状態が分かっていることが必要な事象の発生に対してアーキテクチャ100を正確に制御するために保持されていなければならない。現在実行中の命令ストリームの中の正確なマシンの状態を進めることが可能であるとIEU104が判断すると、PC Inc/Size信号がIFU102に送られ、即時に現在のIF PCアドレス値に反映される。
【0068】
最後に、アドレスおよび双方向データ・バス354は特殊レジスタのデータを転送するためのものである。このデータはIEU104によってIFU102内の特殊レジスタに入れられ、あるいはそこから読み取られるようにプログラムすることが可能である。特殊レジスタのデータは一般にIFU102が使用できるようにIEU104によってロードされ、あるいは計算される。
【0069】
D)PCロジック・ユニットの詳細
PC制御ユニット362、割り込み制御ユニット363、プリフェッチPC制御ユニット364および実行PC制御ユニット366を含むPCロジック・ユニット270の詳細図は図3に示されている。
【0070】
PC制御ユニット362はインタフェース・バス126を通してプリフェッチ制御ユニット266、IFIFO制御ロジック・ユニット272、およびIEU104から制御信号を受けて、プリフェッチおよび実行PC制御ユニット364、366に対してタイミング制御を行う。割り込み制御ユニット363はプリフェッチ・トラップ・アドレス・オフセットを判断してそれぞれのトラップ・タイプを処理する該当処理ルーチンを選択することを含めて、割り込みと例外の正確な管理を担当する。プリフェッチPC制御ユニット364は、特にトラップ処理とプロシージャ・ルーチン命令のフローのためのリターン・アドレスをストアすることを含めて、プリフェッチ・バッファ188、190、192をサポートするために必要なプログラム・カウンタの管理を担当する。このオペレーションをサポートするために、プリフェッチPC制御ユニット364は物理アドレス・バス・ライン324上のCCU PADDERアドレスとアドレスライン326上のVMU VMADDRアドレスを含むプリフェッチ仮想アドレスを生成することを担当する。その結果、プリフェッチPC制御ユニット364は現在のプリフェッチPC仮想アドレス値を保持することを担当する。
【0071】
プリフェッチ・オペレーションは一般に制御ライン316上を送出された制御信号を通してIFIFO制御ロジック・ユニット272によって開始される。これを受けて、PC制御ユニット362はいくつかの制御信号を生成して制御ライン372上に出力し、プリフェッチPC制御ユニットを動作させてアドレス・ライン324、326上にPADDRアドレスと、必要に応じてVMADDRアドレスを生成する。値が0から4までのインクリメント信号も制御ライン374上に送出される場合もあるが、これはPC制御ユニット362が現在のプリフェッチ・アドレスから命令セットのフェッチを再実行しているか、一連のプリフェッチ要求の中の2番目の要求に対して位置合わせを行っているか、プリフェッチのために次の全順次命令セットを選択しているか、によって決まる。最後に現在のプリフェッチ・アドレスPF_PCがバス370上に送出され、実行PC制御ユニット366へ渡される。
【0072】
新しいプリフェッチ・アドレスはいくつかのソースから発生する。アドレスの主要なソースはバス352経由で実行PC制御ユニット366から送出された現在のIF PCアドレスである。原理的には、IF PCアドレスからはリターン・アドレスが得られ、これは、初期コール、トラップまたはプロシージャ命令が現れたとき、プリフェッチPC制御ユニットによってあとで使用されるものである。IF PCアドレスは、これらの命令が現れるたびに、プリフェッチPC制御ユニット364内のレジスタにストアされる。このようにして、PC制御ユニット362は制御ライン350を通してIEUリターン信号を受けたとき、プリフェッチPC制御ユニット364内のリターン・アドレス・レジスタを選択して新しいプリフェッチ仮想アドレスを取り出すだけでよく、これによって元のプログラム命令ストリームを再開する。
【0073】
プリフェッチ・アドレスのもう一つのソースは実行PC制御ユニット366から相対ターゲット・アドレス・バス382を経由して、あるいはIEU104から絶対ターゲット・アドレス・バス346を経由して送出されたターゲット・アドレス値である。相対ターゲット・アドレスとは、実行PC制御ユニット366によっで直接に計算できるアドレスである。絶対ターゲット・アドレスは、これらのターゲット・アドレスがIEUレジスタ・ファイルに入っているデータに依存するので、IEU104に生成させる必要がある。ターゲット・アドレスはターゲット・アドレス・バス384を通ってプリフェッチPC制御ユニット364へ送られ、プリフェッチ仮想アドレスとして使用される。相対ターゲット・アドレスを計算する際、対応するブランチ命令のオペランド部分もIデコード・ユニット262からバス318のオペランド変位部分を経由して送られる。
【0074】
プリフェッチ仮想アドレスのもう1つのソースは、実行PC制御ユニット366である。リターン・アドレス・バス352′は、現在のIF PC値(DPC)をプリフェッチPC制御ユニット364へ転送するためのものである。
【0075】
このアドレスは、割り込み、トラップその他コールなどの制御フロー命令が命令ストリーム内に現れた個所でリターン・アドレスとして使用される。プリフェッチPC制御ユニット364は、新しい命令ストリームをプリフェッチするために解放される。PC制御ユニット362は、対応する割り込みまたはトラップ処理ルーチンまたはサブルーチンが実行されると、IEU104からライン350を経由してIEUリターン信号を受け取る。他方、PC制御ユニット362はライン372上のPFPC信号の一つを通して、およびライン350経由で送られてきて実行されたリターン命令のIDに基づいて、現在のリターン仮想アドレスを収めているレジスタを選択する。そのあと、このアドレスが使用されてPCロジック・ユニット270によるプリフェッチ・オペレーションを続行する。
【0076】
最後に、プリフェッチ仮想アドレスが取り出されるもう一つのソースは、特殊レジスタ・アドレスおよびデータ・バス354である。IEU104によって計算またはロードされたアドレス値、または少なくともベース・アドレス値はデータとしてバス354を経由してプリフェッチPC制御ユニット364へ転送される。ベース・アドレスはトラップ・アドレス・テーブル、高速トラップ・テーブル、およびベース・プロシージャ命令ディスパッチ・テーブルのアドレスを含んでいる。バス354を通して、プリフェッチおよびPC制御ユニット364、366内のレジスタの多くを読み取ることもできるので、マシンの状態の対応する側面をIEU104を通して処理することが可能である。
【0077】
実行PC制御ユニット366は、PC制御ユニット362の制御を受けて、現在のIF PCアドレス値を計算することを主な役割としている。この役割において、実行PC制御ユニット366は、PC制御ユニット362からExPC制御ライン378を経由して送られてきた制御信号と、制御ライン380を経由して送られてきたインクリメント/サイズ制御信号を受けて、IF PCアドレスを調整する。これらの制御信号は主に、ライン342経由で送られてきたIFIFO読取り制御信号とIEU104から制御ライン344経由で送られてきたPCインクリメント/サイズ値を受け取ると生成される。
1)PFおよびExPC制御/データ・ユニットの詳細
図4はプリフェッチおよび実行PC制御ユニット364、366の詳細ブロック図である。
これらのユニットは主にレジスタ、インクリメンタ(増分器)その他の類似部品、セレクタおよび加算器ブロックから構成されている。これらのブロック間のデータ転送を管理する制御は、PFPC制御ライン372、ExPC制御ライン378およびインクリメント制御ライン374、380を通してPC制御ユニット362によって行われる。説明を分かりやすくするために、図4のブロック図には、これらの個々の制御ラインは示されていない。しかし、これらの制御信号が以下に説明するように、これらのブロックへ送られることは勿論である。
【0078】
プリフェッチPC制御ユニット364の中心となるものはプリフェッチ・セレクタ(PF PC SEL)390であり、これは現プリフェッチ仮想アドレスの中央セレクタとして動作する。この現プリフェッチ・アドレスはプリフェッチ・セレクタ390から出力バス392を通ってインクリメンタ・ユニット394へ送られて、次のプリフェッチ・アドレスを生成する。この次のプリフェッチ・アドレスはインクリメンタ出力バス396を通ってレジスタMBUF PFnPC398、TBUF PFnPC400、およびEBUF PFnPC402の並列配列へ送られる。これらのレジスタ398、400、402は実効的には次の命令プリフェッチ・アドレスをストアしているが、本発明の好適実施例によれば別々のプリフェッチ・アドレスがMBUF188、TBUF190およびEBUF192に保持されている。MBUF、TBUFおよびEBUF PFnPCレジスタ398、400、402にストアされたプリフェッチ・アドレスはアドレス・バス404、408、410からプリフェッチ・セレクタ390へ渡される。したがって、PC制御ユニット362はプリフェッチ・レジスタ398、400、402の別の1つをプリフェッチ・セレクタが選択することを指示することだけでプリフェッチ命令ストリームの即時切り換えを指示することができる。ストリームの中の次の命令セットをプリフェッチするために、そのアドレス値がインクリメンタ394によってインクリメントされると、その値がプリフェッチ・アドレス398、400、402のうち該当するレジスタへ返却される。もう1つの並列レジスタ配列は簡略化のため単一の特殊レジスタ・ブロック412と示されているが、この配列はいくつかの特殊アドレスをストアするためのものである。レジスタ・ブロック412はトラップ・リターン・アドレス・レジスタ、プロシージャ命令リターン・アドレス・レジスタ、プロシージャ命令ディスパッチ・テーブル・ベース・アドレス・レジスタ、トラップ・ルーチン・ディスパッチ・テーブル・ベース・アドレス・レジスタ、および高速トラップ・ルーチン・ベース・アドレス・レジスタから構成されている。PC制御ユニット362の制御を受けて、これらのリターン・アドレス・レジスタはバス352′を通して現IF PC実行アドレスを受け入れることができる。レジスタ・ブロック412内のリターンおよびベース・アドレス・レジスタにストアされたアドレス値はIEU104から独立して読み書きすることができる。レジスタが選択され、値が特殊レジスタ・アドレスおよびデータ・バス354を経由して転送される。
【0079】
特殊レジスタ・ブロック412内のセレクタはPC制御ユニット362によって制御され、レジスタ・ブロック412のレジスタにストアされたアドレスを特殊レジスタ出力バス416上に送出してプリフェッチ・セレクタ390へ渡すことができる。リターン・アドレスは直接にプリフェッチ・セレクタ390へ渡される。ベース・アドレス値は割り込み制御ユニット363から割り込みオフセット・バス373経由で送られてきたオフセット値と結合される。ソースからバス373′経由でプリフェッチ・セレクタ390へ渡された特殊アドレスは、新しいプリフェッチ命令ストリームの初期アドレスとして使用され、そのあとインクリメンタ394とプリフェッチ・レジスタ398、400、402の1つを通るアドレスのインクリメント・ループを続行することができる。
【0080】
プリフェッチ・セレクタ390へ送られるアドレスのもう1つのソースは、ターゲット・アドレス・レジスタ・ブロック414内のレジスタ配列である。ブロック414内のターゲット・レジスタには好適実施例によれば8つの潜在的ブランチ・ターゲット・アドレスがストアされる。これらの8つの記憶ロケーションはIFIFOユニット264の最下位の2マスタ・レジスタ216、224に保持されている8つの潜在的に実行可能な命令に論理的に対応している。これらの命令のどれでもが、および潜在的にはすべてが条件付きブランチ命令となり得るので、ターゲット・レジスタ・ブロック414は予め計算されたターゲット・アドレスをストアしておくので、TBUF190を通してターゲット命令ストリームをプリフェッチするために使用するのを待たせることかできる。特に、PC制御ユニット362がターゲット命令ストリームのプリフェッチを即時に開始するように条件付きブランチ・バイアスがセットされると、ターゲット・アドレスはターゲット・レジスタ・ブロック414からアドレス・バス418を経由してプリフェッチ・セレクタ390へ送られる。インクリメンタ394によってインクリメントされたあと、アドレスはTBUF PFnPC400へ戻されてストアされ、ターゲット命令ストリームをあとでプリフェッチするオペレーションで使用される。別のブランチ命令がターゲット命令ストリームに現れると、その2番目のブランチのターゲット・アドレスが計算され、最初の条件付きブランチ命令が解決されて使用されるまでの間、ターゲット・レジスタ配列414にストアされている。
【0081】
ターゲット・レジスタ・ブロック414にストアされた計算で求めたターゲット・アドレスは実行PC制御ユニット366内のターゲット・アドレス計算ユニットからアドレス・ライン382を経由して、あるいはIEU104から絶対ターゲット・アドレス・バス346を経由して転送される。
【0082】
プリフェッチPF PCセレクタ390を通って転送されるアドレス値は、完全な32ビット仮想アドレス値である。ページ・サイズは本発明の好適実施例では16Kバイトに固定されており、最大ページ・オフセット・アドレス値〔13:0〕に対応している。したがって、現プリフェッチ仮想ページ・アドレス〔27:14〕に変化がなければVMUページの変換は不要である。プリフェッチ・セレクタ390内のコンパレータはそのことを検出する。VMU変換要求信号(VMXLAT)は、インクリメントがページ境界をこえて行われたか、制御のフローが別のページ・アドレスへブランチしたために、仮想ページ・アドレスが変化したとき、ライン372′を経由してPC制御ユニット362へ送られる。他方、PC制御ユニット362はライン324上のCCU PADDRのほかに、VM VADDRアドレスをバッファ・ユニット420からライン326上に送出し、該当の制御信号をVMU制御ライン326、328、330上に送出して、VMU仮想ページから物理ページへの変換を得るように指示する。ページ変換が必要でない場合は、現物理ページ・アドレス〔31:14〕はバス122上のVMUユニット108の出力側のラッチによって保持される。
【0083】
バス370上に送出された仮想アドレスはインクリメント制御ライン374から送られてきた信号を受けて、インクリメンタ394によってインクリメントされる。インクリメンタ394は、次の命令セットを選択するために、命令セットを表す値(4命令または16バイト)だけインクリメントする。CCUユニット106へ渡されるプリフェッチ・アドレスの下位4ビットはゼロになっている。したがって、最初のブランチ・ターゲット命令セット内の実際のターゲット・アドレス命令は最初の命令ロケーションに置かれていない場合がある。しかしアドレスの下位4ビットはPC制御ユニット362へ送られるので、最初のブランチ命令のロケーションをIFU102が判別することができる。ターゲット・アドレスの下位ビット〔3:2〕を2ビット・バッファ・アドレスとして返して、位置合わせされていないターゲット命令セットから実行すべき正しい最初の命令を選択するための検出と処理は、新しい命令ストリーム、つまり、命令ストリームの中の最初の非順次命令セット・アドレスの最初のプリフェッチのときだけ行われる。命令セットの最初の命令のアドレスと命令セットをプリフェッチする際に使用されるプリフェッチ・アドレスとの間の非位置合わせの関係は、現順次命令ストリームが存続している間無視することができ、そのあとも無視される。
【0084】
図4に示した機能ブロックの残りの部分は実行PC制御ユニット366を構成している。本発明の好適実施例によれば、実行PC制御ユニット366は独立に機能するプログラム・カウンタ・インクリメンタを独自に備えている。この機能の中心となるのは実行セレクタ(DPC SEL)430である。実行セレクタ430からアドレス・バス352′上に出力されるアドレスはアーキテクチャ100の現在の実行アドレス(DPC)である。この実行アドレスは加算ユニット434へ送られる。ライン380上に送出されたインクリメント/サイズ制御信号は1から4までの命令インクリメント値を指定しており、この値は加算ユニット434によってセレクタ430から得たアドレスに加えられる。加算器432が出力ラッチ機能を実行するたびに、インクリメントされた次の実行アドレスがアドレス・ライン436を経て直接に実行セレクタ430に返され、次の命令インクリメント・サイクルで使用される。
【0085】
初期実行アドレスとその後の全ての新しいストリーム・アドレスは、アドレスライン440を経由して新ストリーム・レジスタ・ユニット438から得られる。新ストリーム・レジスタ・ユニット438はプリフェッチ・セレクタ390からPFPCアドレス・バス370を経由して送られてきた新しい現プリフェッチ・アドレスを直接にアドレス・バス440に渡すことも、後で使用するためにストアしておくこともできる。つまり、プリフェッチPC制御ユニット364が新しい仮想アドレスからプリフェッチを開始することを判断した場合は、新しいストリーム・アドレスは新ストリーム・レジスタ・ユニット438によって一時的にストアされる。PC制御ユニット362は、プリフェッチと実行インクリメントの両サイクルに関与することによって、実行アドレスが新命令ストリームを開始した制御フロー命令に対応するプログラム実行箇所までに達するまで新ストリーム・アドレスを新ストリーム・レジスタ438に置いておく。新ストリーム・アドレスはそのあと新ストリーム・レジスタ・ユニット438から出力されて、実行セレクタ430へ送られ、新命令ストリーム内の実行アドレスを独立して生成することを開始する。
【0086】
本発明の好適実施例によれば、新ストリーム・レジスタ・ユニット438は2つの制御フロー命令ターゲット・アドレスをバッファリングする機能を備えている。新ストリーム・アドレスを即時に取り出すことにより、殆ど待ち時間がなく実行PC制御ユニット366を現実行アドレス列の生成から新実行アドレス・ストリーム列の生成に切り換えることができる。
【0087】
最後にIF PCセレクタ(IF PC SEL)442は最終的に現IF PCアドレスをアドレス・バス352上に送出してIEU104へ送るためのものである。IF PCセレクタ442への入力は実行セレクタ430または新ストリーム・レジスタ・ユニット438から得た出力アドレスである。ほとんどの場合、IF PCセレクタ442はPC制御ユニット362の指示を受けて、実行セレクタ430から出力された実行アドレスを選択する。しかし、新命令ストリームの実行開始のために使用される新仮想アドレスへ切り替える際の待ち時間をさらに短縮するために、新ストリーム・レジスタ・ユニット438からの選択したアドレスをバイパスして、バス440経由で直接にIF PCセレクタ442へ送り、現IF PC実行アドレスとして得ることができる。
【0088】
実行PC制御ユニット366は、全ての相対ブランチ・ターゲット・アドレスを計算する機能を備えている。現実行点アドレスと新ストリーム・レジスタ・ユニット438から得たアドレスは、アドレス・バス352′、440を経由して制御フロー・セレクタ(CF PC)446に渡される。その結果、PC制御ユニット362は大幅な柔軟性を持ってターゲット・アドレス計算の基となる正確な初期アドレスを選択することができる。
【0089】
この初期アドレス、つまり、ベースアドレスはアドレス・バス454を経由してターゲット・アドレスALU450へ送られる。ターゲットALU450への入力となるもう1つの値は、制御フロー変位計算ユニット452からバス458経由で送られてくる。相対ブランチ命令は、アーキテクチャ100の好適実施例によれば新相対ターゲット・アドレスを指定した即値モード定数の形態をした変位値を含んでいる。制御フロー変位計算ユニット452はIデコード・ユニットのオペランド出力バス318から初めて得たオペランド変位値を受け取る。最後に、オフセット・レジスタ値はライン456を経由してターゲット・アドレスALU450へ送られる。オフセット・レジスタ448はPC制御ユニット362から制御ライン378′を経由してオフセット値を受け取る。オフセット値の大きさはアドレス・ライン454上を送られるベース・アドレスから相対ターゲット・アドレスを計算するときの現ブランチ命令のアドレスまでのアドレス・オフセットに基づいてPC制御ユニット362によって判断される。つまり、PC制御ユニット362は、IFIFO制御ロジック・ユニット272を制御することによって、現実行点アドレスの命令(CP PCによって要求された)とIデコード・ユニット262によって現在処理中の、従ってPCロジック・ユニット270によって処理中の命令を分離している命令の個数を追跡して、その命令のターゲット・アドレスを判断する。
【0090】
相対ターゲット・アドレスがターゲット・アドレスALU450によって計算されると、そのターゲット・アドレスはアドレス・バス382を通して、対応するターゲット・レジスタ414に書き込まれる。
【0091】
2)PC制御アルゴリズムの詳細
1.メイン命令ストリームの処理:MBUF PFnPC
1.1 次のメイン・フロープリフェッチ命令のアドレスはMBUF PFnPCにストアされる
1.2 制御フロー命令がないときは、32ビット・インクリメンタはMBUF PFnPCに入っているアドレス値を各プリフェッチ・サイクルごとに16バイト(x16)だけ調整する
1.3 無条件制御フロー命令がIデコードされると、命令セットに続いてフェッチされた全てのプリフェッチ・データはフラッシュされ、MBUF PFnPCにはターゲット・レジスタ・ユニット、PF PCセレクタおよびインクリメンタを通して、新しいメイン命令ストリーム・アドレスがロードされる。新しいアドレスは新ストリーム・レジスタにもストアされる
1.3.1 相対無条件制御フローのターゲット・アドレスはIFUが保持しているレジスタ・データからと制御フロー命令の後に置かれたオペランド・データからIFUによって計算される
1.3.2 絶対無条件制御フローのターゲット・アドレスはレジスタ基準値、ベース・レジスタ値、及びインデックス・レジスタ値からIEUによって最終的に計算される
1.3.2.1 命令プリフェッチ・サイクルは絶対アドレス制御フロー命令に対してターゲット・アドレスがIEUから返されるまで停止する。命令実行サイクルは続行される
1.4 無条件制御フロー命令から得た次のメイン・フロー・プリフェッチ命令のアドレスはバイパスされて、ターゲット・アドレス・レジスタ・ユニット、PF_PCセレクタおよびインクリメンタを経由して送られ、最終的にMBUF PFnPCにストアされ、プリフェッチは 1.2から続けられる
2.プロシージャ命令ストリームの処理:EBUF PFnPC
2.1 プロシージャ命令はメインまたはブランチ・ターゲット命令ストリームの中でプリフェッチされる。ターゲット・ストリームの中でフェッチされた場合は条件付き制御フェッチ命令が解決され、プロシージャ命令がMBUFへ転送されるまでプロシージャ・ストリームのプリフェッチを停止する。これにより、プロシージャ命令ストリームに現れた条件付き制御フローを処理する際にTBUFを使用できる
2.1.1 プロシージャ命令はプロシージャ命令ストリームの中においてはならない。つまり、プロシージャ命令はネストしてはならない。プロシージャ命令からリターンすると、実行は主命令ストリームに戻る。ネストを可能にするためには、ネストしたプロシージャ命令から別の専用リターンが必要である。アーキテクチャはこの種の命令を容易にサポートできるが、プロシージャ命令をネストする機能があっても、アーキテクチャの性能が向上する見込みはない
2.1.2 メイン命令ストリームにおいては、第1及び第2条件付き制御フロー命令を含む命令セットを含んでいるプロシージャ命令ストリームは第1命令セットの中の条件付き制御フロー命令が解決し、第2条件付き制御フロー命令セットがMBUFへ転送されるまで第2条件付き制御フロー命令セットに対してプリフェッチを停止する
2.2 プロシージャ命令は、命令の即値モード・オペランド・フィールドとして含まれている相対オフセットによって、プロシージャ・ルーチンの開始アドレスを示している
2.2.1 プロシージャ命令から得られたオフセット値はIFUに維持されているプロシージャ・ベース・アドレス(PBR)レジスタに入っている値と結合される。このPBRレジスタは、特殊レジスタの移動命令が実行されると、特殊アドレスおよびデータバスを通して読み書き可能である
2.3 プロシージャ命令が現れると、次のメイン命令ストリームIF PCアドレスはDPCリターン・アドレス・レジスタにストアされ、プロセッサ・ステータス・レジスタ(PSR)内のプロシージャ進行中ビット(procedure−in−progress bit)がセットされる
2.4 プロシージャ・ストリームの開始アドレスは、PBRレジスタ(プロシージャ命令オペランド・オフセット値を加えて)からPF PCセレクタへ送られる
2.5 プロシージャ・ストリームの開始アドレスは、新ストリーム・レジスタ・ユニットとインクリメンタへ同時に送られ、(x16)だけインクリメントする。インクリメントされたアドレスはそのあとEBUFPFnPCにストアされる
2.6 制御フロー命令がないと、32ビット・インクリメンタは各プロシージャ命令プリフェッチ・サイクルごとにEBUF PFnPCに入っているアドレス値を、(x16)だけ調整する
2.7 無条件制御フロー命令がIデコードされると、ブランチ命令のあとにフェッチされた全てのプリフェッチ・データはフラッシュされ、EBUF PFnPCには新しいプロシージャ命令ストリーム・アドレスがロードされる
2.7.1 相対無条件制御フロー命令のターゲット・アドレスはIFUに保持されているレジスタデータからと制御フロー命令の即値モード・オペランド・フィールド内に入っているオペランド・データとからIFUによって計算される
2.7.2 絶対無条件ブランチのターゲット・アドレスはレジスタ基準値、ベース・レジスタ値およびインデックス・レジスタ値からIEUによって計算される
2.7.2.1 命令プリフェッチ・サイクルは絶対アドレス・ブランチに対してターゲット・アドレスがIEUから返されるまで停止する。実行サイクルは続行される
2.8 次のプロシージャ・プリフェッチ命令セットのアドレスはEBUF PFnPCにストアされプリフェッチは1.2から続けられる
2.9 プロシージャ命令からのリターンがIデコードされると、プリフェッチがuPCレジスタにストアされているアドレスから続けられ、そのあと(x16)だけインクリメントされ、あとでプリフェッチするためにMBUF PFnPCレジスタに返される
3 ブランチ命令ストリームの処理:TBUF PFnPC
3.1 MBUF命令ストリームの中の最初の命令セットに現れた条件つき制御フロー命令がIデコードされると、ターゲット・アドレスはそのターゲット・アドレスが現アドレスに対する相対アドレスならばIFUによって絶対アドレスならばIEUによって判断される
3.2 「ブランチを行うバイアス」の場合:
3.2.1 ブランチが絶対アドレスに行われる場合はターゲット・アドレスがIEUから返されるまで命令プリフェッチ・サイクルを停止する。実行サイクルは続行される
3.2.2 PF_PCセレクタとインクリメンタを経由して転送することによってブランチ・ターゲット・アドレスをT BUF PFnPCにロードする
3.2.3 ターゲット命令ストリームがプリフェッチされてTBUFに入れられたあとで実行するためにIFIFOに送られる。IFIFOとTBUFが一杯になると、プリフェッチを停止する
3.2.4 32ビット・インクリメンタは各プリフェッチ・サイクルごとにTBUF PFnPCに入っているアドレス値を(x16)だけ調整する
3.2.5 ターゲット命令ストリーム内の2番目の命令セットに現れた条件付き制御フロー命令がIデコードされるとプリフェッチ・オペレーションを、第1の(主)セット内の全ての条件付きブランチ命令が解決されるまで停止する(しかし、先に進んで、相対ターゲット・アドレスを計算しターゲット・レジスタにストアする)
3.2.6 最初の命令セット内の条件付きブランチを「行う」と解釈された場合:
3.2.6.1 ブランチのソースがプロシージャ進行中ビットから判断されたEBUF命令セットであったときはMBUFまたはEBUFに入っている最初の条件付きフロー命令セットのあとに置かれた命令セットをフラッシュする
3.2.6.2 プロシージャ進行中ビットの状態に基づいて、TBUF PFnPC値をMBUF PFnPCまたはEBUFへ転送する
3.2.6.3 プロシージャ進行中ビットの状態に基づいて、プリフェッチしたTBUF命令をMBUFまたはEBUFへ転送する
3.2.6.4 2番目の条件付きブランチ命令セットがIデコードされていなければ、プロシージャ進行中ビットの状態に基づいて、MBUFまたはEBUFプリフェッチ・オペレーションを続行する
3.2.6.5 2番目の条件付きブランチ命令がIデコードされていれば、その命令の処理を開始する(ステップ3.3.1へ進む)
3.2.7 最初の条件付き命令セットの中の命令に対する条件付き制御を「行わない」と解釈された場合:
3.2.7.1 ターゲット命令ストリームからの命令セットと命令のIFIFOとIEUをフラッシュする
3.2.7.2 MBUFまたはEBUFプリフェッチ・オペレーションを続行する
3.3 「ブランチが行われないバイアス」の場合:
3.3.1 命令をプリフェッチしてMBUFに入れることを停止する。実行サイクルを続ける
3.3.1.1 最初の条件付き命令セットの中の条件付き制御フロー命令が相対ならばターゲット・アドレスを計算し、ターゲット・レジスタにストアする
3.3.1.2 最初の条件付き命令セットの中の条件付き制御フロー命令が絶対ならば、IEUがターゲット・アドレスを計算して、そのアドレスをターゲット・レジスタに返すまで待つ
3.3.1.3 2番目の命令セットの中の条件付き制御フロー命令のIデコードが行われると、最初の条件付き命令セットの中の条件付き制御フロー命令が解決されるまでプリフェッチ・オペレーションを停止する
3.3.2 最初の条件付きブランチのターゲット・アドレスが計算されると、TBUF PFnPCにロードし、メイン命令ストリームの実行と並行して命令をプリフェッチしてTBUFに入れることを開始する。ターゲット命令セットはロードされない(したがって、ブランチ・ターゲット命令は最初の命令セット中の各条件付き制御フロー命令が解決されたとき用意されている)
3.3.3 最初のセットの中の条件つき制御フロー命令が「行われる」と解釈された場合:
3.3.3.1 ブランチのソースがEBUF命令ストリームであるとプロシージャ進行中ビットの状態から判断されると、MBUFまたはEBUFをフラッシュし、最初の条件付きブランチ命令セットのあとに置かれたメイン・ストリームからの命令のIFIFOとIEUをフラッシュする
3.3.3.2 プロシージャ進行中ビットの状態から判断した通りに、TBUF PFnPC値をMBUF PFnPCまたはEBUFへ転送する
3.3.3.3 プロシージャ進行中ビットの状態から判断した通りに、プリフェッチしたTBUF命令をMBUFまたはEBUFへ転送する
3.3.3.4 プロシージャ進行中ビットの状態から判断した通りに、MBUFまたはEBUFプリフェッチ・オペレーションを続行する
3.3.4 最初のセット内の条件付き制御フロー命令が「行われない」と解析された場合:
3.3.4.1 ターゲット命令ストリームからの命令セットのTBUFをフラッシュする
3.3.4.2 2番目の条件付きブランチ命令がIデコードされなかった場合は、プロシージャ進行中ビットの状態から判断した通りに、MBUFまたはEBUFプリフェッチ・オペレーションを続ける
3.3.4.3 2番目の条件付きブランチ命令がIデコードされた場合は、その命令の処理を開始する(ステップ3.4.1へ進む)
4 割り込み、例外およびトラップ命令
4.1 トラップは広義には次のものからなる
4.1.1 ハードウェア割り込み
4.1.1.1 非同期(外部)発生事象、内部または外部
4.1.1.2 いつでも発生し、持続する
4.1.1.3 アトミック(通常)命令間で優先順にサービスを受け、プロシージャ命令を一時中止する
4.1.1.4 割り込みハンドラの開始アドレスはトラップ・ハンドラ入り口点の事前定義テーブルまでのベクトル番号オフセットとして判断される
4.1.2 ソフトウェア・トラップ命令
4.1.2.1 非同期(外部)発生命令
4.1.2.2 例外として実行されるソフトウェア命令
4.1.2.3 トラップ・ハンドラの開始アドレスは、TBRまたはFTBレジスタにストアされたベース・アドレス値と結合されたトラップ番号オフセットから判断される
4.1.3 例外
4.1.3.1 命令と同期して発生する事象
4.1.3.2 命令の実行時に処理される
4.1.3.3 例外の結果により、期待された命令とすべての後続実行命令はキャンセルされる
4.1.3.4 例外ハンドラの開始アドレスは、トラップ・ハ ンドラ入り口点の事前定義テーブルまでのトラップ番号オフセットから判断される
4.2 トラップ命令ストリーム・オペレーションはそのとき実行中の命令ストリームとインラインで実行される
4.3 トラップ処理ルーチンが次の割り込み可能トラップの前にxPCアドレスをセーブすることを条件に、トラップはネストが可能である。そうしないと、現トラップ・オペレーションの完了前にトラップが現れると、マシンの状態が壊れることになる
5 トラップ命令ストリームの処理:xPC
5.1 トラップが現れた時:
5.1.1 非同期割り込みが起こると、そのとき実行中の命令は一時中断される
5.1.2 同期例外が起こると、例外を起こした命令が実行されるとトラップが処理される
5.2 トラップが処理されたとき:
5.2.1 割り込みは禁止される
5.2.2 現在のIF PCアドレスはxPCトラップ状態リターン・アドレス・レジスタにストアされる
5.2.3 IF PCアドレスとそのあとのアドレスにあるIFIFOとMBUFプリフェッチ・バッファはフラッシュされる
5.2.4 アドレスIF PCと、そのあとのアドレスの実行された命令と、その命令の結果はIEUからフラッシュされる
5.2.5 MBUF PFnPCに、トラップ・ハンドラ・ルーチンのアドレスがロードされる
5.2.5.1 トラップのソースは特殊レジスタ群に入っているトラップ番号によって判断されたトラップ・タイプに応じてTBRまたはFTBレジスタをアドレス指定している
5.2.6 命令がプリフェッチされ、通常通りに実行するためにIFIFOに入れられる
5.2.7 トラップ・ルーチンの命令がそのあと実行される
5.2.7.1 トラップ処理ルーチンはxPCアドレスを所定のロケーションにセーブする機能を備え、割り込みを再び可能にする。xPCレジスタは特殊レジスタ移動命令で、および特殊レジスタ・アドレスとデータ・バスを通して読み書きされる
5.2.8 トラップ命令からのリターンを実行することによってトラップ状態から抜け出る必要がある
5.2.8.1 以前にセーブしていた時はxPCアドレスをその事前定義ロケーションから復元してからトラップ命令からのリターンを実行する必要がある
5.3 トラップ命令からのリターンが実行されたとき:
5.3.1 割り込みが可能にされる
5.3.2 プロシージャ進行中ビットの状態から判断したとおりに、xPCアドレスが現在の命令ストリーム・レジスタMBUFまたはEBUF PFnPCに戻され、プリフェッチがそのアドレスから続行される
5.3.3 xPCアドレスが新ストリーム・レジスタを通してIFPCレジスタに復元される。
【0092】
E)割り込みおよび例外の処理
1)概要
割り込みと例外は、それらが可能にされている限り、プロセッサがメイン命令ストリームから実行されているか、プロシージャ命令ストリームから実行されているか、プロシージャ命令ストリームから実行されているかに関係なく処理される。割り込みと例外は優先順にサービスが受けられ、クリアされるまで持続している。トラップ・ハンドラの開始アドレスは下述するように、トラップ・ハンドラの事前定義テーブルまでのベクトル番号オフセットとして判断される。
【0093】
割り込みと例外は、本実施例では基本的に2つのタイプがある。すなわち、命令ストリームの中の特定の命令と同期して引き起こされるものと、命令ストリームの中の特定の命令と非同期に引き起こされるものである。割り込み、例外、トラップおよびフォールト(fault)の用語は、本明細書では相互に使い分けて用いられている。非同期割り込みは、命令ストリームと同期して動作していない、オン・チップまたはオフ・チップのハードウエアによって引き起こされる。例えば、オン・チップ・タイマ/カウンタによって引き起こされる割り込みは、オフ・チップから引き起こされるハードウエア割り込みやマスク不能割り込み(non−maskable interrupt)(NMI)と同じように、非同期である。非同期割り込みが引き起こされると、プロセッサ・コンテキストが凍結され(frozen)、すべてのトラップが割り込み禁止され、ある種のプロセッサ状況情報がストアされ、プロセッサは受け取った特定の割り込みに対応する割り込みハンドラにベクトルを向ける。割り込みハンドラがその処理を完了すると、プログラム実行は割り込み発生時に実行中であったストリームの中の最後に完了した命令のあとに置かれた命令から続けられる。
【0094】
同期例外とは、命令ストリームの中の命令と同期して引き起こされる例外である。これらの例外は特定の命令に関連して引き起こされ、問題の命令が実行されるまで保留される。好適実施例では、同期例外はプリフェッチ時、命令デコード時、または命令実行時に引き起こされる。プリフェッチ例外には、例えばTLB不一致、その他のVMU例外がある。デコード例外は、例えばデコード中の命令が違法命令であるか、プロセッサの現特権レベル(privilege level)に一致していないと引き起こされる。実行例外は、例えばゼロによる除算といった算術演算エラーが原因で引き起こされる。これらの例外が起こると、好適実施例では例外を引き起こした特定命令と例外とを対応づけ、その命令が退避(retire)されるときまでその状態が維持される。その時点で、以前に完了した命令がすべて退避され、例外を引き起こした命令からの試行的結果があれば、試行的に実行された後続の命令の試行的結果と同じようにフラッシュされる。そのあと、その命令で引き起こされた最高優先度例外に対応する例外ハンドラに制御が渡される。
【0095】
ソフトウェア・トラップ命令はCF DET274(図2)によってIデコード・ステージで検出され、無条件コール命令その他の同期トラップと同じように処理される。つまり、ターゲット・アドレスが計算され、プリフェッチはそのときのプリフェッチ待ち行列(EBUFまたはMBUF)まで続けられる。これと同時に、その例外は命令と対応づけられて記録され、命令が退避されるとき処理される。他のタイプの同期例外はすべて、例外を引き起こした特定命令と対応づけられて記録され、累積されるだけで実行時に処理される。
【0096】
2)非同期割り込み:
非同期割り込みは、割り込みライン292を通してPCロジック・ユニット270に通知される。図3に示すように、これらのラインはPCロジック・ユニット270内の割り込みロジック・ユニット363に通知するためのもので、NMIライン、IRQラインおよび1組の割り込みレベル・ライン(LVL)からなっている。NMIラインはマスク不能割り込みを通知し、外部ソースを起点としている。これは、ハードウエア・リセットを除き最高優先度割り込みである。IRQラインも外部ソースを起点としており、外部デバイスがハードウエア割り込みをいつ要求したかを通知する。好適実施例では、外部から起こされるハードウエア割り込みは最高32個までユーザが定義することができ、割り込みを要求した特定外部デバイスは割り込みレベル・ライン(LVL)上に割り込み番号(0−31)を送出する。メモリ・エラー・ラインはMCU110によってアクティベート(活性化)され、様々な種類のメモリ・エラーを通知する。その他の非同期割り込みライン(図示せず)も割り込みロジック・ユニット363に通知するために設けられている。これらには、タイマ/カウンタ割り込み、メモリ入出力(I/O)エラー割り込み、マシン・チェック割り込み、およびパフォーマンス・モニタ割り込みを要求するためのラインがある。
【0097】
非同期割り込みの各々は下述する同期例外と同様に対応する事前定義トラップ番号が関連付けられている。これらのトラップ番号は32個が32個のハードウエア割り込みレベルと関連付けられている。これらのトラップ番号のテーブルは割り込みロジック・ユニット363に維持されている。一般にトラップ番号が大きくなると、トラップの優先度が高くなる。
【0098】
非同期割り込みの一つが割り込みロジック・ユニット363に通知されると、割り込み制御ユニット363は割り込み要求をINT REQ/ACKライン340を経由してIEU104へ送出する。また、割り込み制御ユニット363はプリフェッチ一時中止信号をライン343を経由してPC制御ユニット362へ送信し、PC制御ユニット362に命令をプリフェッチすることを中止させる。IEU104はそのときの実行中の命令を全てキャンセルし、すべての試行的結果を中止するか、一部またはすべての命令を完了させる。好適実施例では、そのとき実行中の命令をすべてキャンセルすることによって、非同期割り込みに対する応答を高速化している。いずれの場合も、実行PC制御ユニット366内のDPCは、IEU104が割り込みの受信を確認する前に、最後に完了し、退避された命令に対応するように更新される。プリフェッチされて、MBUF、EBUF、TBUFおよびIFIFO264に置かれている他の命令もすべてキャンセルされる。
【0099】
IEU104は割り込みハンドラから割り込みを受け取る準備状態にあるときだけ、割り込み受信確認信号をINT REQ/ACKライン340を経由して、割り込み制御ユニット363へ送り返す。この信号を受け取ると、割り込み制御ユニット363は、以下で説明するように、該当するトラップ・ハンドラにディスパッチする。
【0100】
3)同期例外
同期例外の場合は、割り込み制御ユニット363は各命令セットごとに4個が1組の内部例外ビット(図示せず)をもっており、各ビットはセット内の各命令に対応づけられている。割り込み制御ユニット363は各命令で見つかったとき、通知するトラップ番号も維持している。
【0101】
特定の命令セットがプリフェッチされている途中で、VMUがTLB不一致または別のVMU例外を通知するとこの情報はPCロジック・ユニット270へ、特に割り込み制御ユニット363へVMU制御ライン332、334を経由して送られる。割り込み制御ユニット363は、この信号を受信すると、以後のプリフェッチを一時中止するようにライン343を経由して、PC制御ユニット362に通知する。これと同時に、割り込み制御ユニット363は、命令セットが送られる先のプリフェッチ・バッファに関連するVM MissまたはVM Excpビットのどちらか該当する方をセットする。そのあと、割り込み制御ユニット363は命令セットの中の命令のどれも有効でないので、その命令セットに対応する4個の内部例外標識ビットを全部セットし、問題を起こした命令セットの中の4命令の各々に対応して受信した特定例外のトラップ番号をストアする。問題のある命令より前の命令のシフトと実行は、問題の命令セットがIFIFO264内で最低レベルに達するまで通常通りに続行される。
【0102】
同様に、プリフェッチ・バッファ260、Iデコート・ユニット262またはIFIFO264を通して命令をシフトしている途中で他の同期例外が検出されると、この情報も割り込み制御ユニット363へ送られ、ユニット363は例外を引き起こした命令に対応する内部例外標識ビットをセットし、その例外に対応するトラップ番号をストアする。プリフェッチ同期例外の場合と同じように、問題を起こした命令より前の命令のシフトと実行は、問題の命令セットがIFIFO264内で最低レベルに達するまで通常通りに続行される。
【0103】
好適実施例では、プリフェッチ・バッファ260、Iデコード・ユニット262またはIFIFO264を通して命令をシフトしている途中で検出される例外は、ソフトウェア・トラップ命令の1タイプだけである。ソフトウェア・トラップ命令は、CF_DETユニット274によってIデコード・ステージで検出される。一部の実施例では他の形態の同期例外がIデコード・ステージで検出されるが、他の同期例外の検出は、命令が実行ユニット104に到着するまで待つようにするのが好ましい。このようにすれば、特権命令を処理すると起こるようなある種の例外が、命令が実効的に順序内で実行される前に変化する恐れのあるプロセッサ状態に基づいて通知されるのが防止される。違法命令のように、プロセッサ状態に左右されない例外はIデコード・ステージで検出可能であるが、すべての実行前同期例外(VMU例外は別として)を同じロジックで検出するようにすれば、最低限のハードウエアですむことになる。また、そのような例外の処理は時間を重視することがめったにないので、命令が実行ユニット104に届くまでの待ちによる時間浪費もない。
【0104】
上述したように、ソフトウェア・トラップ命令は、CF DETユニット274によってIデコード・ステージで検出される。割り込みロジック・ユニット363内のその命令に対応する内部例外標識ビットはセットされ、0から127までの番号で、ソフトウェア・トラップ命令の即値モード・フィールドに指定できるソフトウェア・トラップ番号はトラップ命令に対応付けられてストアされる。しかし、プリフェッチ同期例外と異なり、ソフトウェア・トラップは制御フロー命令だけでなく、同期例外としても扱われるので、割り込み制御ユニット363は、ソフトウェア・トラップ命令が検出されたときプリフェッチを一時中止するようにPC制御ユニット362に通知しない。その代わりに、命令がIFIFO264を通してシフトされるのと同時にIFU102はトラップ・ハンドラをプリフェッチしてMBUF命令ストリーム・バッファに入れる。
【0105】
命令セットがIFIFO264の最低レベルまで達すると、割り込みロジック・ユニット363はその命令セットの例外標識ビットを4ビット・ベクトルとしてSYNCH INT INFOライン341経由でIEU104へ送り、命令セットの中で同期例外の発生源とすでに判断されていた命令があれば、どの命令であるかを通知する。IEU104は即時に応答しないで、命令セットの中の全ての命令が通常の方法でスケジュールされるようにする。整数算術演算例外と言った別の例外は、実行時に引き起こされる場合がある。特権命令が実行されたために起こる例外のように、マシンの現在状態に左右される例外もこの時点で検出され、マシンの状態が命令ストリーム内の以前の全ての命令に対して最新となるようにするために、PSRに影響を与える可能性のあるすべての命令(特殊な移動やトラップ命令からのリターンなど)は強制的に順序内で実行される。なんらかの同期命令の発生源となった命令が退避される直前にあるときだけ、例外が起こったことが割り込みロジック・ユニット363に通知される。
【0106】
IEU104は試行的に実行され、同期例外を引き起こした最初の命令に先行する命令に現れた全ての命令を退避し、試行的に実行され、命令ストリームにそのあとに現れた命令からの試行的結果をフラッシュする。例外を引き起こした特定の命令は、トラップから戻ると再実行されるのが普通であるので、この命令もフラッシュされる。そのあと、実行PC制御ユニット366内のIF PCは実際に退避された最後の命令に対応するように更新され、例外が割り込み制御ユニット363に通知される。
【0107】
例外の発生源である命令が退避されると、IEU104は退避される命令セット(レジスタ224)の中に同期例外を起こした命令があれば、どの命令であるかを示した新しい4ビット・ベクトルを命令セット内の最初の例外の発生源を示した情報と一緒に、SYNCH INT INFOライン341を経由して割り込みロジック・ユニット363に返却する。IEU104から返却される4ビット例外ベクトルに入っている情報は、割り込みロジック・ユニット363からIEU104に渡された4ビット例外ベクトルとIEU104で引き起こされた例外を累積したものである。プリフェッチまたはIデコード時に検出された例外が原因で割り込み制御ユニット363にすでにストアされている情報があれば、その情報と一緒にIEU104から割り込み制御ユニット363に返却される情報の残余部分は、割り込み制御ユニット363が最高優先度同期例外の内容とそのトラップ番号を判断するのに十分である。
4)ハンドラ・ディスパッチとリターン:
割り込み受信確認信号がライン340経由でIEUから受信されるか、あるいはゼロ以外の例外ベクトルがライン341経由で受信された後、現DPCがリターン・アドレスとして特殊レジスタ412(図4)の一つであるxPCレジスタに一時的にストアされる。現プロセッサ状態レジスタ(PSR)は先のPSR(PPSR)レジスタにもストアされ、そして現状態比較レジスタ(CSR)が特殊レジスタ412の中の旧状態比較レジスタ(PCSR)にセーブされる。
【0108】
トラップ・ハンドラのアドレスはトラップ・ベース・レジスタ・アドレスにオフセットを加えたものとして計算される。PCロジック・ユニット270はトラップ用に2つのベースレジスタを持ち、これらは共に特殊レジスタ412(図4)の一部であり、以前に実行された特殊移動命令によって初期化される。大部分のトラップでは、ハンドラのアドレスを計算するために使用されるベース・レジスタはトラップ・ベース・レジスタTBRである。
【0109】
割り込み制御ユニット363は、現在保留中の最高優先度割り込みまたは例外を判断し、索引(look−up)テーブルを通して、それに関連付けられたトラップ番号を判断する。これは、選択したベース・レジスタまでのオフセットとして、1組のINT OFFSETライン373を経由してプリフェッチPC制御ユニット364へ渡される。ベクトル・アドレスは、オフセット・ビットを下位ビットとしてTBRレジスタから得た上位ビットに連結するだけで求められるという利点がある。このため、加算器の遅延が防止される。(本明細書では2′ビットとはi′番目のビットのことである。)例えばトラップの番号が0から255までで、これを8ビット値で表すと、ハンドラ・アドレスは8ビット・トラップ番号を22ビットとTBRストア値の最後に連結すると求められる。トラップ番号に2桁の下位ビットを付加すると、トラップ・ハンドラ・アドレスは常にワード境界上に置かれることになる。このようにして作られた連結ハンドラ・アドレスは入力373の一つとしてプリフェッチ・セレクタPF PC Sel390(図4)へ送られ、次のアドレスとして選択され、そこから命令がプリフェッチされる。TBRレジスタを使用したトラップのベクトル・ハンドラ・アドレスは全て1ワードだけ離れている。したがって、トラップ・ハンドラ・アドレスにある命令は、長くなったトラップ処理ルーチンへの予備的ブランチ命令でなければならない。しかし、トラップにはシステム・パフォーマンスの低下を防止するために、その扱いに注意が必要なものがいくつかある。例えばTLBトラップは高速に実行させる必要がある。そのような理由から、好適実施例では、予備的ブランチの費用を払わないで、小型のトラップ・ハンドラを呼び出せるようにした高速トラップ・メカニズムが組み込まれている。さらに、高速トラップ・ハンドラはメモリにも、例えば、オン・チップROMにも独立に配置させることができるので、RAMの位置(ロケーション)に関連するメモリ・システム問題がなくなる。
【0110】
好適実施例では、高速トラップとなるトラップは上述したVMU例外だけである。高速トラップの番号は他のトラップと区別され、0〜7の範囲になっている。しかし、優先度はMMU例外と同じである。割り込み制御ユニット363は、高速トラップがそのとき保留中の最高優先度であると認めると、特殊レジスタ(FTB)から高速トラップ・ベース・レジスタ(FTB)を選択し、トラップ・オフセットと結合するためにライン416上に送出する。ライン373′経由でプリフェッチ・セレクタPF_PC_Sel390へ送られた結果のベクトル・アドレスはFTBレジスタからの上位22ビットを連結したもので、そのあとに高速トラップ番号を表した3ビットが続き、そのあとに7個のゼロ・ビットが続いている。したがって、各高速トラップ・アドレスは128バイト、つまり32ワードだけ離れている。呼び出されると、プロセッサは開始ワードへブランチし、ブロックまたはそこからでたブランチ内でプログラムを実行させる。
【0111】
32個またはそれ以下の命令で実現できる標準的なTLB処理ルーチンのような小さなプログラムの実行は、実際の実行処理ルーチンへの予備的ブランチが回避されるので、通常のトラップよりも高速化される。
【0112】
好適実施例では、すべての命令は同じ4バイト長になっているが(つまり、4つのアドレス・ロケーションを占有する)、注目すべきことは命令が可変長になったマイクロプロセッサでも高速トラップ・メカニズムが利用できることである。この場合、高速トラップ・ベクトル・アドレス間には、マイクロプロセッサで使用できる最短長の命令を少なくとも2つ、好ましくは32個の平均サイズ命令を受け入れるだけの十分なスペースが設けられることは勿論である。勿論、マイクロプロセッサがトラップからのリターン命令を備えている場合には、ベクトル・アドレス間にはハンドラ内の少なくとも1つの他の命令をその命令におけるだけの十分なスペースを設けておく必要がある。
【0113】
また、トラップ・ハンドラへディスパッチすると、プロセッサはカーネル・モードと割り込み状態に入る。これと並行して状態比較レジスタ(CSR)のコピーが以前のキャリー状態レジスタ(PCSR)に置かれ、PSRのコピーが以前のPSR(PPSR)にストアされる。カーネルと割り込み状態モードはプロセッサ状況レジスタ(PSR)内のビットで表される。現PSRの割り込み状態ビットがセットされるとシャドウ・レジスタまたはトラップ・レジスタRT〔24〕〜RT〔31〕が上述および図7(b)に示すように見えるようになる。割り込みハンドラは新しいモードをPSRに書込むだけでカーネル・モードから出ることができるが、割り込み状態から出るためには、トラップからのリターン(RTT)命令を実行する方法だけが唯一の方法である。
【0114】
IEU104がRTT命令を実行すると、PCSRは、CSRレジスタに復元され、PPSRレジスタはPSRレジスタに復元されるので、PSR内の割り込み状態ビットは自動的にクリアされる。PF PC SELセレクタ390は特殊レジスタ・セット412の中の特殊レジスタxPCを次にそこからプリフェッチするアドレスとして選択する。xPCはインクリメンタ394とバス396を通してMBUF PFnPCまたはEBUF PFnPCのどららか該当する方に復元される。xPCをEBUF PFnPCに復元すべきか、またはMBUFPFnPCに復元すべきかの判断は、復元された後のPSRの「プロシージャ進行中」ビットにしたがって行われる。
【0115】
注目すべきことは、プロセッサはトラップとプロシージャ命令の両方のリターン・アドレスをストアするのに同じ特殊レジスタxPCを使用しないことである。トラップのリターン・アドレスは上述したように特殊レジスタxPCにストアされるが、プロシージャ命令のあとリターンする先のアドレスは別の特殊レジスタuPCにストアされる。したがって、割り込み状態は、プロセッサが、プロシージャ命令で呼び出されたエミュレーション・ストリームを実行している間でも、使用可能のままになっている。他方、例外処理ルーチンはエミュレーション・ストリームが完了した後、例外ハンドラへ戻るためのアドレスをストアする特殊レジスタがないので、いずれのプロシージャ命令をも含んでいてはならない。
5)ネスト:
ある種のプロセッサ状況情報は、トラップ・ハンドラ、特にCSR、PSR、リターンPCおよびある意味では“A”レジスタ・セットra〔24〕〜ra〔31〕へディスパッチするとき自動的にバックアップが取られるが、他のコンテキスト情報は保護されていない。例えば浮動小数点状況レジスタ(FSR)の内容は自動的にバックアップがとられない。トラップ・ハンドラがこれらのレジスタを変更するためには、独自のバックアップを実行しなければならない。
【0116】
トラップ・ハンドラへディスパッチするとき自動的に行われるバックアップが制限されているために、トラップのネストは自動的に行われない。トラップ・ハンドラは必要とするレジスタのバックアップをとり、割り込み条件をクリアし、トラップ処理のために必要な情報をシステム・レジスタから読取り、その情報を適当に処理する必要がある。割り込みは、トラップ・ハンドラへディスパッチすると自動的に禁止される。処理を終えると、ハンドラはバックアップをとったレジスタを復元し、再び割り込みを可能にし、RTT命令を実行して割り込みから戻ることができる。
【0117】
ネストされたトラップを可能にするには、トラップ・ハンドラを第1部分と第2部分に分割する必要がある。第1部分では、割り込みが禁止されている間に、特殊レジスタ移動命令を使用してxPCをコピーし、トラップ・ハンドラが維持しているスタック上にプッシュしておく必要がある。次に、特殊レジスタ移動命令を使用して、トラップ・ハンドラの第2部分の先頭のアドレスをxPCに移し、トラップからのリターン命令(RTT)を実行する必要がある。RTTは割り込み状態を取り除き(PPSRをPSRに復元することによって)、制御をxPC内のアドレスに移す。xPCには、ハンドラの第2部分のアドレスが入っている。第2部分はこの時点で割り込みを可能にして、割り込み可能モードで例外の処理を続けることができる。注目すべきことは、シャドウ・レジスタRT〔24〕〜RT〔31〕はこのハンドラの第1部分でのみ見ることができ、第2部分では見えないことである。したがって、第2部分ではハンドラは“A”レジスタ値がハンドラによって変更される可能性がある場合には、その値を予約しておく必要がある。トラップ処理ルーチンは終わったときは、バックアップにとったレジスタを全て復元し、元のxPCをトラップ・ハンドラ・スタップからポップし、それを特殊レジスタ移動命令を使用してxPC特殊レジスタに戻して、別のRTTを実行する必要がある。これにより、制御はメインまたはエミュレーション命令ストリームの中の該当命令に返される。
6)トラップ一覧表:
次の表Iは、好適実施例で認識されるトラップのトラップ番号、優先度および処理モードを示すものである。
【0118】
III.命令実行ユニット
図5はIEU104の制御経路部分とデータ経路部分を示したものである。主要データ経路は、IFU102からの命令/オペランド・データ・バスを始端としている。データ・バスとして、即値オペランドはオペランド位置合わせユニット470へ送られ、レジスタ・ファイル(REG ARRAY)472に渡される。レジスタ・データはレジスタ・ファイル472からバイパス・ユニット474を通って、レジスタ・ファイル出力バス476を経由し、分配バス480を経由して機能計算エレメント(FUO-n )の並列配列へ送られる。機能ユニット478O-n によって生成されたデータは出力バス482を経由して、バイパス・ユニット474またはレジスタ配列472または両方へ送り返される。
【0119】
ロード/ストア・ユニット484によってIEU104のデータ経路部分が完成される。ロード/ストア・ユニット484はIEU104とCCU106間のデータ転送の管理を担当する。具体的には、CCU106のデータ用キャッシュ134から取り出したロード・データはロード/ストア・ユニット484によってロード・データ・バス486を経由してレジスタ配列472へ転送される。CCU106のデータ用キャッシュにストアされるデータは機能ユニットの分配バス480から受信される。
【0120】
IEU104の制御経路部分はIEUデータ経路を通る情報の送出、管理、およびその処理を行うことを担当する。本発明の好適実施例では、IEU制御経路は複数の命令の並行実行を管理する機能を備え、IEUデータ経路はIEU104のほぼすべてのデータ経路エレメント間の複数のデータ転送を独立して行う機能を備えている。IEU制御経路は命令/オペランド・バス124を経由して命令を受信すると、それに応じて動作する。具体的には命令セットはEデコード・ユニット490によって受信される。本発明の好適実施例では、Eデコード・ユニット490はIFIFOマスタ・レジスタ216、224に保持されている両方の命令セットを受信して、デコードする。8命令すべてのデコードの結果は、キャリー・チェッカ(CRY CHKR)ユニット492、依存性チェッカ(DEP CHKR)ユニット494、レジスタ改名ユニット(REG RENAME)496、命令発行(ISSUER)ユニット498、および退避制御ユニット(RETIRE CLT)500へ送られる。
【0121】
キャリー・チェッカ・ユニット492はEデコード・ユニット490から制御ライン502を経由して、係属中の保留されている8命令に関するデコード化情報を受信する。キャリー・チェッカ492の機能は、保留されている命令のうち、プロセッサ状況ワードのキャリー・ビットに影響を与える、あるいはキャリー・ビットの状態に左右される命令を識別することである。この制御情報は、制御ライン504を経由して命令発行ユニット498へ送られる。
【0122】
保留状態の8命令によって使用されているレジスタ・ファイル472のレジスタを示しているデコード化情報は、制御ライン506を経由して直接にレジスタ改名ユニット496へ送られる。この情報は、依存関係チェッカ・ユニット494へも送られる。依存関係チェッカ・ユニット494の機能は、保留状態の命令のどれがレジスタをデータの宛先として参照しているか、もしあればどの命令がこれらの宛先レジスタのいずれかに依存しているかを判断することである。レジスタに依存する命令は、制御ライン508を経由してレジスタ改名ユニット496へ送られる制御信号によって識別される。
【0123】
最後にEデコード・ユニット490は保留状態の8命令の各々の特定の内容と機能を識別した制御情報を制御ライン510を経由して命令発行ユニット498へ送る。命令発行ユニット498はデータ経路資源、特に、保留状態の命令の実行のためにどの機能ユニットが使用できるかを判断することを担当する。アーキテクチャ100の好適実施例によれば、命令発行ユニット498はデータ経路資源が使用可能であること、キャリーとレジスタ依存関係の制約を条件として8個の保留状態命令のいずれかを順序外で実行できるようにする。レジスタ改名ユニット496は、実行できるよう適当に制約が解除された命令のビット・マップを制御ライン512を経由して、命令発行ユニット498へ送る。すでに実行された(完了した)命令およびレジスタまたはキャリーに依存する命令は論理的にビット・マップから除かれる。
【0124】
必要とする機能ユニット478O-n が使用可能であるかどうかに応じて、命令発行ユニット498は各システム・クロック・サイクルに複数の命令の実行を開始することができる。機能ユニット478O-n の状況は状況バス514を経由して、命令発行ユニット498へ送られる。命令の実行を開始し、開始後の実行管理を行うための制御信号は命令発行ユニット498から制御ライン516を経由してレジスタ改名ユニット496に送られ、また選択的に機能ユニット478O-n へ送られる。制御信号を受けると、レジスタ改名ユニット496はレジスタ選択信号をレジスタ・ファイル・アクセス制御バス518上に送出する。バス518上に送出された制御信号でどのレジスタが割り込み可能にされたかは、実行中の命令を選択することによって、およびレジスタ改名ユニット496がその特定命令によって参照されたレジスタを判断することによって判断される。
【0125】
バイパス制御ユニット(BYPASS CTL)520は、一般的には制御ライン524上の制御信号を通してバイパス・データ・ルーチング・ユニット474の動作を制御する。バイパス制御ユニット520は機能ユニット478O-n の各々の状況をモニタし、制御ライン522を経由して、レジスタ改名ユニット496から送られてきたレジスタ参照に関連して、データをレジスタ・ファイル472から機能ユニット478O-n へ送るべきかどうか、あるいは機能ユニット478O-n から出力されるデータをバイパス・ユニット474経由で機能ユニット宛先バス480へ即時に送って、命令発行ユニット498によって選択された新発行の命令の実行のために使用できるかどうかを判断する。どちらの場合も、命令発行ユニット498は機能ユニット478O-n の各々への特定レジスタ・データを選択的に使用可能にすることによって、宛先バス480から機能ユニット478O-n へデータを送ることを直接に制御する。
【0126】
IEU制御経路の残りのユニットには、退避制御ユニット500、制御フロー制御(CF CTL)ユニット528、および完了制御(DONE CTL)ユニット536がある。退避制御ユニット500は順序外で実行された命令の実行を無効または確認するように動作する。ある命令が順序外で実行されると、先行命令も全て退避されたならば、その命令は、確認または退避されることができる。現セット中の保留状態の8命令のどれが実行されたかの識別情報が制御ライン532上に送出されると、その識別情報に基づいて、退避制御ユニット500はバス518に接続された制御ライン534上に制御信号を送出して、レジスタ配列472にストアされた結果データを順序外で実行された命令の先行実行の結果として実効的に確認する。
【0127】
退避制御ユニット500は、各命令を退避するとき、PCインクリメント/サイズ制御信号を制御ライン344を経由してIFU102へ送る。複数の命令を順序外で実行でき、したがって、同時に退避する準備状態におくことができるので、退避制御ユニット500は同時に退避された命令数に基づいてサイズ値を判断する。最後に、IFIFOマスタ・レジスタ224のすべての命令が実行され、退避された場合は、退避制御ユニット500はIFIFO読取り制御信号を制御ライン342を経由してIFU102へ送ってIFIFOユニット264のシフト・オペレーションを開始することにより、Eデコード・ユニット490に追加の4命令を実行保留命令として与える。
【0128】
制御フロー制御ユニット528は各条件付きブランチ命令の論理的ブランチ結果を検出するという特定化された機能を備えている。制御フロー制御ユニット528は現在保留中の条件付きブランチ命令の8ビット・ベクトルIDをEデコード・ユニット490から制御ライン510を経由して受信する。8ビット・ベクトル命令完了制御信号は、同じように完了制御ユニット540から制御ライン532を経由して受信される。この完了制御信号によって、制御フロー制御ユニット528は、条件付きブランチ命令が、条件付き制御フロー状況を判断するのに十分な箇所まで完了すると、それを判別することができる。保留中の条件付きブランチ命令の制御フロー状況結果は、その実行時に制御フロー制御ユニット528によってストアされる。条件付き制御フロー命令の結果を判断するために必要なデータは、レジスタ配列472内の一時状況レジスタから制御ライン530を経由して得られる。各条件付き制御フロー命令が実行されると、制御フロー制御ユニットは新しい制御フロー結果信号を制御ライン348を経由してIFU102に送る。好適実施例では、この制御フロー結果信号は2個の8ビット・ベクトルを含んでおり、このベクトルは、保留されている可能性のある8個の制御フロー命令のそれぞれのビット位置別の状況結果が分かっているかどうか、また、ビット位置の対応づけによって得られる対応する状況結果状態を定義している。
【0129】
最後に、完了制御ユニット540は機能ユニット478O-n の各々のオペレーションに関する実行状況をモニタするためのものである。機能ユニット478O-n のいずれかが命令実行オペレーションの完了を通知すると、完了制御ユニット540は対応する完了制御信号を制御ライン542上に送出して、レジスタ改名ユニット496、命令発行ユニット498、退避制御ユニット500およびバイパス制御ユニット520にアラート(警告)する。
【0130】
機能ユニット478O-n を並列配列構成にすることにより、IEU104の制御の一貫性を向上している。命令を正しく認識して、実行のためのスケジュールするためには、個々の機能ユニット478O-n の特性を命令発行ユニット498に知らせる必要がある。機能ユニット478O-n は必要とする機能を実行するために必要な特定制御フロー・オペレーションを判別し、実行することを担当する。従って、命令発行ユニット498以外はIEU制御ユニットには、命令の制御フロー処理を独立して知らせる必要はない。命令発行ユニット498と機能ユニット478O-n は共同して、残りの制御フロー管理ユニット496、500、520、528、540に実行させる機能を必要な制御信号のプロンプトで知らせる。従って、機能ユニット478O-n の特定の制御フロー・オペレーションの変更はIEU104の制御オペレーションに影響しない。さらに、既存の機能ユニット478O-n の機能を強化する場合や、拡張精度浮動小数点乗算ユニットや拡張精度浮動小数点ALU、高速フーリエ計算機能ユニット、三角関数計算ユニットなどの別の機能ユニット478O-n を1つまたは2つ以上を追加する場合でも、命令発行ユニット498を若干変更するだけですむ。必要なる変更を行うには、Eデコード・ユニット490によって隔離された対応する命令フィールドに基づいて、特定の命令を認識し、その命令を必要とする機能ユニット478O-n とを関係づける必要がある。レジスタ・データの選択の制御、データのルーチング、命令完了と退避は、機能ユニット478O-n の他の機能ユニットすべてに対して実行される他のすべての命令の処理と矛盾がないようになっている。
【0131】
A)IEUデータ経路の詳細
IEUデータ経路の中心となるエレメントはレジスタ・ファイル472である。しかし、本発明によればIEUデータ経路内には、個々の機能用に最適化された並列データ経路がいくつか用意されている。主要データ経路は整数と浮動少数点の2つである。各並列データ経路内では、レジスタ・ファイル472の一部がそのデータ経路内で行われるデータ操作をサポートするようになっている。
【0132】
1)レジスタ・ファイルの詳細
図6(a)は、データ経路レジスタ・ファイル550の好適アーキテクチャの概要図である。データ経路レジスタ・ファイル550は一時バッファ552、レジスタ・ファイル配列554、入力セレクタ559、および出力セレクタ556を含んでいる。最終的にレジスタ配列554へ送られるデータは結合データ入力バス558′を経由して一時バッファ552によって最初に受信されるのが代表例である。つまり、データ経路レジスタ・ファイル550へ送られるデータはすべて入力セレクタ559によって多重化されて、複数の入力バス558(好ましくは2つの)から入力バス558′上に送出される。制御バス518上に送出されたレジスタ選択およびイネーブル制御信号は一時バッファ552内の受信データのレジスタ・ロケーションを選択する。一時バッファにストアされるデータを生成した命令が退避されると、再び制御バス518上に送出された制御信号は一時バッファ552からレジスタ・ファイル配列554内の論理的に対応づけられたレジスタへデータ・バス560を経路してデータを転送することを許可する。しかし、命令が退避される前は、一時バッファ552にストアされたデータは一時バッファにストアされたデータをデータ・バス560のバイパス部分を経由して出力データ・セレクタ556へ送ることにより、後続の命令の実行時に使用することが可能である。制御バス518経由で送られる制御信号によって制御されるセレクタ556は、一時バッファ552のレジスタからのデータとレジスタ・ファイル配列554のレジスタからのデータのどちらかを選択する。結果のデータはレジスタ・ファイル出力バス564上に送出される。また、実行中の命令が完了と同時に退避される場合は、つまり、その命令が順序内で実行された場合は、結果データをバイパス延長部分558″を経由して直接にレジスタ配列554へ送るように指示することができる。
【0133】
本発明の好適実施例によれば、各データ経路レジスタ・ファイル550は2つのレジスタ操作を同時に行なえるようになっている。したがって、入力バス558を通して2つの全レジスタ幅データ値を一時バッファ552に書き込むことができる。内部的には、一時バッファ552はマルチプレクサ配列になっているので、入力データを一時バッファ552内の任意の2レジスタへ同時に送ることができる。同様に、内部マルチプレクサにより一時バッファ552の任意の5レジスタを選択して、データをバス560上に出力することができる。レジスタ・ファイル配列554は同じように入出力マルチプレクサを備えているので、2つのレジスタを選択して、それぞれのデータを同時にバス560から受信することも、5つのレジスタを選択してバス562経由で送ることもできる。最後に、レジスタ・ファイル出力セレクタ556は、バス560、562から受信した10レジスタ・データ値のうち、任意の5つがレジスタ・ファイル出力バス564上に同時に出力されるように実現するのが好ましい。
【0134】
一時バッファ内のレジスタ・セットは図6(b)にその概要が示されている。レジスタ・セット552′は8個のシングル・ワード(32ビット)レジスタI0RD、I1RD・・・I7RDから構成されている。レジスタ・セット552′は4個のダブル・ワード・レジスタI0RD、I0RD+1(I4RD)、I1RD、I1RD+1(I5RD)・・・I3RD、I3RD+1(I7RD)のセットとして使用することも可能である。
【0135】
本発明の好適実施例によれば、レジスタ・ファイル配列554内の各レジスタを重複して設ける代わりに、一時バッファ・レジスタ・セット552内のレジスタは2個のIFIFOマスタ・レジスタ216、224内のそれぞれの命令の相対ロケーションに基づいて、レジスタ改名ユニット496によって参照される。本アーキテクチャ100で実現される各命令は、最高2つまでのレジスタまたは1つのダブル・ワード・レジスタを出力として参照して、命令の実行によって生成されたデータの宛先とすることができる。代表例として、命令は1つの出力レジスタだけを参照する。したがって、その位置を図6(c)に示しているように、8個の保留中命令のうち1つの出力レジスタを参照する命令2(I2 )の場合は、データ宛先レジスタI2RDが選択されて、命令の実行によって生成されたデータを受け入れる。命令I2 によって生成されたデータが後続の命令、例えばI5 によって使用される場合は、I2RDレジスタにストアされたデータはバス560を経由して転送され、結果のデータは一時バッファ552に送り返されて、I5RDで示したレジスタにストアされる。特に、命令I5 は命令I2 によって決まるので、命令I5 は、I2 からの結果データが得られるまでは実行することができない。しかし、理解されるように、命令I5 は必要とする入力データを一時バッファ552′の命令I2 のデータ・ロケーションから得れば、命令I2 の退避前に実行することが可能である。
【0136】
最後に、命令I2 が退避されると、レジスタI2RDからのデータは、退避箇所の命令の論理位置から判断されて、レジスタ・ファイル配列554内のレジスタ・ロケーションに書かれる。すなわち、退避制御ユニット500は、制御ライン510経由でEデコード・ユニット490から与えられたレジスタ参照フィールド・データからレジスタ・ファイル配列内の宛先レジスタのアドレスを判断する。命令IO-3 が退避されると、I4RD−I7RDに入っている値は、IFIF0ユニット264のシフトと同時にシフトされて、I0RD−I3RDに移される。
【0137】
命令I2 からダブル・ワード結果値が得られる場合は、さらに複雑になる。本発明の好適実施例によれば、ロケーションI2RDとI6RDの組合せが、命令I2 が退避されるか、さもなければキャンセルまで、その命令から得た結果データをストアしておくために使用される。好適実施例では、命令I4-7 の実行は命令IO-3 のいずれかによるダブル・ワード出力の参照がレジスタ改名ユニット496によって検出された場合には、保留される。これにより、一時バッファ552′全体をダブル・ワード・レジスタのシングル・ランクとして使用することが可能になる。命令IO-3 が退避されると、一時バッファ552′はシングル・ワード・レジスタの2ランクとして再び使用することができる。さらに、いずれかの命令I4-7 の実行は、ダブル・ワード出力レジスタが必要な場合には、命令が対応するIO-3 にシフトされるまで保留される。
【0138】
レジスタ・ファイル配列554の論理的変性は図7(a)〜図7(b)に示されている。本発明の好適実施例によれば、整数データ経路用のレジスタ・ファイル配列554は40個の32ビット幅レジスタから構成されている。このレジスタ・セットはレジスタ・セット“A”を構成し、ベース・レジスタ・セットra〔0..23〕565、汎用レジスタra〔24..31〕566からなるトップ・セット、および8個の汎用トラップ・レジスタra〔24..31〕からなるシャドウ・レジスタ・セットとして編成されている。通常のオペレーションでは、汎用レジスタra〔0..31〕565、566は整数データ経路用のレジスタ・ファイル配列のアクティブ“A”レジスタ・セットを構成している。
【0139】
図7(b)に示すように、トラップ・レジスタra〔24..31〕567をスワップしてアクティブ・レジスタ・セット“A”に移しておけば、レジスタra〔0..23〕565のアクティブ・ベース・セットと一緒にアクセスすることが可能である。“A”レジスタ・セットのこの構成は、割り込みの受信が確認されるか、例外トラップ処理ルーチンが実行されると、選択される。レジスタ・セット“A”のこの状態は、割り込み許可命令の実行またはトラップからのリターン命令の実行によって図7(a)に示す状態に明示によって戻るまで維持される。
【0140】
アーキテクチャ100によって実現された本発明の好適実施例では、浮動小数点データ経路は図8にその概要を示すように拡張精度レジスタ・ファイル配列572を使用する。レジスタ・ファイル配列572は、各々が64ビット幅の32個のレジスタrf〔0..31〕から構成されている。浮動小数点レジスタ・ファイル572は整数レジスタrb〔0..31〕の“B”セットとして論理的に参照することも可能である。アーキテクチャ100では、この“B”セットのレジスタは浮動小数点レジスタrf〔0..31〕の各々の下位32ビットに相当している。
【0141】
第3のデータ経路を表すものとして、ブール演算子レジスタ・セット574が図9に示すように設けられている。これは、ブール演算の論理結果をストアするこの“C”レジスタ・セット574は32個の1ビット・レジスタrc〔0..31〕から構成されている。ブール・レジスタ・セット574のオペレーションは、ブール演算の結果をブール・レジスタ・セット574の任意の命令選択レジスタへ送ることができる点でユニークである。
これは、等しい、等しくない、より大、その他単純なブール状況値などの条件を表す1ビット・フラグをストアするシングル・プロセッサ状況ワード・レジスタを使用するのと対照的である。
【0142】
浮動小数点レジスタ・セット572とブール・レジスタ・セット574は双方共、図6(b)に示す整数一時バッファ552と同じアーキテクチャの一時バッファによって補数がとられる。基本的違いは、一時バッファ・レジスタの幅が補数をとるレジスタ・ファイル配列572、574の幅と同じになるように定義されていることである。好適実施例では、幅はそれぞれ64ビットと1ビットになっている。
【0143】
多数の追加の特殊レジスタが、レジスタ配列472に少なくとも論理的に存在している。図7(c)に示すように、レジスタ配列472に物理的に存在するレジスタはカーネル・スタック・ポインタ(kernel stack pointer)568、プロセッサ状態レジスタ(PSR)569、旧プロセッサ状態レジスタ(PPSR)570および8個の一時プロセッサ状態レジスタの配列(tPSR〔0..7〕)571からなっている。残りの特殊レジスタはアーキテクチャ100の各所に分散している。特殊アドレスおよびデータ・バス354はデータを選択して、特殊レジスタおよび“A”と“B”レジスタ・セット間で転送するためのものである。特殊レジスタ移動命令は“A”または“B”レジスタ・セットからレジスタを選択し、転送の方向を選択し、特殊レジスタのアドレスIDを指定するためのものである。
【0144】
カーネル・スタック・ポインタ・レジスタとプロセッサ状態レジスタは、他の特殊レジスタとは異なっている。カーネル・スタック・ポインタはカーネル状態にあるとき、標準のレジスタ間移動命令を実行することによってアクセス可能である。一時プロセッサ状態レジスタは直接にアクセスすることはできない。その代わりに、このレジスタ配列はプロセッサ状態レジスタの値を伝播して、順序外で実行される命令で使用できるようにする継承メカニズム(inheritance mechanism)を実現するために使用される。初期伝播値はプロセッサ状態レジスタの値である。つまり、最後に退避された命令から得た値である。この初期値は一時プロセッサ状態レジスタから前方向に伝播され、順序外で実行される命令が対応する位置にある一時プロセッサ状態レジスタ内の値をアクセスできるようにする。命令が依存し、変更できる条件コード・ビットは、その命令がもつ特性によって定義される。命令が依存関係、レジスタまたは条件コードによって制約されないことが、レジスタ依存関係チェッカ・ユニット494とキャリー依存関係チェッカ492によって判断された場合は、命令は順序外で実行することができる。
【0145】
プロセッサ状態レジスタの条件コード・ビットの変更は論理的に対応する一時プロセッサ状態レジスタに指示される。具体的には、変更の可能性があるビットだけが一時プロセッサ状態レジスタに入っている値に適用され、上位のすべての一時プロセッサ状態レジスタに伝播される。その結果、順序外で実行されるすべての命令は介在するPSR変更命令によって適切に変更されたプロセッサ状態レジスタ値から実行される。命令が退避されたときは、対応する一時プロセッサ状態レジスタ値だけがPSRレジスタ569に転送される。
その他の特殊レジスタは表IIに説明されている。
【0146】
【0147】
2)整数データ経路の詳細
本発明の好適実施例に従って構築されるIEU104の整数データ経路は、図10に示されている。説明の便宜上、整数データ経路580と結ばれる多数の制御経路は、図には示していない。これらの接続関係は図5を参照して説明したとおりである。
【0148】
データ経路580の入力データは、位置合わせユニット582、584および整数ロード/ストア・ユニット586から得られる。整数即値(integerimmediate)データ値は、最初は命令埋め込み(embedded)データ・フィールドとして与えられ、バス588経由でオペランド・ユニット470から得られる。位置合わせユニット582は整数データ値を隔離し、その結果値が出力バス590を経由してマルチプレクサ592へ送られる。マルチプレクサ592への別の入力は、特殊レジスタ・アドレスとデータ・バス354である。
【0149】
命令ストリームから得られる即値(immediate)オペランドも、データ・バス594経由でオペランド・ユニット470から得られる。これらの値は、出力バス596上に送出される前に、位置合わせユニット584によって再度右寄せされる。
【0150】
整数ロード/ストア・ユニット586は外部データ・バス598を通してCCU106と双方向でやりとりする。IEU104へのインバウンド・データは整数ロード/ストア・ユニット586から入力データ・バス600を経由して入力ラッチ602へ転送される。マルチプレクサ592とラッチ602からの出力データは、マルチプレクサ608のマルチプレクサ入力バス604、606上に送出される。機能ユニット出力バス482′からのデータもマルチプレクサ608に送られる。このマルチプレクサ608はアーキテクチャ100の好適実施例では、データを同時に出力マルチプレクサ・パス610へ送る2つの通路を備えている。さらに、マルチプレクサ608を通るデータ転送は、システム・クロックの各半サイクル以内に完了することができる。本アーキテクチャ100で実現される大部分の命令は、1つの宛先レジスタを利用するので、最大4つまでの命令によって各システム・クロック・サイクルの間データを一時バッファ612へ送ることができる。
【0151】
一時バッファ612からのデータは一時レジスタ出力バス616を経由して整数レジスタ・ファイル配列614へ、あるいは代替一時バッファ・レジスタ・バス618を経由して出力マルチプレクサ620へ転送することができる。整数レジスタ配列出力バス622は整数レジスタ・データをマルチプレクサ620へ転送することができる。一時バッファ612と整数レジスタ・ファイル配列614に接続された出力バスは、それぞれ5個のレジスタ値を同時に出力することを可能にする。つまり、合計5個までのソース・レジスタを参照する2つの命令を同時に出すことができる。一時バッファ612、レジスタ・ファイル配列614およびマルチプレクサ620はアウトバウンド・レジスタ・データの転送を半システム・クロック・サイクルごとに行うことを可能にする。したがって、最高4個までの整数および浮動小数点命令を各クロック・サイクルの間に出すことができる。
【0152】
マルチプレクサ620はアウトバウンド・レジスタ・データ値をレジスタ・ファイル配列614からあるいは一時バッファ612から直接に選択する働きをする。これにより、以前に順序外で実行された命令に依存する順序外実行命令をIEU104によって実行させることができる。これにより、保留状態の命令を順序外で実行することによってIEU整数データ経路の実行スループット能力を最大化すると共に、順序外のデータ結果を、実行され退避された命令から得たデータ結果から正確に分離するという2目標を容易に達成することができる。マシンの正確な状態を復元する必要のあるような割り込みや他の例外条件が起こると、本発明によれば一時バッファ612に存在するデータ値を簡単にクリアすることができる。したがって、レジスタ・ファイル配列614は、割り込みまたは他の例外条件が発生する以前に完了し、退避された命令の実行によってのみ得られたデータ値を正確に収めたままになっている。
【0153】
マルチプレクサ620の各半システム・サイクル・オペレーション時に選択されたレジスタ・データ値は最高5つまでがマルチプレクサ出力バス624を経由して整数バイパス・ユニット626へ送られる。このバイパス・ユニット626は基本的にマルチプレクサが並列の配列からなり、その入力のいずれかに現れたデータをその出力のいずれかへ送ることができる。バイパス・ユニット626の入力は、マルチプレクサ592から出力バス604を経由する特殊レジスタ・アドレス指定データ値または即値の整数値、バス624上に送出される最高5つまでのレジスタ・データ値、整数ロード/ストア・ユニット586からダブル整数バス600を経由するロード・オペランド・データ、その出力バス596を経由して位置合わせユニット584から得た即値オペランド値、最後に機能ユニット出力バス482からのバイパス・データ経路からなっている。このバイパス経由とデータ・バス482はシステム・クロック・サイクルごとに4個のレジスタ値を同時に転送することができる。
【0154】
データはバイパス・ユニット626から浮動小数点データ・バスに接続された整数バイパス・バス628上に出力されて、最高5つまでのレジスタ・データ値を同時に転送する機能をもつ2つのオペランド・データ・バスと、整数ロード/ストア・ユニット586へデータを送るために使用されるストア・データ・バス632へ送られる。
【0155】
機能ユニット分配バス480はルータ・ユニット634のオペレーションを通して実現されている。また、ルータ・ユニット634はその入力から受信された5個のレジスタ値を整数データ通路に設けられた機能ユニットへ送ることを可能にする並列のマルチプレクサ配列によって実現される。具体的には、ルータ・ユニット634はバイパス・ユニット626からバス630を経由して送られてきた5個のレジスタ・データ値、アドレス・バス352を経由して送られてきた現IF PCアドレス値、PC制御ユニット362によって判断され、ライン378′上に送出された制御フロー・オフセット値を受信する。ルータ・ユニット634は、浮動小数点データ経路内に設けられたバイパス・ユニットから取り出されたオペランド・データ値をデータバス636を経由して受信することもできる(オプション)。
【0156】
ルータ・ユニット634によって受信されたレジスタ・データ値は、特殊レジスタ・アドレスおよびデータ・バス354上を転送されて、機能ユニット640、642、644へ送られる。具体的には、ルータ・ユニット634は最高3つまでのレジスタ・オペランド値をルータ出力バス646、648、650を経由して機能ユニット640、642、644の各々へ送る機能を備えている。本アーキテクチャ100の一般的アーキテクチャによれば、最高2つまでの命令を同時に機能ユニット640、642、644に対して出すことが可能である。本発明の好適実施例によれば、3つの専用整数機能ユニットに、それぞれプログラマブル・シフト機能と2つの算術演算ロジック・ユニット機能を持たせることができる。
【0157】
ALU0機能ユニット644、ALU1機能ユニット642及びシフタ機能ユニット640はそれぞれの出力レジスタ・データを機能ユニット・バス482′上に送出する。ALU0とシフタ機能ユニット644、640から得た出力データも浮動小数点データ経路に接続された共用整数機能ユニット・バス650上に送出される。類似の浮動小数点機能ユニット出力値データ・バス652が、浮動少数点データ経路から機能ユニット出力バス482′へ設けられている。
【0158】
ALU0機能ユニット644はIFU102のプリフェッチ操作と整数ロード/ストア・ユニット586のデータ操作の両方をサポートするために仮想アドレス値を生成する場合にも使用される。ALU0機能ユニット644によって計算された仮想アドレス値はIFU102のターゲット・アドレス・バス346とCCU106の両方に接続された出力バス654上に送出され、実行ユニットの物理アドレス(EX PADDR)が得られる。ラッチ656は、ALU0機能ユニット644によって生成されたアドレスの仮想化部分をストアするためのものである。アドレスのこの仮想化部分は出力バス658上に送出されて、VMU108へ送られる。
3)浮動小数点データ経路の詳細
次に、図11は浮動小数点データ経路を示したものである。初期データは、この場合も、即値整数オペランド・バス588、即値オペランド・バス594および特殊レジスタ・アドレス・データ・バス354を含む複数のソースから受信される。外部データの最終的ソースは外部データバス598を通してCCU106に接続された浮動小数点ロード/ストア・ユニット622である。
【0159】
即値整数オペランドは、位置合わせ出力データ・バス668を経由してマルチプレクサ666に渡す前に整数データ・フィールドを右寄せする働きをする位置合わせユニット664によって受信される。マルチプレクサ666は特殊レジスタ・アドレス・データ・バス354も受信する。即値オペランドは第2の位置合わせユニット670へ送られ、右寄せされてから出力バス672上に送出される。浮動少数点ロード/ストア・ユニット662からのインバウンド・データ(inbound data)は、ロード・データ・バス676からラッチ674によって受信される。マルチプレクサ666、ラッチ674および機能ユニット・データ・リターン・バス482″からのデータはマルチプレクサ678の入力から受信される。マルチプレクサ678は選択可能なデータ経路を備え、2つのレジスタ・データ値がシステム・クロックの半サイクルごとに、マルチプレクサ出力バス682を経由して一時バッファ680に書き込まれることを可能にする。一時バッファ680は図6(b)に示す一時バッファ552′と論理的に同じレジスタ・セットを備えている。一時バッファ680はさらに最高5個までのレジスタ・データ値を一時バッファ680から読み取って、データ・バス686を経由して浮動小数点レジスタ・ファイル配列684と、出力データ・バス690を経由して出力マルチプレクサ688へ送ることができる。マルチプレクサ688は、データ・バス692を経由して、浮動小数点ファイル配列684から最高5個までのレジスタ・データ値も同時に受信する。マルチプレクサ688は最高5個までのレジスタ・データ値を選択して、データ・バス696を経由してバイパス・ユニット694へ同時に転送する働きをする。バイパス・ユニット694は、データ・バス672、マルチプレクサ666からの出力データ・バス698、ロード・データ・バス676および機能ユニット・データ・リターン・バス482″のバイパス延長部分を経由して、位置合わせユニット670から与えられた即値オペランド値も受信する。バイパス・ユニット694は最高5個までのレジスタ・オペランドデータ値を同時に選択して、バイパス・ユニット・出力バス700、浮動小数点ロード/ストア・ユニット662に接続されたストア・データ・バス702、および整数データ経路580のルータ・ユニット634に接続された浮動小数点バイパス・バス636上に出力するように働く。
【0160】
浮動小数点ルータ・ユニット704は、バイパス・ユニット出力バス700と整数データ経路バイパス・バス628とそれぞれの機能ユニット712、714、716に接続された機能ユニット入力バス706、708、710との間で同時にデータ経路を選択できる機能を備えている。
【0161】
アーキテクチャ100の好適実施例による入力バス706、708、710の各々は最高3個までのレジスタ・オペランド・データ値を機能ユニット712、714、716の各々へ同時に転送することが可能である。これらの機能ユニット712、714、716の出力バスは機能ユニット・データ・リターン・バス482″に結合され、データをレジスタ・ファイル入力マルチプレクサ678へ戻すようになっている。整数データ経路機能ユニット出力バス650を機能ユニット・データ・リターン・バス482″に接続するために設けることも可能である。本発明のアーキテクチャ100によれば、マルチプレクサ機能ユニット712と浮動小数点ALU714の機能ユニット出力バスを浮動少数点データ経路機能ユニット・バス652を経由して整数データ経路500の機能ユニット・データ・リターン・バス482′に接続することが可能である。
【0162】
4)ブール・レジスタ・データ経路の詳細
ブール演算データ経路720は図12に示されている。このデータ経路720は基本的に2種類の命令の実行をサポートするために利用される。最初のタイプはオペランド比較命令であり、この命令では、整数レジスタ・セットと浮動小数点レジスタ・セットから選択された、あるいは即値オペランドとして与えられた2つのオペランドが、ALU機能ユニットの1つで整数と浮動少数点データ経路を減算することによって比較される。この比較は、ALU機能ユニット642、644、714、716のいずれかによる減算によって行われ、その結果の符号とゼロ状況ビットは入力セレクタと比較演算子結合ユニット722へ送られる。このユニット722は、制御信号を指定した命令をEデコード・ユニット490から受け取るとALU機能ユニット642、644、714、716の出力を選択し、符号およびゼロ・ビットを結合し、ブール比較結果値を抽出する。出力バス723を通して比較演算の結果を入力マルチプレクサ726とバイパス・ユニット742へ同時に転送することができる。整数および浮動小数点データ経路と同じように、バイパスユニット742は並列のマルチプレクサ配列として実現され、バイパス・ユニット742の入力間で複数のデータ経路を選択して、複数の出力と結ぶことができる。バイパス・ユニット742の他の入力はブール演算結果リターン・データ・バス724とデータ・バス744上の2つのブール・オペランドからなっている。バイパス・ユニット742は最高2つまでの同時に実行中のブール命令を表したブール・オペランドを、オペランド・バス748を経由してブール演算機能ユニット746へ転送することができる。また、バイパス・ユニット746は最高2個までのシングル・ビット・ブール・オペランド・ビット(CF0、CF1)を制御フロー結果制御ライン750、752を経由して同時に転送することができる。
【0163】
ブール演算データ経路の残り部分は、比較結果バス723とブール結果バス724上に送出された比較およびブール演算結果値を、その入力として受信する入力マルチプレクサ726を含んでいる。このバス724は最高2個までのブール結果ビットを同時にマルチプレクサ726へ転送することができる。さらに、最高2個までの比較結果ビットをバス723を経由してマルチプレクサ726へ転送することができる。マルチプレクサ726はマルチプレクサの入力端に現れた任意の2個の信号ビットをマルチプレクサの出力端を経由して、システム・クロックの各半サイクル時にブール演算一時バッファ728へ転送することができる。一時バッファ728は2つの重要な点が異なることを除けば、図6(b)に示した一時バッファ752′と論理的に同じである。第1の相違点は、一時バッファ728内の各レジスタ・エントリがシングル・ビットからなることである。第2の相違点は8個の保留中命令スロットの各々に一つのレジスタだけが設けられていることである。これは、ブール演算の結果全部が定義によって1つの結果ビットによって定義されるためである。
【0164】
一時バッファ728は最高4個までの出力オペランド値を同時に出力する。これにより、各々2つのソース・レジスタへのアクセスを必要とする2個のブール命令を同時に実行させることができる。4個のブール・レジスタ値はシステム・クロックの各半サイクルごとにオペランド・バス736上に送出し、マルチプレクサ738へあるいはブール・オペランド・データバス734を経由してブール・レジスタ・ファイル配列732へ転送することができる。ブール・レジスタ・ファイル配列732は、図9に論理的に示すように、1個の32ビット幅データ・レジスタであり、任意に組合せた最高4個までのシングル・ビット・ロケーションを一時バッファ728からのデータで修正し、システム・クロックの各半サイクルごとにブール・レジスタ・ファイル配列732から読み取って出力バス740上に送出することができる。マルチプレクサ738はバス736、740経由でその出力端から受信したブール・オペランドの任意のペアをオペランド出力バス744上に送出してバイパス・ユニット742へ転送する。
【0165】
ブール演算機能ユニット746は2個のソース値についてブール演算を幅広く実行する機能を備えている。比較命令の場合には、ソース値は整数および浮動少数点レジスタ・セットのいずれかから得たペアのオペランドとIEU104へ送られる任意の即値オペランドであり、ブール命令の場合は、ブール・レジスタ・オペランドの任意の2つである。表IIIと表IVは、本発明のアーキテクチャ100の好適実施例における論理比較演算を示すものである。表Vは本発明のアーキテクチャ100の好適実施例における直接ブール演算を示すものである。表III−Vに示されている命令条件コードと機能コードは対応する命令のセグメントを表している。また、命令はペアのソース・オペランド・レジスタと対応するブール演算結果をストアするための宛先ブール・レジスタを指定する。
【0166】
【0167】
B)ロード/ストア制御ユニット
図13はロード/ストア・ユニット760の例を示したものである。データ経路580、660に別々に示されているが、ロード/ストア・ユニット586、662は一つの共用ロード/ストア・ユニット760として実現するのが好ましい。それぞれのデータ経路580、660からのインタフェースはアドレス・バス762およびロードとストア・データ・バス764(600、676)、766(632、702)を経由している。
【0168】
ロード/ストア・ユニット760によって使用されるアドレスは、IFU102およびIEU104の残り部分で使用される仮想アドレスとは対照的に、物理アドレスである。IFU102は仮想アドレスで動作し、CCU106とVMU108間の調整に依存して物理アドレスを生成するのに対し、IEU104ではロード/ストア・ユニット760を物理アドレス・モードで直接に動作させる必要がある。この要件が必要になるのは、順序外で実行されるために、物理アドレス・データとストア・オペレーションがオーバラップするような命令が存在する場合、およびCCU106からロード/ストア・ユニット760への順序外のデータ・リターンが存在する場合に、データ保全性を保つためである。データ保全性を保つためにロード/ストア・ユニット760はストア命令がIEU104によって退避されるまで、ストア命令から得たデータをバッファに置いておく。その結果、ロード/ストア・ユニット760によってバッファに置かれたストア・データはロード/ストア・ユニット760に一つだけ存在することができる。実行されたが退避されていないストア命令と同じ物理アドレスを参照するロード命令は、ストア命令が実際に退避されるまで実行が遅延される。その時点で、ストア・データをロード/ストア・ユニット760からCCU106へ転送し、CCUデータ・ロード・オペレーションの実行によって即時にロード・バックすることができる。
【0169】
具体的には、物理アドレス全体がVMU108からロード/ストア・アドレス・バス762上に送出される。ロード・アドレスは一般的にはロード・アドレス・レジスタ768O-3 にストアされる。ストア・アドレスはストア・アドレス・レジスタ7703-0 にラッチされる。ロード/ストア制御ユニット774は命令発行ユニット498から受信した制御信号を受けて動作し、ロード・アドレスとストア・アドレスをレジスタ7683-0 、7703-0 にラッチすることを調整する。ロード/ストア制御ユニット774は、ロード・アドレスをラッチするための制御信号を制御ライン778上に送出し、ストア・アドレスをラッチするための制御信号を制御ライン780上に送出する。ストア・データはストア・データ・レジスタ・セット7823-0 の論理的に対応するスロットにストア・アドレスをラッチするのと同時にラッチされる。4x4x32ビット幅アドレス比較ユニット772には、ロードおよびストア・アドレス・レジスタ7683-0 、7703-0 に入っているアドレスの各々が同時に入力される。システム・クロックの各半サイクル時の完全マトリックス・アドレス比較の実行は、制御ライン776を介してロード/ストア制御ユニット774によって制御される。ストア・アドレスに一致するロード・アドレスの存在と論理ロケーションは、制御ライン776を経由してロード/ストア制御ユニット774へ送られる。
【0170】
ロード・アドレスがVMU108から与えられ、保留中のストアがない場合は、ロード・アドレスは、CCUロード・オペレーションの開始と同時に、バス762から直接にアドレス・セレクタ786へバイパスされる。しかし、ストア・データが保留されている場合は、ロード・アドレスは使用可能なロード・アドレス・ラッチ768O-3 にラッチされる。対応するストア・データ命令が退避されると制御信号を退避制御ユニット500から受信すると、ロード/ストア制御ユニット774はCCUデータ転送操作を開始し、制御ライン784を通してCCU106へのアクセスの仲裁を行う。CCU106がレディ(ready)を通知すると、ロード/ストア制御ユニット774はCCU物理アドレスをCCU PADDRアドレス・バス788上に送出するようにセレクタ786に指示する。このアドレスはアドレス・バス790を経由して対応するストア・レジスタ7703-0 から得られる。対応するストア・データ・レジスタ7823-0 からのデータはCCUデータ・バス792上に送出される。
【0171】
ロード命令が命令発行ユニット498から出されると、ロード/ストア制御ユニット774はロード・アドレス・ラッチ7683-0 の一つが要求されたロード・アドレスをラッチすることを許可する。選択された特定のラッチ768O-3 は関係命令セット内のロード命令の位置に論理的に対応している。命令発行ユニット498は保留中の可能性のある2命令セットのどららかの中のロード命令を示している5ビット・ベクトルをロード/ストア制御ユニット774へ渡す。コンパレータ772が一致するストア・アドレスを示していない場合は、ロード・アドレスはアドレス・バス794を経由してセレクタ786へ送られ、CCU PADDRアドレス・バス788上に出力される。アドレスの提供は、ロード/ストア制御ユニット774とCCU106間でやりとりされるCCU要求とレディ制御信号に従って行われる。実行ID値(ExID値)もロード/ストア制御ユニット774によって準備されてCCU106に対して出され、CCU106がExID値を含む要求データをそのあとで返却するときロード要求を識別する。このID値は4ビット・ベクトルからなり、現ロード要求を出したそれぞれのロード・アドレス・ラッチ768O-3 を固有ビットで指定している。5番目のビットはロード命令を収めている命令セットを識別するために使用される。このID値は、したがって命令発行ユニット498からロード要求と一緒に送られるビット・ベクトルと同じである。
【0172】
先行する要求ロード・データが使用可能であることがCCU106からロード/ストア制御ユニット774へ通知されると、ロード/ストア制御ユニット774は位置合わせユニットがデータを受信し、それをロード・データ・バス764上に送出することを許可する。位置合わせユニット798はロード・データを右寄せする働きをする。
【0173】
CCU106からデータが返却されると同時に、ロード/ストア制御ユニット774は、CCU106からExID値を受信する。他方、ロード/ストア制御ユニット774はロード・データがロード・データ・バス764上に送出されることを知らせる制御信号を命令発行ユニット498へ送り、さらに、どのロード命令に対してロード・データが返却されるのかを示したビット・ベクトルを返却する。
【0174】
C)IEU制御経路の詳細
再び、図5を参照して、IEU制御経路のオペレーションを図14に示したタイミング図と関連づけて説明する。図14に示した命令の実行タイミングは、本発明のオペレーションを例示したもので、種々態様に変更可能であることは勿論である。
【0175】
図14のタイミング図は、プロセッサ・システム・クロック・サイクルPO-6 のシーケンスを示している。各プロセッサ・サイクルは内部TサイクルTO から始まる。本発明の好適実施例によるアーキテクチャ100では、各プロセッサ・サイクルは2つのTサイクルからなっている。
【0176】
プロセッサ・サイクル0のときは、IFU102とVMU108は物理アドレスを生成するように動作する。この物理アドレスはCCU106へ送られ、命令用キャッシュ・アクセス・オペレーションが開始される。要求された命令セットが命令用キャッシュ132にあると、命令セットはプロセッサ・サイクル1のほぼ中間でIFU102へ戻される。そのあと、IFU102はプリフェッチ・ユニット260とIFIFO264を経由する命令セットの転送を管理し、転送された命令セットはまずIEU104へ実行のために渡される。
【0177】
1)Eデコード・ユニットの詳細
Eデコード・ユニット490は全命令セットを並列に受け取って、プロセッサ・サイクル1が完了する前にデコードする。Eデコード・ユニット490は好適アーキテクチャ100では、バス124を経由して受け取ったすべての有効命令を並列に直接デコードする機能を備えた順列組合せ理論に基づくロジック・ブロックとして実現されている。アーキテクチャ100によって認識される命令は、各タイプ別に、命令、レジスタ要件および必要な資源の仕様とともに表VIに示されている。
【0178】
【0179】
Eデコード・ユニット490は命令セットの各命令を並列にデコードする。その結果の命令の識別、命令機能、レジスタ参照および機能要件はEデコード・ユニット490の出力から得られる。この情報は再生成され、命令セット内のすべての命令が退避されるまでプロセッサ・サイクルの各半サイクル期間、Eデコード・ユニット490によってラッチされる。したがって、保留状態の8命令すべてに関する情報がEデコード・ユニット490の出力から絶えず得られるようになっている。この情報は8エレメント・ビット・ベクトルの形式で表示され、各ベクトルのビットまたはサブフィールドは2つの保留中命令セット内の対応する命令の物理ロケーションに論理的に対応している。したがって、8個のベクトルが制御ライン502を経由してキャリー・チェッカ492へ送られる。この場合、各ベクトルは対応する命令がプロセッサ状況ワードのキャリー・ビットに作用を及ぼしているか、あるいはそれに依存しているかを指定している。8個のベクトルが各命令の特定の内容と機能ユニット要件を示すために制御ライン510を経由して送られる。8個のベクトルが制御ライン506を経由して送られ、8個の保留中命令の各々によって使用されたレジスタ参照を指定している。これらのベクトルはプロセッサ・サイクル1が終了する前に送られる。
【0180】
2)キャリー・チェッカ・ユニットの詳細
キャリー・チェッカ・ユニット492は図14に示すオペレーションのデータ依存関係フェーズ期間の間に依存関係検査ユニット494と並列に動作する。キャリー・チェッカ・ユニット492は好適アーキテクチャ100では順列組合せ理論に基づくロジックとして実現されている。したがって、キャリー・チェッカ・ユニット492によるオペレーションの各繰り返し時に、命令がプロセッサ状態レジスタのキャリー・フラグを変更したかどうかについて8個の命令すべてが考慮される。これが必要とされるのは、その前の命令によって設定されたキャリー・ビットの状況に依存する命令を順序外で実行することを可能にするためである。制御ライン504上に送出された制御信号により、キャリー・チェッカ・ユニット492は、キャリー・フラグに対する先行命令の実行に依存する特定の命令を識別することができる。
【0181】
さらに、キャリー・チェッカ・ユニット492は8個の保留中命令の各々についてキャリー・ビットの一時的コピーをもっている。キャリー・ビットを変更していない命令については、キャリー・チェッカ・ユニット492はプログラム命令ストリームの順序でキャリー・ビットを次の命令に伝える。したがって、順序外で実行され、キャリー・ビットを変更する命令を実行させることが可能であり、さらに、その順序外で実行される命令に依存する後続の命令も、キャリー・ビットを変更する命令のあとに置かれていても、実行することが可能である。さらに、キャリー・ビットがキャリー・チェッカ・ユニット492によって維持されているので、これらの命令の退避以前に例外が起こったとき、キャリー・チェッカ・ユニットは内部一時キャリー・ビット・レジスタをクリアするだけでよいことから、順序外で実行することが容易になる。その結果、プロセッサ状況レジスタは、順序外で実行される命令の実行による影響を受けない。キャリー・チェッカ・ユニット492が維持している一時キャリー・ビット・レジスタは、順序外で実行される各命令が完了すると更新される。順序外で実行される命令が退避されると、プログラム命令ストリームの中で最後に退避された命令に対応するキャリー・ビットはプロセッサ状況レジスタのキャリー・ビット・ロケーションへ転送される。
【0182】
3)データ依存関係チェッカ・ユニットの詳細
データ依存関係チェッカ・ユニット494はEデコード・ユニット490から制御ライン506を経由して8個のレジスタ参照識別ベクトルを受け取る。各レジスタの参照は32個のレジスタを一度に1つを識別するのに適した5ビット値と“A”“B”またはブール・レジスタ・セット内に置かれているレジスタ・バンクを識別する2ビット値によって示されている。浮動小数点レジスタ・セットは“B”レジスタ・セットとも呼ばれる。各命令は最高3つまでのレジスタ参照フィールドを持つことができる。2つのソース・レジスタ・フィールドと1つの宛先レジスタ・フィールドである。ある種の命令、特にレジスタ間移動命令は、宛先レジスタを指定している場合があっても、Eデコード・ユニット490によって認識される命令ビット・フィールドは実際に作製される出力データがないことを意味している場合がある。むしろ、命令の実行は、プロセッサ状況レジスタの値の変更を判断することだけを目的としている。
【0183】
データ依存関係チェッカ494も好適アーキテクチャ100において純然たる組合せロジック(pure combinatorial logic)で実現されているが、これはプログラム命令ストリーム内に後に現れる命令のソース・レジスタ参照と相対的に前に置かれた命令の宛先レジスタ参照との間の依存関係を同時に判断するように動作する。ビット配列は、どの命令が他の命令に依存するかを識別するだけでなく、各依存関係がどのレジスタに基づいて生じたかを識別するデータ依存関係チェッカ494によって作られる。
キャリーとレジスタ・データの依存関係は、第2プロセッサ・サイクルの開始直後に判別される。
【0184】
4)レジスタ改名ユニットの詳細
レジスタ改名ユニット496は8個の保留中の命令すべてのレジスタ参照のIDを制御ライン506を経由してレジスタ依存関係を制御ライン508を経由して受け取る。8個のエレメントからのマトリックスも制御ライン542を経由して受け取る。これらのエレメントは保留中命令の現セットの中でどの命令が実行されたか(完了したか)を示している。この情報からレジスタ改名ユニット496は制御信号の8エレメント配列を制御ライン512を経由して命令発行ユニット498へ送る。このようにして送られた制御情報は、現セットのデータ依存関係が判別された場合に、まだ実行されていない現在保留中の命令のうちどの命令の実行が可能になったかについてレジスタ改名ユニット496が行った判断を反映している。レジスタ改名ユニット496は実行のために同時に出される最高6個までの命令を識別した選択制御信号をライン516を経由して受信する。つまり、2個の整数命令、2個の浮動小数点命令および2個のブール命令である。
【0185】
レジスタ改名ユニット496はバス518を経由してレジスタ・ファイル配列472へ送られた制御信号を通して、識別された命令を実行する際にアクセスするソース・レジスタを選択するというもう一つの機能を備えている。順序外で実行される命令の宛先レジスタは、対応するデータ経路の一時バッファ612、680、728に置かれているものとして選択される。順序内で実行される命令は完了すると退避され、その結果データはレジスタ・ファイル614、684、732にストアされていく。ソース・レジスタの選択は、レジスタが以前に宛先として選択され、対応する以前の命令がまだ退避されていないかどうかによって決まる。そのような場合には、ソース・レジスタは対応する一時バッファ612、680、728から選択される。以前の命令が退避されていた場合は、対応するレジスタ・ファイル614、684、732のレジスタが選択される。その結果、レジスタ改名ユニット496は順序外で実行される命令の場合には、レジスタ・ファイル・レジスタの参照を一時バッファ・レジスタの参照に実効的に置き換えるように動作する。
【0186】
アーキテクチャ100によれば、一時バッファ612、680、728は対応するレジスタ・ファイル配列のレジスタ構造と重複していない。むしろ、8個の保留命令の各々に対して1つの宛先レジスタ・スロットが用意されている。その結果、一時バッファ宛先レジスタ参照の置換は、保留レジスタ・セット内の対応する命令のロケーションによって判断される。そのあとのソース・レジスタ参照はソース依存関係が発生した命令に対してデータ依存関係チェッカ494によって識別される。したがって、一時バッファ・レジスタ内の宛先スロットはレジスタ改名ユニット496によって容易に判断することが可能である。
【0187】
5)命令発行ユニットの詳細
命令発行ユニット498は、発行できる命令のセットをレジスタ改名ユニット496の出力とEデコード・ユニット490によって識別された命令の機能要件に基づいて判断する。命令発行ユニット498は制御ライン514を経由して報告された機能ユニット478O-n の各々の状況に基づいてこの判断を行う。したがって、命令発行ユニット498は発行すべき使用可能な命令セットをレジスタ改名ユニット496から受信すると、オペレーションを開始する。各命令を実行するためにレジスタ・ファイルへのアクセスが必要であるとすると、命令発行ユニット498は現在命令を実行中の機能ユニット498O-n が使用可能であることを予想する。レジスタ改名ユニット496へ発行すべき命令を判別する際の遅延を最小にするために、命令発行ユニット498は専用の組合せロジックで実現されている。
【0188】
発行すべき命令を判別すると、レジスタ改名ユニット496はレジスタ・ファイルへのアクセスを開始し、このアクセスは第3プロセッサ・サイクルP2 が終了するまで続けられる。プロセッサ・サイクルP3 が開始すると、命令発行ユニット498は「Execute 0」で示すように1つまたは2つ以上の機能ユニット478O-n によるオペレーションを開始し、レジスタ・ファイル配列472から送られてきたソース・データを受信して処理する。
【0189】
代表例として、アーキテクチャ100で処理される大部分の命令は1プロセッサ・サイクルで機能ユニットを通して実行される。しかし、一部の命令は、「Execute 1」で示すように、同時に出された命令を完了するのに複数のプロセッサ・サイクルを必要とする。Execute 0命令とExecute 1命令は、例えばそれぞれALUと浮動小数点乗算機能ユニットに実行させることができる。ALU機能ユニットは図14に示すように、1プロセッサ・サイクル内で出力データを発生し、この出力データはラッチしておくだけで、第5プロセッサ・サイクルP4 時に別の命令を実行する際に使用することができる。浮動少数点乗算機能ユニットは内部パイプライン化機能ユニットにすることが好ましい。したがって、次のプロセッサ・サイクルで別の浮動小数点命令を出すことができる。しかし、最初の命令の結果はデータに依存するプロセッサ・サイクル数の間使用することができない。図14に示す命令は、機能ユニットでの処理を完了するためには、3プロセッサ・サイクルを必要とする。
【0190】
各プロセッサ・サイクルの間に、命令発行ユニット498の機能は繰り返される。その結果、現在の保留中の命令セットの状況と機能ユニット478O-n の全セットの使用可能状況は各プロセッサ・サイクルの間に再評価される。したがって、最適条件のとき、好適アーキテクチャ100はプロセッサ・サイクルごとに最高6個までの命令を実行することができる。しかし、代表的な命令ミックスから得られる総平均実行命令数は1プロセッサ・サイクル当たり1.5個ないし2.0個である。
【0191】
命令発行ユニット498の機能で最後に考慮すべきことは、このユニットがトラップ条件の処理と特定命令の実行に関与することである。トラップ条件を発生するためには、まだ退避されていないすべての命令をIEU104からクリアする必要がある。このような事態は、算術演算エラーに応答して、機能ユニット478O-n のいずれからか、あるいは例えば違法命令をデコードしたときにEデコード・ユニット490から外部割り込みを受信し、それが割り込み要求/受信確認制御ライン340を経由して、IEU104へ中継されたのに応答して、起こることがある。トラップ条件が発生しとき、命令発行ユニット498は現在IEU104で保留されているすべての非退避命令を中止または無効にすることを受け持つ。同時に退避できない命令はすべて無効にされる。この結果は、プログラム命令ストリームを順序内で実行する従来の方式に対して割り込みを正確に発生させるために不可欠である。IEU104がトラップ処理プログラム・ルーチンの実行を開始する準備状態になると、命令発行ユニット498は制御ライン340を経由するリターン制御信号によって割り込みの受信を確認する。また、従来の純然たる順序内ルーチンにおいてある命令が実行される前に変更されたプロセッサ状態ビットに基づいてその命令に対する例外条件が認識される可能性を防止するために命令発行ユニット498は、PSRを変更する可能性のあるすべての命令(特殊移動やトラップからのリターンなど)が厳格に順序内で実行されるようにすることを受け持つ。
【0192】
プログラム制御の流れを変更するある種の命令は、Iデコード・ユニット262によって判別されない。この種の命令には、サブルーチン・リターン、プロシージャ命令からのリターン、トラップからのリターンがある。命令発行ユニット498は判別制御信号をIEUリターン制御ライン350を経由してIFU102へ送る。特殊レジスタ412のうち対応するものが選択されて、コール命令の実行時、トラップの発生時またはプロシージャ命令の出現時に存在していたIF PC実行アドレスを出力する。
【0193】
6)完了制御ユニットの詳細
完了制御ユニット540は機能ユニット478O-n をモニタして、現在のオペレーションの完了状況を調べる。好適アーキテクチャ100では、完了制御ユニット540は各機能ユニットによるオペレーションの完了を予想して、現在保留中の命令セットの中の各命令の実行状況を示した完了ベクトルを機能ユニット478O-n による命令の実行完了よりも約半プロセッサ・サイクル前にレジスタ改名ユニット496、バイパス制御ユニット520および退避制御ユニット500へ送る。これにより、命令発行ユニット498はレジスタ改名ユニット496を通して、実行を完了する機能ユニットを次の命令発行サイクルに対して使用可能な資源として考慮することができる。バイパス制御ユニット520は、機能ユニットから出力されたデータをバイパス・ユニット474を通るようにバイパスする準備を行うことができる。最後に、退避制御ユニット500は機能ユニット478O-n からレジスタ・ファイル配列472へデータを転送するのと同時に対応する命令を退避するように動作する。
【0194】
7)退避制御ユニットの詳細
完了制御ユニット540から送られた命令完了ベクトルの他に、退避制御ユニット500はEデコード・ユニット490から出力された最も古い命令セットをモニタする。命令ストリーム順序の中の各命令に完了制御ユニット540によって完了の印(マーク)が付けられると、退避制御ユニット500は、制御ライン534上に送出された制御信号を通して一時バッファ・スロットからレジスタ・ファイル配列472内の対応する命令が指定したファイル・レジスタ・ロケーションへデータを転送することを指示する。1つまたは複数の命令が同時に退避されると、PC Inc/size制御信号が制御ライン344上に送出される。各プロセッサ・サイクルごとに最高4個までの命令を退避することが可能である。命令セット全体が退避されると、IFIFO読取り制御信号が制御ライン342上に送出されてIFIFO264を前進させる。
【0195】
8)制御フロー制御ユニットの詳細
制御フロー制御ユニット528は、現在の保留中命令セット内の制御フロー命令が解決されたかどうか、さらに、その結果ブランチが行われたかどうかを指定した情報をIFU102に絶えず与えるように動作する。制御フロー制御ユニット528はEデコード・ユニット490による制御フロー・ブランチ命令の識別情報を制御ライン510を経由して取得する。現在のレジスタ依存関係のセットは、制御ライン536を経由してデータ依存関係チェッカ・ユニット494から制御フロー制御ユニット528へ送られるので、制御フロー制御ユニット528はブランチ命令の結果が依存関係に拘束されているかどうか、あるいは判明しているかどうかを判断することができる。レジスタ改名ユニット496からバス518を経由して、送られたレジスタの参照は制御フロー制御ユニット528によってモニタされ、ブランチ決定を定義するブール・レジスタが判別される。したがって、ブランチ決定は制御フロー命令の順序外の実行以前でも判断することが可能である。
【0196】
制御フロー命令の実行と同時に、バイパス・ユニット474は、制御フロー1と制御フロー2の制御ライン750、752からなる制御ライン530を経由して制御フローの結果を制御フロー制御ユニット528へ送るように指示される。最後に、制御フロー制御ユニット528は各々が8ビットの2個のベクトルを制御ライン348を経由して、IFU102へ連続して送る。これらのベクトルは、ベクトル内のビットに対応する論理ロケーションに置かれた命令が解決されたか否かおよびその結果ブランチが行われたか否かを定義している。
好適アーキテクチャ100では、制御フロー制御ユニット528は制御ユニット528への入力制御信号を受けて連続的に動作する組合せロジックとして実現されている。
【0197】
9)バイパス制御ユニットの詳細
命令発行ユニット498は、バイパス制御ユニット520と厳密に協働して、レジスタ・ファイル配列472と機能ユニット478O-n 間のデータのルーチング(経路指定)を制御する。バイパス制御ユニット520は図14に示すオペレーションのレジスタ・ファイル・アクセス、出力およびストア・フェーズと関連して動作する。レジスタ・ファイル・アクセスの間にはバイパス制御ユニット520は命令の実行の出力フェーズの間に書き込まれている途中にあるレジスタ・ファイル配列472内の宛先レジスタのアクセスを制御ライン522を通して認識することができる。この場合、バイパス制御ユニット520はバイパスして機能ユニット配布バス480に返すように、機能ユニット出力バス482上に送出されたデータを選択することを指示する。バイパス・ユニット520に対する制御は、制御ライン542を通して命令発行ユニット498によって行われる。
【0198】
IV.仮想メモリ制御ユニット
VMU108のインタフェース定義は図15に示されている。VMU108は主にVMU制御ロジック・ユニット800と内容アドレス(content addressable)メモリ(CAM)802から構成されている。VMU108の一般的機能は図16にブロック図で示してある。
同図において、仮想アドレスの表現はスペースID(sID〔31:28〕)、仮想ページ番号(VADDR〔27:14〕)、ページ・オフセット(PADDR〔13:4〕)、および要求ID(rID〔3:0〕)に分割されている。物理アドレスを生成するためのアルゴリズムでは、スペースIDを使用して、スペース・テーブル842内の16個のレジスタから1つを選択するようになっている。選択したスペース・レジスタの内容と仮想ページ番号とを組み合わせて、テーブル索引バッファ(TLB)844をアクセスするときのアドレスとして使用される。34ビット・アドレスは内容アドレス・タグの働きをし、バッファ844内の対応するバッファ・レジスタを指定するために使用される。タグに一致するものが見つかると、18ビット幅レジスタ値が物理アドレス846の上位18ビットとして得られる。ページ・オフセットと要求IDは物理アドレス846の下位14ビットとして得られる。
【0199】
タグに一致するものがテーブル索引バッファ844に見つからないと、VMU不一致が通知される。この場合は、MAU112に維持されている完全ページ・テーブル・データ構造をアクセスする従来のハッシュ・アルゴリズム848を採用したVMU高速トラップ処理ルーチンを実行させる必要がある。このページ・テーブル850はアーキテクチャ100によって現在使用中のすべてのメモリ・ページのエントリを含んでいる。ハッシュ・アルゴリズム848は現在の仮想ページ変換操作を満たすために必要なページ・テーブル・エントリを判別する。これらのページ・テーブル・エントリはMAU112からレジスタ・セット“A”のトラップ・レジスタへロードされ、そのあと特殊レジスタ移動命令によってテーブル索引バッファ844へ転送される。例外処理ルーチンから戻ると、VMU不一致例外を引き起こした命令はIEU104によって再実行される。仮想アドレスから物理アドレスへの変換操作は例外を引き起こさないで完了するはずである。
【0200】
VMU制御ロジック800はIFU102およびIEU104とのデュアル・インタフェースとなる。準備信号は制御ライン822を経由して、IEU104へ送られ、VMU108がアドレス変換のために使用可能であることを通知する。好適実施例では、VMU108は常にIFU102の変換要求を受け付ける準備状態にある。IFU102およびIEU104は共に、制御ライン328および804を経由して要求を提示することができる。好適アーキテクチャ100では、IFUは優先してVMU108をアクセスすることができる。その結果、ビジー(使用中)制御ライン820は1つだけがIEU104に出力される。
【0201】
IFU102およびIEU104は共にスペースIDと仮想ページ番号フィールドをそれぞれ制御ライン326および808を経由して、VMU制御ロジック800へ送る。さらにIEU104は読み書き制御信号を制御信号806で出力する。この制御信号は、参照された仮想メモリのメモリ・アクセス保護属性を変更するために、そのアドレスをロード・オペレーションに使用すべきか、ストア・オペレーションに使用すべきかを必要に応じて定義している。仮想アドレスのスペースIDと仮想ページ・フィールドは、CAMユニット802に渡されて、実際の変換操作が行われる。ぺージ・オフセットとExIDフィールドは最終的にIEU104から直接にCCU106へ送られる。物理ページと要求IDフィールドは、アドレス・ライン836を経由してCAMユニット802へ送られる。テーブル索引バッファに一致するものが見つかると、ヒット・ラインと制御出力ライン830を経由してVMU制御ロジック・ユニット800に通知される。その結果の18ビット長の物理アドレスはアドレス出力ライン824上に出力される。
【0202】
VMU制御ロジック・ユニット800は、ライン830からヒットおよび制御出力制御信号を受けると、仮想メモリ不一致と仮想メモリ例外制御信号をライン334、332上に出力する。仮想メモリ変換不一致とは、テーブル索引バッファ844内のページ・テーブルIDと一致しなかったことを意味する。その他の変換エラーはすべて仮想メモリ例外として報告される。
【0203】
最後にCAMユニット802内のデータ・テーブルは特殊レジスタ間移動命令をIEU104が実行することによって変更することができる。読み書き、レジスタ選択、リセット、ロードおよびクリア制御信号はIEU104から制御ライン810、812、814、816、818を経由して出力される。CAMユニット・レジスタに書くべきデータは、特殊アドレス・データ・バス354に接続されたアドレス・バス808を経由してIEU104からVMU制御ロジック・ユニット800によって受信される。このデータは初期設定、レジスタ選択、および読み書き制御信号を制御する制御信号と同時にバス836を経由してCAMユニット802へ転送される。その結果、CAMユニット802内のデータ・レジスタは、より高レベルのオペレーティング・システムで定義されているコンテキスト・スイッチを処理するとき必要になるストアのための読出しを含めて、アーキテクチャ100の動的オペレーションの間に必要に応じて即座に書き出すことができる。
【0204】
V.キャッシュ制御ユニット
CCU106のデータ・インタフェースに対する制御は、図17に示されている。この場合も、IFU102とIEU104用に別々のインタフェースが設けられている。さらに論理的に別個のインタフェースがCCU106に用意され、命令とデータ転送のためにMCU110と結ばれている。
IFUインタフェースはアドレス・ライン324上に送出される物理ページ・アドレス、アドレス・ライン824上に送出されるVMU変換ページ・アドレス、および制御ライン294、296上を別々に転送される要求IDからなっている。単方向データ転送バス114は命令セット全体をIFU102と並列に転送するためのものである。最後に、読取り/使用中および準備制御信号は制御ライン298、300、302を経由して、CCU106へ送られる。
【0205】
同様に、物理アドレス全体は物理アドレス・バス788を経由して、IEU104へ送られる。要求ExIDは制御ライン796を経由して、IEU104のロード/ストア・ユニットとの間で別々に受渡される。80ビット幅単方向データ・バスはCCU106からIEU104に出力される。
しかし、アーキテクチャ100の好適実施例では、下位の64ビットだけがIEU104によって使用される。全80ビット・データ転送バスをCCU106内で使用できるようにし、かつ、サポートしているのは、本アーキテクチャ100の引き続いての実行をサポートするためであり、浮動少数点データ経路660を変更することによって、IEEE標準754に準拠する浮動小数点のオペレーションをサポートする。
【0206】
IEU制御インターフェースは、要求、使用中、準備、読み書きを通して、および制御信号784を通して確立され、実質的にはIFU102によって使用される対応する制御信号と同じである。例外は、ロード・オペレーションとストア・オペレーションを区別するための読み書き制御信号が設けられていることである。幅制御信号はIEU104による各CCU106へのアクセス時に転送されるバイト数を指定している。これに対して、命令用キャッシュ132の全てのアクセスは固定した128ビット幅データ・フェッチ・オペレーションになっている。
【0207】
CCU106は命令用キャッシュ132とデータ用キャッシュ134に対して従来とほぼ同じキャッシュ制御機能を備えている。好適アーキテクチャ100では,命令用キャッシュ132は256個の128ビット幅命令セットをストアする機能を備えた高速メモリになっている。データ用キャッシュ134は1024個の32ビット幅ワードのデータをストアする機能を備えている。命令用キャッシュ132とデータ用キャッシュ134の内容から即時に満足できない命令要求とデータ要求はMCU110に渡される。命令用キャッシュがミスした場合は、28ビット幅物理アドレスがアドレス・バス860を経由してMCU110に渡される。要求IDおよびCCU106とMCU110のオペレーションを調整するための追加制御信号は制御ライン862上に送出される。MCU110がMAU112の必要な読取りアクセスを調整すると、2つの連続する64ビット幅データ転送が直接にMAU112から命令用キャッシュ132へ行われる。2つの転送が必要になるのは、データ・バス136が好適アーキテクチャ100では、64ビット幅バスになっているためである。要求したデータがMCU110を通して返却されると、要求オペレーションの保留中に維持されていた要求IDも制御ライン862を経由してCCU106へ返却される。
【0208】
データ用キャッシュ134とMCU110との間のデータ転送オペレーションは、命令用キャッシュの転送オペレーションとほぼ同じである。データ・ロードとストア・オペレーションは単一バイトを参照できるので、全32ビット幅の物理アドレスがアドレス・バス864を経由して、MCU110へ送られる。インタフェース制御信号と要求ExIDは制御ライン866を経由して、転送される。双方向の64ビット幅データ転送はデータ用キャッシュ・バス138を経由して行われる。
【0209】
【発明の効果】
高性能RISCをベースとしたマイクロプロセッサ・アーキテクチャは以上に説明した通りである。本発明のアーキテクチャによれば、命令を順序外に実行することができ、メインとターゲット命令ストリームのプリフェッチ命令転送経路を別々に設け、およびプロシージャ命令認識と専用プリフェッチ経路を設けることができる。命令実行ユニットは最適化されているので、最適化された複数のデータ処理経路で整数、浮動小数点およびブール演算をサポートすることができ、また、それぞれの一時レジスタ・ファイルが設けられているので、容易に設定されるマシン状態の状況を正確に維持しながら、順序外の実行と命令取消しを容易に行うことができる。
したがって、上述した説明では、本発明の好適実施例を開示しているが、当業者にとって本発明の範囲内で種々変更および改良することが可能であることは勿論である。
【図面の簡単な説明】
【図1】 本発明を実現するマイクロプロセッサ・アーキテクチャを示す簡略図である。
【図2】 本発明の命令フェッチ・ユニットを示す詳細ブロック図である。
【図3】 本発明のプログラム・カウンタ・ロジック・ユニットを示すブロック図である。
【図4】 プログラム・カウンタ・データと制御経路ロジックを示す別の詳細ブロック図である。
【図5】 本発明の命令実行ユニットを示す簡略ブロック図である。
【図6】 レジスタ・ファイル・アーキテクチャ及び1次及び2次命令セットを図形で示す図である。
【図7】 1次整数レジスタの再構成ステージを図形で示す図である。
【図8】 本発明の再構成可能浮動小数点及び2次整数レジスタ・セットを図形で示す図である。
【図9】 本発明の3次ブール・レジスタ・セットを図形で示す図である。
【図10】 本発明の命令実行ユニットの1次整数処理データ経路部分を示す詳細ブロック図である。
【図11】 本発明の命令実行ユニットの1次浮動小数点データ経路部分を示す詳細ブロック図である。
【図12】 本発明の命令実行ユニットのブール演算データ経路部分を示す詳細ブロック図である。
【図13】 本発明のロード/ストア・ユニットを示すブロック図である。
【図14】 本発明のオペレーション順序を示すタイミング図である。
【図15】 本発明の仮想メモリ制御ユニットを示す簡略ブロック図である。
【図16】 本発明の仮想メモリ制御アルゴリズムを示すブロック図である。
【図17】 本発明のキャッシュ制御ユニットを示す簡略ブロック図である。
【符号の説明】
100…アーキテクチャの概要、102…命令フェッチ・ユニット(IFU)104…命令実行ユニット(IEU)、106…キャッシュ制御ユニット(CUU)、108…仮想メモリ・ユニット(VMU)、110…メモリ制御ユニット(MCU)、112…メモリ・アレイ・ユニット(MAU)。
Claims (3)
- 命令を同時に実行可能な複数の機能ユニットと該機能ユニットにおける前記命令の実行時に使用可能なレジスタとを有するスーパースカラー型マイクロプロセッサであって、
複数の命令を、前記機能ユニットでの実行に先立って、予め定めたブログラム順序でメモリからプリフェッチするプリフェッチユニットと、
該プリフェッチユニットの後段に設けられ、複数の命令を保持するバッファと、
前記バッファの後段に設けられ、前記バッファに保持された命令のうちの複数命令を同時にデコードするデコーダと、
該デコードされた命令を前記機能ユニットにより実行する際に用いられる前記レジスタを複数備えたレジスタファイルと、
前記デコーダから出力された前記複数の命令の依存関係を、少なくとも前記レジスタの使用条件に基づいて検出する依存関係チェックユニットと、
前記依存関係チェックユニットにより依存関係に基づく実行上の制約がないと判断された前記命令については、複数の機能ユニットに順序外での実行を割り当てる命令発行ユニットと、
前記順序外で実行された命令を前記プログラム順序で完了させる際には、前記デコードされた命令であって前記バッファに保持されている前記命令を参照して、順序外で実行され前記命令の結果が格納されるレジスタを特定し、前記命令のリタイアを行なうリタイアユニットと
を備えたスーパースカラー型マイクロプロセッサ。 - 請求項1記載のスーパースカラー型マイクロプロセッサであって、
前記レジスタファイルは、複数の命令を順序外で実行した結果を蓄える一時バッファを備え、
前記複数の命令を、前記順序外で実行する際には、前記一時バッファを用い、前記順序外で実行された命令を前記プログラム順序で完了させる際には、前記一時バツファの内容を対応する前記レジスタに書き込んで、前記命令のリタイアを行なう
スーパースカラー型マイクロプロセッサ。 - 請求項1または請求項2記載のスーパースカラー型マイクロプロセッサと、
該スーパースカラー型マイクロプロセッサが、前記命令の実行に伴って、システムメモリバスを介してデータをアクセスするメモリと
を備えたデータ処理装置。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US72700691A | 1991-07-08 | 1991-07-08 | |
US07/727006 | 1991-07-08 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP50215093A Division JP3441070B2 (ja) | 1991-07-08 | 1992-07-07 | 高性能riscマイクロプロセッサ及びデータ処理装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2000339160A JP2000339160A (ja) | 2000-12-08 |
JP3654138B2 true JP3654138B2 (ja) | 2005-06-02 |
Family
ID=24920949
Family Applications (23)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP50215093A Expired - Lifetime JP3441070B2 (ja) | 1991-07-08 | 1992-07-07 | 高性能riscマイクロプロセッサ及びデータ処理装置 |
JP2000145125A Expired - Lifetime JP3654138B2 (ja) | 1991-07-08 | 2000-05-17 | スーパースカラー型マイクロプロセッサ及びデータ処理装置 |
JP2000145126A Withdrawn JP2000357091A (ja) | 1991-07-08 | 2000-05-17 | コンピュータシステム |
JP2000145123A Expired - Lifetime JP3654137B2 (ja) | 1991-07-08 | 2000-05-17 | スーパースカラー型マイクロプロセッサ及びデータ処理装置 |
JP2000145124A Withdrawn JP2000339159A (ja) | 1991-07-08 | 2000-05-17 | スーパースカラー型マイクロプロセッサ |
JP2000146443A Withdrawn JP2000339163A (ja) | 1991-07-08 | 2000-05-18 | スーパースカラーマイクロプロセッサ、該プロセッサシステム、該プロセッサシステムにおけるデータ処理方法、及び該プロセッサを備えたコンピュータシステム |
JP2000146442A Withdrawn JP2000339162A (ja) | 1991-07-08 | 2000-05-18 | スーパースカラーマイクロプロセッサ |
JP2000146441A Expired - Lifetime JP3654139B2 (ja) | 1991-07-08 | 2000-05-18 | スーパースカラーマイクロプロセッサ及びデータ処理装置 |
JP2002267998A Expired - Lifetime JP3702873B2 (ja) | 1991-07-08 | 2002-09-13 | マイクロプロセッサを用いた命令実行方法 |
JP2004266867A Expired - Lifetime JP3729202B2 (ja) | 1991-07-08 | 2004-09-14 | スーパースカラーマイクロプロセッサ |
JP2004299657A Expired - Lifetime JP3838252B2 (ja) | 1991-07-08 | 2004-10-14 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2004329902A Expired - Lifetime JP3760947B2 (ja) | 1991-07-08 | 2004-11-15 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2004367718A Expired - Lifetime JP3760948B2 (ja) | 1991-07-08 | 2004-12-20 | スーパースカラーマイクロプロセッサ |
JP2005011006A Expired - Lifetime JP3731604B2 (ja) | 1991-07-08 | 2005-01-19 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005041740A Expired - Lifetime JP3731605B2 (ja) | 1991-07-08 | 2005-02-18 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005119940A Expired - Lifetime JP3791542B2 (ja) | 1991-07-08 | 2005-04-18 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005145542A Expired - Lifetime JP3791544B2 (ja) | 1991-07-08 | 2005-05-18 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005178170A Expired - Lifetime JP3791545B2 (ja) | 1991-07-08 | 2005-06-17 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005208079A Expired - Lifetime JP3791547B2 (ja) | 1991-07-08 | 2005-07-19 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005237341A Expired - Lifetime JP3791548B2 (ja) | 1991-07-08 | 2005-08-18 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005271886A Expired - Lifetime JP3791550B2 (ja) | 1991-07-08 | 2005-09-20 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2006162315A Expired - Lifetime JP3915842B2 (ja) | 1991-07-08 | 2006-06-12 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2006191594A Withdrawn JP2006313564A (ja) | 1991-07-08 | 2006-07-12 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP50215093A Expired - Lifetime JP3441070B2 (ja) | 1991-07-08 | 1992-07-07 | 高性能riscマイクロプロセッサ及びデータ処理装置 |
Family Applications After (21)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000145126A Withdrawn JP2000357091A (ja) | 1991-07-08 | 2000-05-17 | コンピュータシステム |
JP2000145123A Expired - Lifetime JP3654137B2 (ja) | 1991-07-08 | 2000-05-17 | スーパースカラー型マイクロプロセッサ及びデータ処理装置 |
JP2000145124A Withdrawn JP2000339159A (ja) | 1991-07-08 | 2000-05-17 | スーパースカラー型マイクロプロセッサ |
JP2000146443A Withdrawn JP2000339163A (ja) | 1991-07-08 | 2000-05-18 | スーパースカラーマイクロプロセッサ、該プロセッサシステム、該プロセッサシステムにおけるデータ処理方法、及び該プロセッサを備えたコンピュータシステム |
JP2000146442A Withdrawn JP2000339162A (ja) | 1991-07-08 | 2000-05-18 | スーパースカラーマイクロプロセッサ |
JP2000146441A Expired - Lifetime JP3654139B2 (ja) | 1991-07-08 | 2000-05-18 | スーパースカラーマイクロプロセッサ及びデータ処理装置 |
JP2002267998A Expired - Lifetime JP3702873B2 (ja) | 1991-07-08 | 2002-09-13 | マイクロプロセッサを用いた命令実行方法 |
JP2004266867A Expired - Lifetime JP3729202B2 (ja) | 1991-07-08 | 2004-09-14 | スーパースカラーマイクロプロセッサ |
JP2004299657A Expired - Lifetime JP3838252B2 (ja) | 1991-07-08 | 2004-10-14 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2004329902A Expired - Lifetime JP3760947B2 (ja) | 1991-07-08 | 2004-11-15 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2004367718A Expired - Lifetime JP3760948B2 (ja) | 1991-07-08 | 2004-12-20 | スーパースカラーマイクロプロセッサ |
JP2005011006A Expired - Lifetime JP3731604B2 (ja) | 1991-07-08 | 2005-01-19 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005041740A Expired - Lifetime JP3731605B2 (ja) | 1991-07-08 | 2005-02-18 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005119940A Expired - Lifetime JP3791542B2 (ja) | 1991-07-08 | 2005-04-18 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005145542A Expired - Lifetime JP3791544B2 (ja) | 1991-07-08 | 2005-05-18 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005178170A Expired - Lifetime JP3791545B2 (ja) | 1991-07-08 | 2005-06-17 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005208079A Expired - Lifetime JP3791547B2 (ja) | 1991-07-08 | 2005-07-19 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005237341A Expired - Lifetime JP3791548B2 (ja) | 1991-07-08 | 2005-08-18 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2005271886A Expired - Lifetime JP3791550B2 (ja) | 1991-07-08 | 2005-09-20 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2006162315A Expired - Lifetime JP3915842B2 (ja) | 1991-07-08 | 2006-06-12 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
JP2006191594A Withdrawn JP2006313564A (ja) | 1991-07-08 | 2006-07-12 | スーパースカラーマイクロプロセッサおよびデータ処理装置 |
Country Status (8)
Country | Link |
---|---|
US (19) | US5539911A (ja) |
EP (3) | EP0547241B1 (ja) |
JP (23) | JP3441070B2 (ja) |
KR (42) | KR100559463B1 (ja) |
AT (3) | ATE206829T1 (ja) |
DE (4) | DE03024585T1 (ja) |
HK (2) | HK1014782A1 (ja) |
WO (1) | WO1993001545A1 (ja) |
Families Citing this family (233)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5226126A (en) * | 1989-02-24 | 1993-07-06 | Nexgen Microsystems | Processor having plurality of functional units for orderly retiring outstanding operations based upon its associated tags |
US5781753A (en) | 1989-02-24 | 1998-07-14 | Advanced Micro Devices, Inc. | Semi-autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for speculative and out-of-order execution of complex instructions |
US5768575A (en) * | 1989-02-24 | 1998-06-16 | Advanced Micro Devices, Inc. | Semi-Autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for sepculative and out-of-order execution of complex instructions |
JPH04367936A (ja) * | 1991-06-17 | 1992-12-21 | Mitsubishi Electric Corp | スーパースカラープロセッサ |
EP1526446A3 (en) | 1991-07-08 | 2007-04-04 | Seiko Epson Corporation | Extensible RISC microprocessor architecture |
US5493687A (en) | 1991-07-08 | 1996-02-20 | Seiko Epson Corporation | RISC microprocessor architecture implementing multiple typed register sets |
US5539911A (en) * | 1991-07-08 | 1996-07-23 | Seiko Epson Corporation | High-performance, superscalar-based computer system with out-of-order instruction execution |
US5961629A (en) * | 1991-07-08 | 1999-10-05 | Seiko Epson Corporation | High performance, superscalar-based computer system with out-of-order instruction execution |
US5438668A (en) * | 1992-03-31 | 1995-08-01 | Seiko Epson Corporation | System and method for extraction, alignment and decoding of CISC instructions into a nano-instruction bucket for execution by a RISC computer |
US5371684A (en) * | 1992-03-31 | 1994-12-06 | Seiko Epson Corporation | Semiconductor floor plan for a register renaming circuit |
EP0636256B1 (en) | 1992-03-31 | 1997-06-04 | Seiko Epson Corporation | Superscalar risc processor instruction scheduling |
EP0638183B1 (en) * | 1992-05-01 | 1997-03-05 | Seiko Epson Corporation | A system and method for retiring instructions in a superscalar microprocessor |
DE69316955T2 (de) * | 1992-09-18 | 1998-07-30 | Hitachi Ltd | Rechenanlage mit synchronem, dynamischem Speicher |
US6735685B1 (en) * | 1992-09-29 | 2004-05-11 | Seiko Epson Corporation | System and method for handling load and/or store operations in a superscalar microprocessor |
KR100248903B1 (ko) | 1992-09-29 | 2000-03-15 | 야스카와 히데아키 | 수퍼스칼라마이크로프로세서에서의 적재 및 저장연산처리방법 및 시스템 |
US5628021A (en) | 1992-12-31 | 1997-05-06 | Seiko Epson Corporation | System and method for assigning tags to control instruction processing in a superscalar processor |
DE69330889T2 (de) | 1992-12-31 | 2002-03-28 | Seiko Epson Corp., Tokio/Tokyo | System und Verfahren zur Änderung der Namen von Registern |
JP2596712B2 (ja) * | 1993-07-01 | 1997-04-02 | インターナショナル・ビジネス・マシーンズ・コーポレイション | 近接した分岐命令を含む命令の実行を管理するシステム及び方法 |
JP2801135B2 (ja) * | 1993-11-26 | 1998-09-21 | 富士通株式会社 | パイプラインプロセッサの命令読み出し方法及び命令読み出し装置 |
US6393550B1 (en) * | 1993-12-30 | 2002-05-21 | Intel Corporation | Method and apparatus for pipeline streamlining where resources are immediate or certainly retired |
US5956753A (en) * | 1993-12-30 | 1999-09-21 | Intel Corporation | Method and apparatus for handling speculative memory access operations |
US5724536A (en) * | 1994-01-04 | 1998-03-03 | Intel Corporation | Method and apparatus for blocking execution of and storing load operations during their execution |
US5546597A (en) * | 1994-02-28 | 1996-08-13 | Intel Corporation | Ready selection of data dependent instructions using multi-cycle cams in a processor performing out-of-order instruction execution |
US5564056A (en) * | 1994-03-01 | 1996-10-08 | Intel Corporation | Method and apparatus for zero extension and bit shifting to preserve register parameters in a microprocessor utilizing register renaming |
JP3212213B2 (ja) * | 1994-03-16 | 2001-09-25 | 株式会社日立製作所 | データ処理装置 |
US6237082B1 (en) | 1995-01-25 | 2001-05-22 | Advanced Micro Devices, Inc. | Reorder buffer configured to allocate storage for instruction results corresponding to predefined maximum number of concurrently receivable instructions independent of a number of instructions received |
US5901302A (en) * | 1995-01-25 | 1999-05-04 | Advanced Micro Devices, Inc. | Superscalar microprocessor having symmetrical, fixed issue positions each configured to execute a particular subset of instructions |
US5903741A (en) * | 1995-01-25 | 1999-05-11 | Advanced Micro Devices, Inc. | Method of allocating a fixed reorder buffer storage line for execution results regardless of a number of concurrently dispatched instructions |
US5878244A (en) * | 1995-01-25 | 1999-03-02 | Advanced Micro Devices, Inc. | Reorder buffer configured to allocate storage capable of storing results corresponding to a maximum number of concurrently receivable instructions regardless of a number of instructions received |
US5778434A (en) * | 1995-06-07 | 1998-07-07 | Seiko Epson Corporation | System and method for processing multiple requests and out of order returns |
US5710902A (en) * | 1995-09-06 | 1998-01-20 | Intel Corporation | Instruction dependency chain indentifier |
US5694565A (en) * | 1995-09-11 | 1997-12-02 | International Business Machines Corporation | Method and device for early deallocation of resources during load/store multiple operations to allow simultaneous dispatch/execution of subsequent instructions |
US5897665A (en) * | 1995-12-15 | 1999-04-27 | Intel Corporation | Register addressing for register-register architectures used for microprocessors and microcontrollers |
US5930490A (en) * | 1996-01-02 | 1999-07-27 | Advanced Micro Devices, Inc. | Microprocessor configured to switch instruction sets upon detection of a plurality of consecutive instructions |
US5860000A (en) * | 1996-01-31 | 1999-01-12 | Hitachi Micro Systems, Inc. | Floating point unit pipeline synchronized with processor pipeline |
US5715425A (en) * | 1996-02-22 | 1998-02-03 | Sun Microsystems, Inc. | Apparatus and method for prefetching data into an external cache |
US5652774A (en) * | 1996-07-08 | 1997-07-29 | International Business Machines Corporation | Method and apparatus for decreasing the cycle times of a data processing system |
US5872951A (en) * | 1996-07-26 | 1999-02-16 | Advanced Micro Design, Inc. | Reorder buffer having a future file for storing speculative instruction execution results |
US5915110A (en) * | 1996-07-26 | 1999-06-22 | Advanced Micro Devices, Inc. | Branch misprediction recovery in a reorder buffer having a future file |
US5946468A (en) * | 1996-07-26 | 1999-08-31 | Advanced Micro Devices, Inc. | Reorder buffer having an improved future file for storing speculative instruction execution results |
US5983342A (en) * | 1996-09-12 | 1999-11-09 | Advanced Micro Devices, Inc. | Superscalar microprocessor employing a future file for storing results into multiportion registers |
US5774694A (en) * | 1996-09-25 | 1998-06-30 | Intel Corporation | Method and apparatus for emulating status flag |
US5815688A (en) * | 1996-10-09 | 1998-09-29 | Hewlett-Packard Company | Verification of accesses in a functional model of a speculative out-of-order computer system |
US5838941A (en) * | 1996-12-30 | 1998-11-17 | Intel Corporation | Out-of-order superscalar microprocessor with a renaming device that maps instructions from memory to registers |
US6195746B1 (en) | 1997-01-31 | 2001-02-27 | International Business Machines Corporation | Dynamically typed register architecture |
US5864701A (en) * | 1997-02-14 | 1999-01-26 | Integrated Device Technology, Inc. | Apparatus and method for managing interrupt delay associated with mask flag transition |
US5974538A (en) * | 1997-02-21 | 1999-10-26 | Wilmot, Ii; Richard Byron | Method and apparatus for annotating operands in a computer system with source instruction identifiers |
US6263416B1 (en) * | 1997-06-27 | 2001-07-17 | Sun Microsystems, Inc. | Method for reducing number of register file ports in a wide instruction issue processor |
US5944810A (en) * | 1997-06-27 | 1999-08-31 | Sun Microsystems, Inc. | Superscalar processor for retiring multiple instructions in working register file by changing the status bits associated with each execution result to identify valid data |
US6035388A (en) | 1997-06-27 | 2000-03-07 | Sandcraft, Inc. | Method and apparatus for dual issue of program instructions to symmetric multifunctional execution units |
US6128728A (en) * | 1997-08-01 | 2000-10-03 | Micron Technology, Inc. | Virtual shadow registers and virtual register windows |
US5966142A (en) * | 1997-09-19 | 1999-10-12 | Cirrus Logic, Inc. | Optimized FIFO memory |
US6249857B1 (en) * | 1997-10-20 | 2001-06-19 | Motorola, Inc. | Apparatus using a multiple instruction register logarithm based processor |
US6112293A (en) * | 1997-11-17 | 2000-08-29 | Advanced Micro Devices, Inc. | Processor configured to generate lookahead results from operand collapse unit and for inhibiting receipt/execution of the first instruction based on the lookahead result |
US6493790B1 (en) * | 1998-01-30 | 2002-12-10 | Sun Microsystems, Inc. | Translation-lookaside buffer with current tracking reference circuit |
US6345355B1 (en) | 1998-05-29 | 2002-02-05 | Telefonaktiebolaget Lm Ericsson (Publ) | Method and apparatus for distributing commands to a plurality of circuit blocks |
US6317820B1 (en) | 1998-06-05 | 2001-11-13 | Texas Instruments Incorporated | Dual-mode VLIW architecture providing a software-controlled varying mix of instruction-level and task-level parallelism |
US6266761B1 (en) * | 1998-06-12 | 2001-07-24 | International Business Machines Corporation | Method and system in an information processing system for efficient maintenance of copies of values stored within registers |
WO2000011547A1 (en) * | 1998-08-21 | 2000-03-02 | California Institute Of Technology | Processing element with special application for branch functions |
US6308262B1 (en) * | 1998-09-30 | 2001-10-23 | Intel Corporation | System and method for efficient processing of instructions using control unit to select operations |
US6163155A (en) * | 1999-01-28 | 2000-12-19 | Dresser Industries, Inc. | Electromagnetic wave resistivity tool having a tilted antenna for determining the horizontal and vertical resistivities and relative dip angle in anisotropic earth formations |
US6882642B1 (en) * | 1999-10-14 | 2005-04-19 | Nokia, Inc. | Method and apparatus for input rate regulation associated with a packet processing pipeline |
US6470427B1 (en) | 1999-11-09 | 2002-10-22 | International Business Machines Corporation | Programmable agent and method for managing prefetch queues |
EP1109096A3 (en) * | 1999-12-17 | 2004-02-11 | Fujitsu Limited | Processor and method of controlling the same |
US6467027B1 (en) * | 1999-12-30 | 2002-10-15 | Intel Corporation | Method and system for an INUSE field resource management scheme |
US6609193B1 (en) * | 1999-12-30 | 2003-08-19 | Intel Corporation | Method and apparatus for multi-thread pipelined instruction decoder |
US6601162B1 (en) * | 2000-01-19 | 2003-07-29 | Kabushiki Kaisha Toshiba | Processor which executes pipeline processing having a plurality of stages and which has an operand bypass predicting function |
US7149883B1 (en) * | 2000-03-30 | 2006-12-12 | Intel Corporation | Method and apparatus selectively to advance a write pointer for a queue based on the indicated validity or invalidity of an instruction stored within the queue |
US6606684B1 (en) | 2000-03-31 | 2003-08-12 | Intel Corporation | Multi-tiered memory bank having different data buffer sizes with a programmable bank select |
US6446181B1 (en) * | 2000-03-31 | 2002-09-03 | Intel Corporation | System having a configurable cache/SRAM memory |
US6785802B1 (en) | 2000-06-01 | 2004-08-31 | Stmicroelectronics, Inc. | Method and apparatus for priority tracking in an out-of-order instruction shelf of a high performance superscalar microprocessor |
US7080183B1 (en) * | 2000-08-16 | 2006-07-18 | Koninklijke Philips Electronics N.V. | Reprogrammable apparatus supporting the processing of a digital signal stream and method |
SE0003398D0 (sv) * | 2000-09-22 | 2000-09-22 | Ericsson Telefon Ab L M | Optimization of a pipelined processor system |
US6754808B1 (en) * | 2000-09-29 | 2004-06-22 | Intel Corporation | Valid bit generation and tracking in a pipelined processor |
US7149878B1 (en) * | 2000-10-30 | 2006-12-12 | Mips Technologies, Inc. | Changing instruction set architecture mode by comparison of current instruction execution address with boundary address register values |
US7079133B2 (en) * | 2000-11-16 | 2006-07-18 | S3 Graphics Co., Ltd. | Superscalar 3D graphics engine |
US7162718B1 (en) * | 2000-12-12 | 2007-01-09 | International Business Machines Corporation | Language extension for light weight threading in a JVM |
US7069422B2 (en) * | 2000-12-22 | 2006-06-27 | Modelski Richard P | Load-shift carry instruction |
US7007156B2 (en) * | 2000-12-28 | 2006-02-28 | Intel Corporation | Multiple coprocessor architecture to process a plurality of subtasks in parallel |
US6643755B2 (en) * | 2001-02-20 | 2003-11-04 | Koninklijke Philips Electronics N.V. | Cyclically sequential memory prefetch |
US6493814B2 (en) | 2001-03-08 | 2002-12-10 | International Business Machines Corporation | Reducing resource collisions associated with memory units in a multi-level hierarchy memory system |
US7711926B2 (en) * | 2001-04-18 | 2010-05-04 | Mips Technologies, Inc. | Mapping system and method for instruction set processing |
US6826681B2 (en) * | 2001-06-18 | 2004-11-30 | Mips Technologies, Inc. | Instruction specified register value saving in allocated caller stack or not yet allocated callee stack |
US7107439B2 (en) * | 2001-08-10 | 2006-09-12 | Mips Technologies, Inc. | System and method of controlling software decompression through exceptions |
US7191430B2 (en) * | 2001-09-24 | 2007-03-13 | Hewlett-Packard Development Company, L.P. | Providing instruction execution hints to a processor using break instructions |
JP2003140886A (ja) * | 2001-10-31 | 2003-05-16 | Seiko Epson Corp | インストラクションセット及びコンパイラ |
JP4272371B2 (ja) * | 2001-11-05 | 2009-06-03 | パナソニック株式会社 | デバッグ支援装置、コンパイラ装置、デバッグ支援プログラム、コンパイラプログラム、及びコンピュータ読取可能な記録媒体。 |
US7376811B2 (en) * | 2001-11-06 | 2008-05-20 | Netxen, Inc. | Method and apparatus for performing computations and operations on data using data steering |
JP3878508B2 (ja) * | 2001-11-08 | 2007-02-07 | 松下電器産業株式会社 | 回路群制御システム |
US6895460B2 (en) * | 2002-07-19 | 2005-05-17 | Hewlett-Packard Development Company, L.P. | Synchronization of asynchronous emulated interrupts |
US7120068B2 (en) * | 2002-07-29 | 2006-10-10 | Micron Technology, Inc. | Column/row redundancy architecture using latches programmed from a look up table |
US7493478B2 (en) * | 2002-12-05 | 2009-02-17 | International Business Machines Corporation | Enhanced processor virtualization mechanism via saving and restoring soft processor/system states |
US7502910B2 (en) * | 2003-01-28 | 2009-03-10 | Sun Microsystems, Inc. | Sideband scout thread processor for reducing latency associated with a main processor |
US20040148489A1 (en) * | 2003-01-28 | 2004-07-29 | Sun Microsystems, Inc. | Sideband VLIW processor |
US20040199749A1 (en) * | 2003-04-03 | 2004-10-07 | Robert Golla | Method and apparatus to limit register file read ports in an out-of-order, multi-stranded processor |
US7873110B2 (en) * | 2003-06-17 | 2011-01-18 | Broadcom Corporation | MPEG smart video transport processor with different transport packet handling |
US7428631B2 (en) * | 2003-07-31 | 2008-09-23 | Intel Corporation | Apparatus and method using different size rename registers for partial-bit and bulk-bit writes |
US7552426B2 (en) * | 2003-10-14 | 2009-06-23 | Microsoft Corporation | Systems and methods for using synthetic instructions in a virtual machine |
US7310722B2 (en) * | 2003-12-18 | 2007-12-18 | Nvidia Corporation | Across-thread out of order instruction dispatch in a multithreaded graphics processor |
US20050138297A1 (en) * | 2003-12-23 | 2005-06-23 | Intel Corporation | Register file cache |
US7171545B2 (en) * | 2003-12-30 | 2007-01-30 | Intel Corporation | Predictive filtering of register cache entry |
US7484045B2 (en) | 2004-03-30 | 2009-01-27 | Intel Corporation | Store performance in strongly-ordered microprocessor architecture |
US7437536B2 (en) * | 2004-05-03 | 2008-10-14 | Sony Computer Entertainment Inc. | Systems and methods for task migration |
US7724263B2 (en) * | 2004-05-14 | 2010-05-25 | Nvidia Corporation | System and method for a universal data write unit in a 3-D graphics pipeline including generic cache memories |
US7868902B1 (en) * | 2004-05-14 | 2011-01-11 | Nvidia Corporation | System and method for pixel data row forwarding in a 3-D graphics pipeline |
US8719837B2 (en) | 2004-05-19 | 2014-05-06 | Synopsys, Inc. | Microprocessor architecture having extendible logic |
US8225034B1 (en) * | 2004-06-30 | 2012-07-17 | Oracle America, Inc. | Hybrid instruction buffer |
US7200693B2 (en) * | 2004-08-27 | 2007-04-03 | Micron Technology, Inc. | Memory system and method having unidirectional data buses |
US20080162877A1 (en) * | 2005-02-24 | 2008-07-03 | Erik Richter Altman | Non-Homogeneous Multi-Processor System With Shared Memory |
JP2007041837A (ja) * | 2005-08-03 | 2007-02-15 | Nec Electronics Corp | 命令プリフェッチ装置及び命令プリフェッチ方法 |
JP4841861B2 (ja) * | 2005-05-06 | 2011-12-21 | ルネサスエレクトロニクス株式会社 | 演算処理装置及びデータ転送処理の実行方法 |
US20070028027A1 (en) * | 2005-07-26 | 2007-02-01 | Micron Technology, Inc. | Memory device and method having separate write data and read data buses |
US7647487B2 (en) | 2005-08-29 | 2010-01-12 | Searete, Llc | Instruction-associated processor resource optimization |
US7725693B2 (en) | 2005-08-29 | 2010-05-25 | Searete, Llc | Execution optimization using a processor resource management policy saved in an association with an instruction group |
US7627739B2 (en) * | 2005-08-29 | 2009-12-01 | Searete, Llc | Optimization of a hardware resource shared by a multiprocessor |
US8209524B2 (en) | 2005-08-29 | 2012-06-26 | The Invention Science Fund I, Llc | Cross-architecture optimization |
US7607042B2 (en) * | 2005-08-29 | 2009-10-20 | Searete, Llc | Adjusting a processor operating parameter based on a performance criterion |
US7877584B2 (en) * | 2005-08-29 | 2011-01-25 | The Invention Science Fund I, Llc | Predictive processor resource management |
US7779213B2 (en) * | 2005-08-29 | 2010-08-17 | The Invention Science Fund I, Inc | Optimization of instruction group execution through hardware resource management policies |
US8255745B2 (en) * | 2005-08-29 | 2012-08-28 | The Invention Science Fund I, Llc | Hardware-error tolerant computing |
US8516300B2 (en) * | 2005-08-29 | 2013-08-20 | The Invention Science Fund I, Llc | Multi-votage synchronous systems |
US8423824B2 (en) | 2005-08-29 | 2013-04-16 | The Invention Science Fund I, Llc | Power sparing synchronous apparatus |
US20070050605A1 (en) * | 2005-08-29 | 2007-03-01 | Bran Ferren | Freeze-dried ghost pages |
US20070050606A1 (en) * | 2005-08-29 | 2007-03-01 | Searete Llc, A Limited Liability Corporation Of The State Of Delaware | Runtime-based optimization profile |
US8214191B2 (en) * | 2005-08-29 | 2012-07-03 | The Invention Science Fund I, Llc | Cross-architecture execution optimization |
US7774558B2 (en) * | 2005-08-29 | 2010-08-10 | The Invention Science Fund I, Inc | Multiprocessor resource optimization |
US7739524B2 (en) * | 2005-08-29 | 2010-06-15 | The Invention Science Fund I, Inc | Power consumption management |
US7653834B2 (en) | 2005-08-29 | 2010-01-26 | Searete, Llc | Power sparing synchronous apparatus |
US8181004B2 (en) * | 2005-08-29 | 2012-05-15 | The Invention Science Fund I, Llc | Selecting a resource management policy for a resource available to a processor |
US8981996B2 (en) * | 2005-09-27 | 2015-03-17 | Qualcomm Incorporated | Position location using transmitters with timing offset and phase adjustment |
US8218635B2 (en) | 2005-09-28 | 2012-07-10 | Synopsys, Inc. | Systolic-array based systems and methods for performing block matching in motion compensation |
US8078845B2 (en) | 2005-12-16 | 2011-12-13 | Freescale Semiconductor, Inc. | Device and method for processing instructions based on masked register group size information |
US8266413B2 (en) * | 2006-03-14 | 2012-09-11 | The Board Of Trustees Of The University Of Illinois | Processor architecture for multipass processing of instructions downstream of a stalled instruction |
US8327115B2 (en) | 2006-04-12 | 2012-12-04 | Soft Machines, Inc. | Plural matrices of execution units for processing matrices of row dependent instructions in single clock cycle in super or separate mode |
US7685467B2 (en) * | 2006-04-27 | 2010-03-23 | Texas Instruments Incorporated | Data system simulated event and matrix debug of pipelined processor |
US7647486B2 (en) | 2006-05-02 | 2010-01-12 | Atmel Corporation | Method and system having instructions with different execution times in different modes, including a selected execution time different from default execution times in a first mode and a random execution time in a second mode |
US20080077777A1 (en) * | 2006-09-25 | 2008-03-27 | Arm Limited | Register renaming for instructions having unresolved condition codes |
EP2523101B1 (en) | 2006-11-14 | 2014-06-04 | Soft Machines, Inc. | Apparatus and method for processing complex instruction formats in a multi- threaded architecture supporting various context switch modes and virtualization schemes |
US7664932B2 (en) | 2007-04-13 | 2010-02-16 | Microsoft Corporation | Scalable and configurable execution pipeline of handlers having policy information for selectively acting on payload |
US8082540B2 (en) * | 2007-04-19 | 2011-12-20 | International Business Machines Corporation | Method for visually indicating preceding and succeeding source code lines that are executed in a graphical debugging environment |
JP2008305185A (ja) * | 2007-06-07 | 2008-12-18 | Nec Electronics Corp | プロセッサ装置及び複合条件処理方法 |
JP4896837B2 (ja) * | 2007-08-20 | 2012-03-14 | 株式会社東芝 | 携帯可能電子装置および携帯可能電子装置の制御方法 |
US7823117B1 (en) * | 2007-12-21 | 2010-10-26 | Xilinx, Inc. | Separating a high-level programming language program into hardware and software components |
US8176406B2 (en) * | 2008-03-19 | 2012-05-08 | International Business Machines Corporation | Hard error detection |
US20090249035A1 (en) * | 2008-03-28 | 2009-10-01 | International Business Machines Corporation | Multi-cycle register file bypass |
US20090289943A1 (en) * | 2008-05-22 | 2009-11-26 | Howard Teece | Anti-aliasing system and method |
KR101012121B1 (ko) * | 2008-06-05 | 2011-02-07 | 경상북도 (관련부서:경상북도축산기술연구소장) | 송아지 사육 케이지 |
KR100892857B1 (ko) * | 2008-07-30 | 2009-04-15 | 주식회사 유비콘테크놀로지 | 시스템온칩의 내부 메모리 장치 및 그 운영방법 |
GB2463278B (en) * | 2008-09-05 | 2012-05-16 | Advanced Risc Mach Ltd | Scheduling control within a data processing system |
US8312442B2 (en) * | 2008-12-10 | 2012-11-13 | Oracle America, Inc. | Method and system for interprocedural prefetching |
US9690625B2 (en) * | 2009-06-16 | 2017-06-27 | Oracle America, Inc. | System and method for out-of-order resource allocation and deallocation in a threaded machine |
KR101032771B1 (ko) * | 2009-05-29 | 2011-05-06 | 광운대학교 산학협력단 | 구성형 프로세서에서 risc 명령어와 확장 명령어를 병렬 처리하기 위한 방법 및 그에 따른 구성형 프로세서 |
KR200448337Y1 (ko) * | 2009-12-31 | 2010-04-05 | 임준기 | 통풍기능을 구비한 농산물 받침대 |
CN102193775B (zh) * | 2010-04-27 | 2015-07-29 | 威盛电子股份有限公司 | 微处理器融合搬运/算术逻辑运算/条件跳跃指令 |
KR101685247B1 (ko) | 2010-09-17 | 2016-12-09 | 소프트 머신즈, 인크. | 조기 원거리 분기 예측을 위한 섀도우 캐시를 포함하는 단일 사이클 다중 분기 예측 |
EP2628072B1 (en) | 2010-10-12 | 2016-10-12 | Soft Machines, Inc. | An instruction sequence buffer to enhance branch prediction efficiency |
TWI525541B (zh) | 2010-10-12 | 2016-03-11 | 軟體機器公司 | 輸出可靠可預測指令序列的方法與系統,以及實施識別指令方法的微處理器 |
US9710270B2 (en) * | 2010-12-20 | 2017-07-18 | International Business Machines Corporation | Exception control method, system, and program |
CN108376097B (zh) | 2011-03-25 | 2022-04-15 | 英特尔公司 | 用于通过使用由可分割引擎实例化的虚拟核来支持代码块执行的寄存器文件段 |
EP2689327B1 (en) | 2011-03-25 | 2021-07-28 | Intel Corporation | Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines |
KR101966712B1 (ko) | 2011-03-25 | 2019-04-09 | 인텔 코포레이션 | 분할가능한 엔진에 의해 인스턴스화된 가상 코어를 이용한 코드 블록의 실행을 지원하는 메모리 프래그먼트 |
CN102789377B (zh) | 2011-05-18 | 2015-09-30 | 国际商业机器公司 | 处理指令分组信息的方法和装置 |
CN103649931B (zh) | 2011-05-20 | 2016-10-12 | 索夫特机械公司 | 用于支持由多个引擎执行指令序列的互连结构 |
KR101639853B1 (ko) | 2011-05-20 | 2016-07-14 | 소프트 머신즈, 인크. | 복수의 엔진에 의해 명령어 시퀀스들의 실행을 지원하기 위한 자원들 및 상호접속 구조들의 비집중 할당 |
US8683261B2 (en) | 2011-07-20 | 2014-03-25 | International Business Machines Corporation | Out of order millicode control operation |
US8612959B2 (en) | 2011-10-03 | 2013-12-17 | International Business Machines Corporation | Linking code for an enhanced application binary interface (ABI) with decode time instruction optimization |
US9329869B2 (en) | 2011-10-03 | 2016-05-03 | International Business Machines Corporation | Prefix computer instruction for compatibily extending instruction functionality |
US10078515B2 (en) | 2011-10-03 | 2018-09-18 | International Business Machines Corporation | Tracking operand liveness information in a computer system and performing function based on the liveness information |
US8615745B2 (en) | 2011-10-03 | 2013-12-24 | International Business Machines Corporation | Compiling code for an enhanced application binary interface (ABI) with decode time instruction optimization |
US8756591B2 (en) | 2011-10-03 | 2014-06-17 | International Business Machines Corporation | Generating compiled code that indicates register liveness |
US9690583B2 (en) | 2011-10-03 | 2017-06-27 | International Business Machines Corporation | Exploiting an architected list-use operand indication in a computer system operand resource pool |
US9697002B2 (en) | 2011-10-03 | 2017-07-04 | International Business Machines Corporation | Computer instructions for activating and deactivating operands |
US9354874B2 (en) | 2011-10-03 | 2016-05-31 | International Business Machines Corporation | Scalable decode-time instruction sequence optimization of dependent instructions |
US9286072B2 (en) | 2011-10-03 | 2016-03-15 | International Business Machines Corporation | Using register last use infomation to perform decode-time computer instruction optimization |
KR101703401B1 (ko) | 2011-11-22 | 2017-02-06 | 소프트 머신즈, 인크. | 다중 엔진 마이크로프로세서용 가속 코드 최적화기 |
WO2013077876A1 (en) | 2011-11-22 | 2013-05-30 | Soft Machines, Inc. | A microprocessor accelerated code optimizer |
KR101912427B1 (ko) | 2011-12-12 | 2018-10-29 | 삼성전자주식회사 | 재구성가능 프로세서 및 재구성가능 프로세서의 미니 코어 |
US20140082333A1 (en) * | 2011-12-22 | 2014-03-20 | Elmoustapha Ould-Ahmed-Vall | Systems, apparatuses, and methods for performing an absolute difference calculation between corresponding packed data elements of two vector registers |
WO2013095666A1 (en) * | 2011-12-23 | 2013-06-27 | Intel Corporation | Systems, apparatuses, and methods for performing vector packed unary decoding using masks |
US8930674B2 (en) | 2012-03-07 | 2015-01-06 | Soft Machines, Inc. | Systems and methods for accessing a unified translation lookaside buffer |
US9152566B2 (en) * | 2012-06-15 | 2015-10-06 | International Business Machines Corporation | Prefetch address translation using prefetch buffer based on availability of address translation logic |
US10255944B2 (en) * | 2012-06-27 | 2019-04-09 | Marvell World Trade Ltd. | Systems and methods for reading and decoding encoded data from a storage device |
US9740612B2 (en) | 2012-07-30 | 2017-08-22 | Intel Corporation | Systems and methods for maintaining the coherency of a store coalescing cache and a load cache |
US9916253B2 (en) | 2012-07-30 | 2018-03-13 | Intel Corporation | Method and apparatus for supporting a plurality of load accesses of a cache in a single cycle to maintain throughput |
US9710399B2 (en) | 2012-07-30 | 2017-07-18 | Intel Corporation | Systems and methods for flushing a cache with modified data |
US9229873B2 (en) | 2012-07-30 | 2016-01-05 | Soft Machines, Inc. | Systems and methods for supporting a plurality of load and store accesses of a cache |
US9678882B2 (en) | 2012-10-11 | 2017-06-13 | Intel Corporation | Systems and methods for non-blocking implementation of cache flush instructions |
US10299934B2 (en) * | 2012-12-11 | 2019-05-28 | Globus Medical, Inc | Expandable vertebral implant |
US20140281413A1 (en) * | 2013-03-14 | 2014-09-18 | Mips Technologies, Inc. | Superforwarding Processor |
US9569216B2 (en) | 2013-03-15 | 2017-02-14 | Soft Machines, Inc. | Method for populating a source view data structure by using register template snapshots |
US9582322B2 (en) | 2013-03-15 | 2017-02-28 | Soft Machines Inc. | Method and apparatus to avoid deadlock during instruction scheduling using dynamic port remapping |
US9627038B2 (en) | 2013-03-15 | 2017-04-18 | Intel Corporation | Multiport memory cell having improved density area |
WO2014151018A1 (en) | 2013-03-15 | 2014-09-25 | Soft Machines, Inc. | A method for executing multithreaded instructions grouped onto blocks |
WO2014150971A1 (en) | 2013-03-15 | 2014-09-25 | Soft Machines, Inc. | A method for dependency broadcasting through a block organized source view data structure |
US9891924B2 (en) | 2013-03-15 | 2018-02-13 | Intel Corporation | Method for implementing a reduced size register view data structure in a microprocessor |
US20140281116A1 (en) | 2013-03-15 | 2014-09-18 | Soft Machines, Inc. | Method and Apparatus to Speed up the Load Access and Data Return Speed Path Using Early Lower Address Bits |
US9886279B2 (en) | 2013-03-15 | 2018-02-06 | Intel Corporation | Method for populating and instruction view data structure by using register template snapshots |
WO2014150806A1 (en) | 2013-03-15 | 2014-09-25 | Soft Machines, Inc. | A method for populating register view data structure by using register template snapshots |
US9436476B2 (en) | 2013-03-15 | 2016-09-06 | Soft Machines Inc. | Method and apparatus for sorting elements in hardware structures |
WO2014151043A1 (en) | 2013-03-15 | 2014-09-25 | Soft Machines, Inc. | A method for emulating a guest centralized flag architecture by using a native distributed flag architecture |
WO2014150991A1 (en) | 2013-03-15 | 2014-09-25 | Soft Machines, Inc. | A method for implementing a reduced size register view data structure in a microprocessor |
US9904625B2 (en) | 2013-03-15 | 2018-02-27 | Intel Corporation | Methods, systems and apparatus for predicting the way of a set associative cache |
US10275255B2 (en) | 2013-03-15 | 2019-04-30 | Intel Corporation | Method for dependency broadcasting through a source organized source view data structure |
US10140138B2 (en) | 2013-03-15 | 2018-11-27 | Intel Corporation | Methods, systems and apparatus for supporting wide and efficient front-end operation with guest-architecture emulation |
US9811342B2 (en) | 2013-03-15 | 2017-11-07 | Intel Corporation | Method for performing dual dispatch of blocks and half blocks |
GB2523823B (en) * | 2014-03-07 | 2021-06-16 | Advanced Risc Mach Ltd | Data processing apparatus and method for processing vector operands |
US9841974B2 (en) * | 2014-04-25 | 2017-12-12 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Renaming with generation numbers |
JP2017516228A (ja) | 2014-05-12 | 2017-06-15 | インテル・コーポレーション | 自己書き換えコードのハードウェアサポートを提供する方法及び装置 |
CN104156196B (zh) * | 2014-06-12 | 2017-10-27 | 龚伟峰 | 重命名预处理方法 |
US9678758B2 (en) | 2014-09-26 | 2017-06-13 | Qualcomm Incorporated | Coprocessor for out-of-order loads |
US9483409B2 (en) | 2015-02-05 | 2016-11-01 | International Business Machines Corporation | Store forwarding cache |
US10698688B2 (en) | 2015-06-24 | 2020-06-30 | International Business Machines Corporation | Efficient quantization of compare results |
US10620952B2 (en) | 2015-06-24 | 2020-04-14 | International Business Machines Corporation | Conversion of boolean conditions |
US10705841B2 (en) | 2015-06-24 | 2020-07-07 | International Business Machines Corporation | Instruction to perform a logical operation on conditions and to quantize the Boolean result of that operation |
US9794660B2 (en) | 2015-09-25 | 2017-10-17 | Intel Corporation | Integrated sound bar hinge assembly for mobile electronic device |
US10445091B1 (en) * | 2016-03-30 | 2019-10-15 | Apple Inc. | Ordering instructions in a processing core instruction buffer |
US11687345B2 (en) | 2016-04-28 | 2023-06-27 | Microsoft Technology Licensing, Llc | Out-of-order block-based processors and instruction schedulers using ready state data indexed by instruction position identifiers |
US10496409B2 (en) | 2016-11-22 | 2019-12-03 | The Arizona Board Of Regents | Method and system for managing control of instruction and process execution in a programmable computing system |
US10162680B2 (en) * | 2016-12-13 | 2018-12-25 | GM Global Technology Operations LLC | Control of data exchange between a primary core and a secondary core using a freeze process flag and a data frozen flag in real-time |
US10983799B1 (en) | 2017-12-19 | 2021-04-20 | Apple Inc. | Selection of instructions to issue in a processor |
US11422821B1 (en) | 2018-09-04 | 2022-08-23 | Apple Inc. | Age tracking for independent pipelines |
CN109614145B (zh) * | 2018-10-18 | 2021-03-09 | 中国科学院计算技术研究所 | 一种处理器核心结构及数据访存方法 |
US11269650B2 (en) * | 2018-12-29 | 2022-03-08 | Texas Instruments Incorporated | Pipeline protection for CPUs with save and restore of intermediate results |
CN111488176B (zh) * | 2019-01-25 | 2023-04-18 | 阿里巴巴集团控股有限公司 | 一种指令调度方法、装置、设备及存储介质 |
US11176055B1 (en) | 2019-08-06 | 2021-11-16 | Marvell Asia Pte, Ltd. | Managing potential faults for speculative page table access |
US11573802B2 (en) | 2019-10-23 | 2023-02-07 | Texas Instruments Incorporated | User mode event handling |
US11579884B2 (en) * | 2020-06-26 | 2023-02-14 | Advanced Micro Devices, Inc. | Instruction address translation and caching for primary and alternate branch prediction paths |
US11656876B2 (en) | 2020-10-29 | 2023-05-23 | Cadence Design Systems, Inc. | Removal of dependent instructions from an execution pipeline |
US11243778B1 (en) * | 2020-12-31 | 2022-02-08 | Microsoft Technology Licensing, Llc | Instruction dispatch for superscalar processors |
US11886883B2 (en) | 2021-08-26 | 2024-01-30 | International Business Machines Corporation | Dependency skipping in a load-compare-jump sequence of instructions by incorporating compare functionality into the jump instruction and auto-finishing the compare instruction |
US11809874B2 (en) | 2022-02-01 | 2023-11-07 | Apple Inc. | Conditional instructions distribution and execution on pipelines having different latencies for mispredictions |
US12067399B2 (en) | 2022-02-01 | 2024-08-20 | Apple Inc. | Conditional instructions prediction |
KR20240124412A (ko) * | 2022-02-01 | 2024-08-16 | 애플 인크. | 조건부 명령어 예측 |
Family Cites Families (279)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3346851A (en) * | 1964-07-08 | 1967-10-10 | Control Data Corp | Simultaneous multiprocessing computer system |
US3718912A (en) * | 1970-12-22 | 1973-02-27 | Ibm | Instruction execution unit |
US3789365A (en) * | 1971-06-03 | 1974-01-29 | Bunker Ramo | Processor interrupt system |
US3771138A (en) * | 1971-08-31 | 1973-11-06 | Ibm | Apparatus and method for serializing instructions from two independent instruction streams |
US3913074A (en) | 1973-12-18 | 1975-10-14 | Honeywell Inf Systems | Search processing apparatus |
JPS5110746A (ja) | 1974-07-17 | 1976-01-28 | Hitachi Ltd | |
US4041461A (en) * | 1975-07-25 | 1977-08-09 | International Business Machines Corporation | Signal analyzer system |
US4034349A (en) * | 1976-01-29 | 1977-07-05 | Sperry Rand Corporation | Apparatus for processing interrupts in microprocessing systems |
US4128880A (en) | 1976-06-30 | 1978-12-05 | Cray Research, Inc. | Computer vector register processing |
US4212076A (en) | 1976-09-24 | 1980-07-08 | Giddings & Lewis, Inc. | Digital computer structure providing arithmetic and boolean logic operations, the latter controlling the former |
US4101086A (en) | 1977-07-20 | 1978-07-18 | Eastman Kodak Company | Yarn tie-up and transfer tail method, and yarn package tube and apparatus for the method |
US4237532A (en) * | 1977-09-02 | 1980-12-02 | Sperry Corporation | Table driven decision and control logic for digital computers |
US4199811A (en) * | 1977-09-02 | 1980-04-22 | Sperry Corporation | Microprogrammable computer utilizing concurrently operating processors |
US4210960A (en) * | 1977-09-02 | 1980-07-01 | Sperry Corporation | Digital computer with overlapped operation utilizing conditional control to minimize time losses |
US4296465A (en) * | 1977-11-03 | 1981-10-20 | Honeywell Information Systems Inc. | Data mover |
AU529675B2 (en) * | 1977-12-07 | 1983-06-16 | Honeywell Information Systems Incorp. | Cache memory unit |
US4315314A (en) * | 1977-12-30 | 1982-02-09 | Rca Corporation | Priority vectored interrupt having means to supply branch address directly |
US4200927A (en) * | 1978-01-03 | 1980-04-29 | International Business Machines Corporation | Multi-instruction stream branch processing mechanism |
US4228495A (en) * | 1978-12-19 | 1980-10-14 | Allen-Bradley Company | Multiprocessor numerical control system |
US4315308A (en) * | 1978-12-21 | 1982-02-09 | Intel Corporation | Interface between a microprocessor chip and peripheral subsystems |
US4296470A (en) * | 1979-06-21 | 1981-10-20 | International Business Machines Corp. | Link register storage and restore system for use in an instruction pre-fetch micro-processor interrupt system |
JPS5616248A (en) * | 1979-07-17 | 1981-02-17 | Matsushita Electric Ind Co Ltd | Processing system for interruption |
US4336024A (en) * | 1980-02-22 | 1982-06-22 | Airwick Industries, Inc. | Process for cleaning clothes at home |
JPS6028015B2 (ja) * | 1980-08-28 | 1985-07-02 | 日本電気株式会社 | 情報処理装置 |
US4434461A (en) * | 1980-09-15 | 1984-02-28 | Motorola, Inc. | Microprocessor with duplicate registers for processing interrupts |
JPS5757345A (en) * | 1980-09-24 | 1982-04-06 | Toshiba Corp | Data controller |
JPS57150040A (en) | 1981-03-11 | 1982-09-16 | Mitsubishi Electric Corp | Pipeline computer |
JPS57155666A (en) * | 1981-03-20 | 1982-09-25 | Fujitsu Ltd | Instruction controlling system of vector processor |
US4574349A (en) * | 1981-03-30 | 1986-03-04 | International Business Machines Corp. | Apparatus for addressing a larger number of instruction addressable central processor registers than can be identified by a program instruction |
US4814979A (en) * | 1981-04-01 | 1989-03-21 | Teradata Corporation | Network to transmit prioritized subtask pockets to dedicated processors |
JPS57204125A (en) | 1981-06-10 | 1982-12-14 | Hitachi Ltd | Electron-ray drawing device |
US4482950A (en) | 1981-09-24 | 1984-11-13 | Dshkhunian Valery | Single-chip microcomputer |
US4498134A (en) * | 1982-01-26 | 1985-02-05 | Hughes Aircraft Company | Segregator functional plane for use in a modular array processor |
JPS58151655A (ja) * | 1982-03-03 | 1983-09-08 | Fujitsu Ltd | 情報処理装置 |
US4434641A (en) * | 1982-03-11 | 1984-03-06 | Ball Corporation | Buckle resistance for metal container closures |
US4484272A (en) * | 1982-07-14 | 1984-11-20 | Burroughs Corporation | Digital computer for executing multiple instruction sets in a simultaneous-interleaved fashion |
JPS5932045A (ja) * | 1982-08-16 | 1984-02-21 | Hitachi Ltd | 情報処理装置 |
US4500963A (en) * | 1982-11-29 | 1985-02-19 | The United States Of America As Represented By The Secretary Of The Army | Automatic layout program for hybrid microcircuits (HYPAR) |
US4597054A (en) | 1982-12-02 | 1986-06-24 | Ncr Corporation | Arbiter circuit and method |
US4594655A (en) * | 1983-03-14 | 1986-06-10 | International Business Machines Corporation | (k)-Instructions-at-a-time pipelined processor for parallel execution of inherently sequential instructions |
US4800486A (en) * | 1983-09-29 | 1989-01-24 | Tandem Computers Incorporated | Multiple data patch CPU architecture |
US4807115A (en) * | 1983-10-07 | 1989-02-21 | Cornell Research Foundation, Inc. | Instruction issuing mechanism for processors with multiple functional units |
GB8329509D0 (en) * | 1983-11-04 | 1983-12-07 | Inmos Ltd | Computer |
JPS60120439A (ja) * | 1983-12-05 | 1985-06-27 | Nec Corp | 演算処理装置 |
US4561051A (en) | 1984-02-10 | 1985-12-24 | Prime Computer, Inc. | Memory access method and apparatus in multiple processor systems |
JPS60168238A (ja) * | 1984-02-10 | 1985-08-31 | Hitachi Ltd | パイプラインデータ処理装置 |
AU553416B2 (en) * | 1984-02-24 | 1986-07-17 | Fujitsu Limited | Pipeline processing |
JPS60225943A (ja) * | 1984-04-25 | 1985-11-11 | Hitachi Ltd | 例外割込み処理方式 |
US4648045A (en) * | 1984-05-23 | 1987-03-03 | The Board Of Trustees Of The Leland Standford Jr. University | High speed memory and processor system for raster display |
JPS6140650A (ja) | 1984-08-02 | 1986-02-26 | Nec Corp | マイクロコンピユ−タ |
US4766564A (en) * | 1984-08-13 | 1988-08-23 | International Business Machines Corporation | Dual putaway/bypass busses for multiple arithmetic units |
US4677545A (en) | 1984-10-12 | 1987-06-30 | American Telephone And Telegraph Company | Microprocessor having macro-rom and main program queues |
US4775927A (en) * | 1984-10-31 | 1988-10-04 | International Business Machines Corporation | Processor including fetch operation for branch instruction with control tag |
US4991081A (en) * | 1984-10-31 | 1991-02-05 | Texas Instruments Incorporated | Cache memory addressable by both physical and virtual addresses |
EP0185215B1 (en) * | 1984-11-21 | 1993-09-22 | Harris Corporation | Forth-like language microprocessor |
JPS61133439A (ja) | 1984-12-04 | 1986-06-20 | Nec Corp | 命令先取り制御方式 |
JPH0652784B2 (ja) | 1984-12-07 | 1994-07-06 | 富士通株式会社 | ゲートアレイ集積回路装置及びその製造方法 |
US4829467A (en) | 1984-12-21 | 1989-05-09 | Canon Kabushiki Kaisha | Memory controller including a priority order determination circuit |
CA1242803A (en) * | 1984-12-27 | 1988-10-04 | Nobuhisa Watanabe | Microprocessor with option area facilitating interfacing with peripheral devices |
US5255384A (en) | 1985-02-22 | 1993-10-19 | Intergraph Corporation | Memory address translation system having modifiable and non-modifiable translation mechanisms |
US4714994A (en) * | 1985-04-30 | 1987-12-22 | International Business Machines Corp. | Instruction prefetch buffer control |
JPH0762823B2 (ja) * | 1985-05-22 | 1995-07-05 | 株式会社日立製作所 | デ−タ処理装置 |
CA1254661A (en) * | 1985-06-28 | 1989-05-23 | Allen J. Baum | Method and means for instruction combination for code compression |
US4613941A (en) | 1985-07-02 | 1986-09-23 | The United States Of America As Represented By The Secretary Of The Army | Routing method in computer aided customization of a two level automated universal array |
US4945479A (en) | 1985-07-31 | 1990-07-31 | Unisys Corporation | Tightly coupled scientific processing system |
US4734852A (en) * | 1985-08-30 | 1988-03-29 | Advanced Micro Devices, Inc. | Mechanism for performing data references to storage in parallel with instruction execution on a reduced instruction-set processor |
US4777588A (en) | 1985-08-30 | 1988-10-11 | Advanced Micro Devices, Inc. | General-purpose register file optimized for intraprocedural register allocation, procedure calls, and multitasking performance |
US4719569A (en) * | 1985-10-11 | 1988-01-12 | Sun Microsystems, Inc. | Arbitrator for allocating access to data processing resources |
US4722049A (en) * | 1985-10-11 | 1988-01-26 | Unisys Corporation | Apparatus for out-of-order program execution |
JPH0622035B2 (ja) * | 1985-11-13 | 1994-03-23 | 株式会社日立製作所 | ベクトル処理装置 |
JPS62152043A (ja) * | 1985-12-26 | 1987-07-07 | Nec Corp | 命令コ−ドアクセス制御方式 |
DE3751503T2 (de) * | 1986-03-26 | 1996-05-09 | Hitachi Ltd | Datenprozessor in Pipelinestruktur mit der Fähigkeit mehrere Befehle parallel zu dekodieren und auszuführen. |
IL81762A0 (en) * | 1986-04-11 | 1987-10-20 | Symbolics Inc | Instruction prefetch unit |
JP2545789B2 (ja) | 1986-04-14 | 1996-10-23 | 株式会社日立製作所 | 情報処理装置 |
US4809169A (en) * | 1986-04-23 | 1989-02-28 | Advanced Micro Devices, Inc. | Parallel, multiple coprocessor computer architecture having plural execution modes |
US4903196A (en) * | 1986-05-02 | 1990-02-20 | International Business Machines Corporation | Method and apparatus for guaranteeing the logical integrity of data in the general purpose registers of a complex multi-execution unit uniprocessor |
US4811208A (en) * | 1986-05-16 | 1989-03-07 | Intel Corporation | Stack frame cache on a microprocessor chip |
US5051940A (en) * | 1990-04-04 | 1991-09-24 | International Business Machines Corporation | Data dependency collapsing hardware apparatus |
JP2684362B2 (ja) | 1986-06-18 | 1997-12-03 | 株式会社日立製作所 | 可変長データの記憶方式 |
US4814978A (en) * | 1986-07-15 | 1989-03-21 | Dataflow Computer Corporation | Dataflow processing element, multiprocessor, and processes |
JPS6324428A (ja) * | 1986-07-17 | 1988-02-01 | Mitsubishi Electric Corp | キヤツシユメモリ |
US4942323A (en) * | 1986-07-28 | 1990-07-17 | Decesare Dominic | Two pole electric motor with stator winding encircling the rotor |
US4766566A (en) * | 1986-08-18 | 1988-08-23 | International Business Machines Corp. | Performance enhancement scheme for a RISC type VLSI processor using dual execution units for parallel instruction processing |
JPS6393041A (ja) * | 1986-10-07 | 1988-04-23 | Mitsubishi Electric Corp | 計算機 |
JPH0793358B2 (ja) | 1986-11-10 | 1995-10-09 | 日本電気株式会社 | ブロック配置処理方式 |
US4841453A (en) | 1986-11-10 | 1989-06-20 | Ibm Corporation | Multidirectional scan and print capability |
JPS63131230A (ja) * | 1986-11-21 | 1988-06-03 | Hitachi Ltd | 情報処理装置 |
JPH0810430B2 (ja) | 1986-11-28 | 1996-01-31 | 株式会社日立製作所 | 情報処理装置 |
US5283903A (en) * | 1986-12-25 | 1994-02-01 | Nec Corporation | Priority selector |
US5226170A (en) * | 1987-02-24 | 1993-07-06 | Digital Equipment Corporation | Interface between processor and special instruction processor in digital data processing system |
US5179689A (en) | 1987-03-13 | 1993-01-12 | Texas Instruments Incorporated | Dataprocessing device with instruction cache |
US4833599A (en) | 1987-04-20 | 1989-05-23 | Multiflow Computer, Inc. | Hierarchical priority branch handling for parallel execution in a parallel processor |
US4858116A (en) | 1987-05-01 | 1989-08-15 | Digital Equipment Corporation | Method and apparatus for managing multiple lock indicators in a multiprocessor computer system |
JP2510591B2 (ja) * | 1987-06-12 | 1996-06-26 | 株式会社日立製作所 | 命令処理装置 |
JPH07113903B2 (ja) * | 1987-06-26 | 1995-12-06 | 株式会社日立製作所 | キャッシュ記憶制御方式 |
US4992938A (en) * | 1987-07-01 | 1991-02-12 | International Business Machines Corporation | Instruction control mechanism for a computing system with register renaming, map table and queues indicating available registers |
US4901233A (en) | 1987-07-20 | 1990-02-13 | International Business Machines Corporation | Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries |
US5134561A (en) | 1987-07-20 | 1992-07-28 | International Business Machines Corporation | Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries |
JP2624484B2 (ja) | 1987-07-31 | 1997-06-25 | 三井東圧化学株式会社 | 中国語の入力処理方法 |
US5150309A (en) | 1987-08-04 | 1992-09-22 | Texas Instruments Incorporated | Comprehensive logic circuit layout system |
US4980817A (en) | 1987-08-31 | 1990-12-25 | Digital Equipment | Vector register system for executing plural read/write commands concurrently and independently routing data to plural read/write ports |
US4991078A (en) * | 1987-09-29 | 1991-02-05 | Digital Equipment Corporation | Apparatus and method for a pipelined central processing unit in a data processing system |
EP0312764A3 (en) | 1987-10-19 | 1991-04-10 | International Business Machines Corporation | A data processor having multiple execution units for processing plural classes of instructions in parallel |
US5089951A (en) * | 1987-11-05 | 1992-02-18 | Kabushiki Kaisha Toshiba | Microcomputer incorporating memory |
US5197136A (en) * | 1987-11-12 | 1993-03-23 | Matsushita Electric Industrial Co., Ltd. | Processing system for branch instruction |
US4823201A (en) * | 1987-11-16 | 1989-04-18 | Technology, Inc. 64 | Processor for expanding a compressed video signal |
US5185878A (en) | 1988-01-20 | 1993-02-09 | Advanced Micro Device, Inc. | Programmable cache memory as well as system incorporating same and method of operating programmable cache memory |
US4926323A (en) * | 1988-03-03 | 1990-05-15 | Advanced Micro Devices, Inc. | Streamlined instruction processor |
JPH0769821B2 (ja) * | 1988-03-04 | 1995-07-31 | 日本電気株式会社 | 情報処理装置におけるバイパスライン制御方式 |
JPH01228865A (ja) | 1988-03-09 | 1989-09-12 | Minolta Camera Co Ltd | プリンタ制御装置 |
US5187796A (en) * | 1988-03-29 | 1993-02-16 | Computer Motion, Inc. | Three-dimensional vector co-processor having I, J, and K register files and I, J, and K execution units |
US5155817A (en) | 1988-04-01 | 1992-10-13 | Kabushiki Kaisha Toshiba | Microprocessor |
US5301278A (en) * | 1988-04-29 | 1994-04-05 | International Business Machines Corporation | Flexible dynamic memory controller |
US5003462A (en) * | 1988-05-31 | 1991-03-26 | International Business Machines Corporation | Apparatus and method for implementing precise interrupts on a pipelined processor with multiple functional units with separate address translation interrupt means |
US4897810A (en) * | 1988-06-13 | 1990-01-30 | Advanced Micro Devices, Inc. | Asynchronous interrupt status bit circuit |
US5261057A (en) | 1988-06-30 | 1993-11-09 | Wang Laboratories, Inc. | I/O bus to system interface |
US5097409A (en) * | 1988-06-30 | 1992-03-17 | Wang Laboratories, Inc. | Multi-processor system with cache memories |
JP2761506B2 (ja) | 1988-07-08 | 1998-06-04 | 株式会社日立製作所 | 主記憶制御装置 |
JPH0222736A (ja) | 1988-07-12 | 1990-01-25 | Nec Corp | 中央処理装置 |
US5032985A (en) | 1988-07-21 | 1991-07-16 | International Business Machines Corporation | Multiprocessor system with memory fetch buffer invoked during cross-interrogation |
US5148536A (en) | 1988-07-25 | 1992-09-15 | Digital Equipment Corporation | Pipeline having an integral cache which processes cache misses and loads data in parallel |
JPH0673105B2 (ja) * | 1988-08-11 | 1994-09-14 | 株式会社東芝 | 命令パイプライン方式のマイクロプロセッサ |
US5291615A (en) * | 1988-08-11 | 1994-03-01 | Kabushiki Kaisha Toshiba | Instruction pipeline microprocessor |
US4974155A (en) * | 1988-08-15 | 1990-11-27 | Evans & Sutherland Computer Corp. | Variable delay branch system |
US5101341A (en) * | 1988-08-25 | 1992-03-31 | Edgcore Technology, Inc. | Pipelined system for reducing instruction access time by accumulating predecoded instruction bits a FIFO |
US5167035A (en) * | 1988-09-08 | 1992-11-24 | Digital Equipment Corporation | Transferring messages between nodes in a network |
JPH0287229A (ja) | 1988-09-24 | 1990-03-28 | Nec Corp | 実行命令の先取り制御方式 |
US4879787A (en) | 1988-10-03 | 1989-11-14 | Walls Thomas J | Shoe lace knot securing device |
EP0365188B1 (en) * | 1988-10-18 | 1996-09-18 | Hewlett-Packard Company | Central processor condition code method and apparatus |
JP2672599B2 (ja) * | 1988-10-18 | 1997-11-05 | ヤマハ 株式会社 | コンピュータシステム |
JPH0769824B2 (ja) | 1988-11-11 | 1995-07-31 | 株式会社日立製作所 | 複数命令同時処理方式 |
JP2810068B2 (ja) * | 1988-11-11 | 1998-10-15 | 株式会社日立製作所 | プロセッサシステム、コンピュータシステム及び命令処理方法 |
US5058451A (en) * | 1988-11-11 | 1991-10-22 | Kabushiki Kaisha Kobe Seiko Sho | Control lever apparatus and actuator operation apparatus |
KR920006613B1 (ko) * | 1988-12-01 | 1992-08-10 | 재단법인한국전자통신연구소 | 파이프라인으로 동작하는 프로세서의 명령어 페취 유니트 |
GB8828817D0 (en) * | 1988-12-09 | 1989-01-18 | Int Computers Ltd | Data processing apparatus |
IL92605A0 (en) * | 1988-12-19 | 1990-08-31 | Bull Hn Information Syst | Production line method and apparatus for high performance instruction execution |
JPH0769811B2 (ja) * | 1988-12-21 | 1995-07-31 | 松下電器産業株式会社 | データ処理装置 |
US5148533A (en) | 1989-01-05 | 1992-09-15 | Bull Hn Information Systems Inc. | Apparatus and method for data group coherency in a tightly coupled data processing system with plural execution and data cache units |
US5125092A (en) | 1989-01-09 | 1992-06-23 | International Business Machines Corporation | Method and apparatus for providing multiple condition code fields to to allow pipelined instructions contention free access to separate condition codes |
JP2736092B2 (ja) | 1989-01-10 | 1998-04-02 | 株式会社東芝 | バッファ装置 |
US5075840A (en) * | 1989-01-13 | 1991-12-24 | International Business Machines Corporation | Tightly coupled multiprocessor instruction synchronization |
US5127091A (en) * | 1989-01-13 | 1992-06-30 | International Business Machines Corporation | System for reducing delay in instruction execution by executing branch instructions in separate processor while dispatching subsequent instructions to primary processor |
US5222223A (en) | 1989-02-03 | 1993-06-22 | Digital Equipment Corporation | Method and apparatus for ordering and queueing multiple memory requests |
US4985825A (en) * | 1989-02-03 | 1991-01-15 | Digital Equipment Corporation | System for delaying processing of memory access exceptions until the execution stage of an instruction pipeline of a virtual memory system based digital computer |
US5125083A (en) | 1989-02-03 | 1992-06-23 | Digital Equipment Corporation | Method and apparatus for resolving a variable number of potential memory access conflicts in a pipelined computer system |
US5067069A (en) * | 1989-02-03 | 1991-11-19 | Digital Equipment Corporation | Control of multiple functional units with parallel operation in a microcoded execution unit |
US5109495A (en) * | 1989-02-03 | 1992-04-28 | Digital Equipment Corp. | Method and apparatus using a source operand list and a source operand pointer queue between the execution unit and the instruction decoding and operand processing units of a pipelined data processor |
US5142633A (en) * | 1989-02-03 | 1992-08-25 | Digital Equipment Corporation | Preprocessing implied specifiers in a pipelined processor |
US5167026A (en) | 1989-02-03 | 1992-11-24 | Digital Equipment Corporation | Simultaneously or sequentially decoding multiple specifiers of a variable length pipeline instruction based on detection of modified value of specifier registers |
US5142634A (en) * | 1989-02-03 | 1992-08-25 | Digital Equipment Corporation | Branch prediction |
US5133074A (en) | 1989-02-08 | 1992-07-21 | Acer Incorporated | Deadlock resolution with cache snooping |
US5226166A (en) | 1989-02-10 | 1993-07-06 | Mitsubishi Denki K.K. | Parallel operation processor with second command unit |
US5293500A (en) * | 1989-02-10 | 1994-03-08 | Mitsubishi Denki K.K. | Parallel processing method and apparatus |
JPH0630063B2 (ja) * | 1989-02-17 | 1994-04-20 | 株式会社東芝 | マイクロプロセッサ |
US5226126A (en) * | 1989-02-24 | 1993-07-06 | Nexgen Microsystems | Processor having plurality of functional units for orderly retiring outstanding operations based upon its associated tags |
US5768575A (en) * | 1989-02-24 | 1998-06-16 | Advanced Micro Devices, Inc. | Semi-Autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for sepculative and out-of-order execution of complex instructions |
US5239633A (en) * | 1989-03-24 | 1993-08-24 | Mitsubishi Denki Kabushiki Kaisha | Data processor executing memory indirect addressing and register indirect addressing |
JPH02278337A (ja) | 1989-04-19 | 1990-11-14 | Nec Corp | 命令キュー装置 |
US5119485A (en) | 1989-05-15 | 1992-06-02 | Motorola, Inc. | Method for data bus snooping in a data processing system by selective concurrent read and invalidate cache operation |
CN1168004C (zh) * | 1989-05-17 | 2004-09-22 | 国际商业机器公司 | 在数据处理系统中提供容错环境和体系结构的装置 |
US5155809A (en) * | 1989-05-17 | 1992-10-13 | International Business Machines Corp. | Uncoupling a central processing unit from its associated hardware for interaction with data handling apparatus alien to the operating system controlling said unit and hardware |
US5072364A (en) | 1989-05-24 | 1991-12-10 | Tandem Computers Incorporated | Method and apparatus for recovering from an incorrect branch prediction in a processor that executes a family of instructions in parallel |
CA2016068C (en) * | 1989-05-24 | 2000-04-04 | Robert W. Horst | Multiple instruction issue computer architecture |
US5136697A (en) | 1989-06-06 | 1992-08-04 | Advanced Micro Devices, Inc. | System for reducing delay for execution subsequent to correctly predicted branch instruction using fetch information stored with each block of instructions in cache |
US5129067A (en) | 1989-06-06 | 1992-07-07 | Advanced Micro Devices, Inc. | Multiple instruction decoder for minimizing register port requirements |
JPH0314025A (ja) * | 1989-06-13 | 1991-01-22 | Nec Corp | 命令実行制御方式 |
JP2550213B2 (ja) | 1989-07-07 | 1996-11-06 | 株式会社日立製作所 | 並列処理装置および並列処理方法 |
JP2505887B2 (ja) * | 1989-07-14 | 1996-06-12 | 富士通株式会社 | 命令処理システム |
JP2682217B2 (ja) * | 1989-08-28 | 1997-11-26 | 日本電気株式会社 | マイクロプロセッサ |
US5317734A (en) * | 1989-08-29 | 1994-05-31 | North American Philips Corporation | Method of synchronizing parallel processors employing channels and compiling method minimizing cross-processor data dependencies |
JPH07120284B2 (ja) * | 1989-09-04 | 1995-12-20 | 三菱電機株式会社 | データ処理装置 |
DE69031257T2 (de) * | 1989-09-21 | 1998-02-12 | Texas Instruments Inc | Integrierte Schaltung mit einem eingebetteten digitalen Signalprozessor |
US5303382A (en) * | 1989-09-21 | 1994-04-12 | Digital Equipment Corporation | Arbiter with programmable dynamic request prioritization |
JPH03137729A (ja) | 1989-10-23 | 1991-06-12 | Hokuriku Nippon Denki Software Kk | 先行制御方式 |
JP2835103B2 (ja) * | 1989-11-01 | 1998-12-14 | 富士通株式会社 | 命令指定方法及び命令実行方式 |
JPH03147134A (ja) | 1989-11-02 | 1991-06-24 | Oki Electric Ind Co Ltd | 命令シーケンス制御装置 |
US5179530A (en) | 1989-11-03 | 1993-01-12 | Zoran Corporation | Architecture for integrated concurrent vector signal processor |
US5226125A (en) | 1989-11-17 | 1993-07-06 | Keith Balmer | Switch matrix having integrated crosspoint logic and method of operation |
DE68928980T2 (de) | 1989-11-17 | 1999-08-19 | Texas Instruments Inc. | Multiprozessor mit Koordinatenschalter zwischen Prozessoren und Speichern |
US5487156A (en) * | 1989-12-15 | 1996-01-23 | Popescu; Valeri | Processor architecture having independently fetching issuing and updating operations of instructions which are sequentially assigned and stored in order fetched |
JPH03186928A (ja) * | 1989-12-16 | 1991-08-14 | Mitsubishi Electric Corp | データ処理装置 |
US5179673A (en) * | 1989-12-18 | 1993-01-12 | Digital Equipment Corporation | Subroutine return prediction mechanism using ring buffer and comparing predicated address with actual address to validate or flush the pipeline |
US5197130A (en) | 1989-12-29 | 1993-03-23 | Supercomputer Systems Limited Partnership | Cluster architecture for a highly parallel scalar/vector multiprocessor system |
JPH061463B2 (ja) | 1990-01-16 | 1994-01-05 | インターナショナル・ビジネス・マシーンズ・コーポレーション | マルチプロセッサ・システムおよびそのプライベート・キャッシュ制御方法 |
US5251306A (en) | 1990-01-16 | 1993-10-05 | Advanced Micro Devices, Inc. | Apparatus for controlling execution of a program in a computing device |
US5222240A (en) | 1990-02-14 | 1993-06-22 | Intel Corporation | Method and apparatus for delaying writing back the results of instructions to a processor |
US5241636A (en) | 1990-02-14 | 1993-08-31 | Intel Corporation | Method for parallel instruction execution in a computer |
US5230068A (en) * | 1990-02-26 | 1993-07-20 | Nexgen Microsystems | Cache memory system for dynamically altering single cache memory line as either branch target entry or pre-fetch instruction queue based upon instruction sequence |
US5185872A (en) * | 1990-02-28 | 1993-02-09 | Intel Corporation | System for executing different cycle instructions by selectively bypassing scoreboard register and canceling the execution of conditionally issued instruction if needed resources are busy |
US5120083A (en) | 1990-03-19 | 1992-06-09 | Henkels & Mccoy, Inc. | Expansion joint for conduit for cables |
JP2818249B2 (ja) | 1990-03-30 | 1998-10-30 | 株式会社東芝 | 電子計算機 |
US5333280A (en) * | 1990-04-06 | 1994-07-26 | Nec Corporation | Parallel pipelined instruction processing system for very long instruction word |
IT1247640B (it) | 1990-04-26 | 1994-12-28 | St Microelectronics Srl | Operazioni booleane tra due qualsiasi bit di due qualsiasi registri |
US5201056A (en) * | 1990-05-02 | 1993-04-06 | Motorola, Inc. | RISC microprocessor architecture with multi-bit tag extended instructions for selectively attaching tag from either instruction or input data to arithmetic operation output |
US5214763A (en) | 1990-05-10 | 1993-05-25 | International Business Machines Corporation | Digital computer system capable of processing two or more instructions in parallel and having a coche and instruction compounding mechanism |
EP0457403B1 (en) * | 1990-05-18 | 1998-01-21 | Koninklijke Philips Electronics N.V. | Multilevel instruction cache and method for using said cache |
US5249286A (en) | 1990-05-29 | 1993-09-28 | National Semiconductor Corporation | Selectively locking memory locations within a microprocessor's on-chip cache |
CA2038264C (en) * | 1990-06-26 | 1995-06-27 | Richard James Eickemeyer | In-memory preprocessor for a scalable compound instruction set machine processor |
US5197132A (en) * | 1990-06-29 | 1993-03-23 | Digital Equipment Corporation | Register mapping system having a log containing sequential listing of registers that were changed in preceding cycles for precise post-branch recovery |
CA2045773A1 (en) | 1990-06-29 | 1991-12-30 | Compaq Computer Corporation | Byte-compare operation for high-performance processor |
US5155843A (en) * | 1990-06-29 | 1992-10-13 | Digital Equipment Corporation | Error transition mode for multi-processor system |
EP0468831B1 (en) | 1990-06-29 | 1997-10-15 | Digital Equipment Corporation | Bus protocol for write-back cache processor |
EP0463965B1 (en) * | 1990-06-29 | 1998-09-09 | Digital Equipment Corporation | Branch prediction unit for high-performance processor |
JPH0475139A (ja) * | 1990-07-18 | 1992-03-10 | Toshiba Corp | ループ並列化装置 |
JPH0814492B2 (ja) * | 1990-09-21 | 1996-02-14 | 日本航空電子工業株式会社 | 光ファイバジャイロ |
DE69130723T2 (de) * | 1990-10-05 | 1999-07-22 | Koninklijke Philips Electronics N.V., Eindhoven | Verarbeitungsgerät mit Speicherschaltung und eine Gruppe von Funktionseinheiten |
US5301340A (en) * | 1990-10-31 | 1994-04-05 | International Business Machines Corporation | IC chips including ALUs and identical register files whereby a number of ALUs directly and concurrently write results to every register file per cycle |
US5222244A (en) | 1990-12-20 | 1993-06-22 | Intel Corporation | Method of modifying a microinstruction with operands specified by an instruction held in an alias register |
USH1291H (en) | 1990-12-20 | 1994-02-01 | Hinton Glenn J | Microprocessor in which multiple instructions are executed in one clock cycle by providing separate machine bus access to a register file for different types of instructions |
US5303362A (en) * | 1991-03-20 | 1994-04-12 | Digital Equipment Corporation | Coupled memory multiprocessor computer system including cache coherency management protocols |
US5261071A (en) | 1991-03-21 | 1993-11-09 | Control Data System, Inc. | Dual pipe cache memory with out-of-order issue capability |
US5287467A (en) * | 1991-04-18 | 1994-02-15 | International Business Machines Corporation | Pipeline for removing and concurrently executing two or more branch instructions in synchronization with other instructions executing in the execution unit |
US5488729A (en) | 1991-05-15 | 1996-01-30 | Ross Technology, Inc. | Central processing unit architecture with symmetric instruction scheduling to achieve multiple instruction launch and execution |
US5355457A (en) | 1991-05-21 | 1994-10-11 | Motorola, Inc. | Data processor for performing simultaneous instruction retirement and backtracking |
US5630157A (en) | 1991-06-13 | 1997-05-13 | International Business Machines Corporation | Computer organization for multiple and out-of-order execution of condition code testing and setting instructions |
US5278963A (en) * | 1991-06-21 | 1994-01-11 | International Business Machines Corporation | Pretranslation of virtual addresses prior to page crossing |
US5440752A (en) | 1991-07-08 | 1995-08-08 | Seiko Epson Corporation | Microprocessor architecture with a switch network for data transfer between cache, memory port, and IOU |
US5539911A (en) * | 1991-07-08 | 1996-07-23 | Seiko Epson Corporation | High-performance, superscalar-based computer system with out-of-order instruction execution |
US5826055A (en) | 1991-07-08 | 1998-10-20 | Seiko Epson Corporation | System and method for retiring instructions in a superscalar microprocessor |
EP0547240B1 (en) | 1991-07-08 | 2000-01-12 | Seiko Epson Corporation | Risc microprocessor architecture implementing fast trap and exception state |
US5961629A (en) * | 1991-07-08 | 1999-10-05 | Seiko Epson Corporation | High performance, superscalar-based computer system with out-of-order instruction execution |
ATE164463T1 (de) | 1991-07-08 | 1998-04-15 | Seiko Epson Corp | Single-chip seitendrucker-steuerschaltung |
US5493687A (en) | 1991-07-08 | 1996-02-20 | Seiko Epson Corporation | RISC microprocessor architecture implementing multiple typed register sets |
EP1526446A3 (en) | 1991-07-08 | 2007-04-04 | Seiko Epson Corporation | Extensible RISC microprocessor architecture |
US5345569A (en) | 1991-09-20 | 1994-09-06 | Advanced Micro Devices, Inc. | Apparatus and method for resolving dependencies among a plurality of instructions within a storage device |
GB2260628A (en) | 1991-10-11 | 1993-04-21 | Intel Corp | Line buffer for cache memory |
JPH0820949B2 (ja) * | 1991-11-26 | 1996-03-04 | 松下電器産業株式会社 | 情報処理装置 |
US5285527A (en) * | 1991-12-11 | 1994-02-08 | Northern Telecom Limited | Predictive historical cache memory |
US5617554A (en) | 1992-02-10 | 1997-04-01 | Intel Corporation | Physical address size selection and page size selection in an address translator |
US5398330A (en) * | 1992-03-05 | 1995-03-14 | Seiko Epson Corporation | Register file backup queue |
JPH07504773A (ja) | 1992-03-18 | 1995-05-25 | セイコーエプソン株式会社 | マルチ幅のメモリ・サブシステムをサポートするためのシステム並びに方法 |
US5371684A (en) | 1992-03-31 | 1994-12-06 | Seiko Epson Corporation | Semiconductor floor plan for a register renaming circuit |
EP0636256B1 (en) | 1992-03-31 | 1997-06-04 | Seiko Epson Corporation | Superscalar risc processor instruction scheduling |
JP3137729B2 (ja) | 1992-04-09 | 2001-02-26 | 本田技研工業株式会社 | ガバリ部品の製造方法 |
EP0638183B1 (en) | 1992-05-01 | 1997-03-05 | Seiko Epson Corporation | A system and method for retiring instructions in a superscalar microprocessor |
US5442756A (en) * | 1992-07-31 | 1995-08-15 | Intel Corporation | Branch prediction and resolution apparatus for a superscalar computer processor |
US5619668A (en) | 1992-08-10 | 1997-04-08 | Intel Corporation | Apparatus for register bypassing in a microprocessor |
US6735685B1 (en) | 1992-09-29 | 2004-05-11 | Seiko Epson Corporation | System and method for handling load and/or store operations in a superscalar microprocessor |
US5524225A (en) | 1992-12-18 | 1996-06-04 | Advanced Micro Devices Inc. | Cache system and method for providing software controlled writeback |
DE69330889T2 (de) | 1992-12-31 | 2002-03-28 | Seiko Epson Corp., Tokio/Tokyo | System und Verfahren zur Änderung der Namen von Registern |
US5604912A (en) * | 1992-12-31 | 1997-02-18 | Seiko Epson Corporation | System and method for assigning tags to instructions to control instruction execution |
US5628021A (en) | 1992-12-31 | 1997-05-06 | Seiko Epson Corporation | System and method for assigning tags to control instruction processing in a superscalar processor |
US5627984A (en) | 1993-03-31 | 1997-05-06 | Intel Corporation | Apparatus and method for entry allocation for a buffer resource utilizing an internal two cycle pipeline |
US5577217A (en) | 1993-05-14 | 1996-11-19 | Intel Corporation | Method and apparatus for a branch target buffer with shared branch pattern tables for associated branch predictions |
KR100310581B1 (ko) | 1993-05-14 | 2001-12-17 | 피터 엔. 데트킨 | 분기목표버퍼의추측기록메카니즘 |
JPH0728695A (ja) | 1993-07-08 | 1995-01-31 | Nec Corp | メモリコントローラ |
US5446912A (en) * | 1993-09-30 | 1995-08-29 | Intel Corporation | Partial width stalls within register alias table |
US5613132A (en) * | 1993-09-30 | 1997-03-18 | Intel Corporation | Integer and floating point register alias table within processor device |
US5630149A (en) | 1993-10-18 | 1997-05-13 | Cyrix Corporation | Pipelined processor with register renaming hardware to accommodate multiple size registers |
DE69429061T2 (de) | 1993-10-29 | 2002-07-18 | Advanced Micro Devices, Inc. | Superskalarmikroprozessoren |
US5689672A (en) | 1993-10-29 | 1997-11-18 | Advanced Micro Devices, Inc. | Pre-decoded instruction cache and method therefor particularly suitable for variable byte-length instructions |
JP3218524B2 (ja) | 1993-12-22 | 2001-10-15 | 村田機械株式会社 | ワークホルダーのはみ出し検出装置 |
US5574935A (en) | 1993-12-29 | 1996-11-12 | Intel Corporation | Superscalar processor with a multi-port reorder buffer |
US5630075A (en) | 1993-12-30 | 1997-05-13 | Intel Corporation | Write combining buffer for sequentially addressed partial line operations originating from a single instruction |
US5452426A (en) | 1994-01-04 | 1995-09-19 | Intel Corporation | Coordinating speculative and committed state register source data and immediate source data in a processor |
US5619664A (en) | 1994-01-04 | 1997-04-08 | Intel Corporation | Processor with architecture for improved pipelining of arithmetic instructions by forwarding redundant intermediate data forms |
US5627985A (en) | 1994-01-04 | 1997-05-06 | Intel Corporation | Speculative and committed resource files in an out-of-order processor |
US5604877A (en) * | 1994-01-04 | 1997-02-18 | Intel Corporation | Method and apparatus for resolving return from subroutine instructions in a computer processor |
US5577200A (en) | 1994-02-28 | 1996-11-19 | Intel Corporation | Method and apparatus for loading and storing misaligned data on an out-of-order execution computer system |
US5625788A (en) | 1994-03-01 | 1997-04-29 | Intel Corporation | Microprocessor with novel instruction for signaling event occurrence and for providing event handling information in response thereto |
US5630083A (en) | 1994-03-01 | 1997-05-13 | Intel Corporation | Decoder for decoding multiple instructions in parallel |
US5564056A (en) | 1994-03-01 | 1996-10-08 | Intel Corporation | Method and apparatus for zero extension and bit shifting to preserve register parameters in a microprocessor utilizing register renaming |
US5608885A (en) * | 1994-03-01 | 1997-03-04 | Intel Corporation | Method for handling instructions from a branch prior to instruction decoding in a computer which executes variable-length instructions |
US5586278A (en) | 1994-03-01 | 1996-12-17 | Intel Corporation | Method and apparatus for state recovery following branch misprediction in an out-of-order microprocessor |
US5623628A (en) | 1994-03-02 | 1997-04-22 | Intel Corporation | Computer system and method for maintaining memory consistency in a pipelined, non-blocking caching bus request queue |
US5394351A (en) * | 1994-03-11 | 1995-02-28 | Nexgen, Inc. | Optimized binary adder and comparator having an implicit constant for an input |
US5574927A (en) * | 1994-03-25 | 1996-11-12 | International Meta Systems, Inc. | RISC architecture computer configured for emulation of the instruction set of a target computer |
US5490280A (en) * | 1994-03-31 | 1996-02-06 | Intel Corporation | Apparatus and method for entry allocation for a resource buffer |
US5615126A (en) * | 1994-08-24 | 1997-03-25 | Lsi Logic Corporation | High-speed internal interconnection technique for integrated circuits that reduces the number of signal lines through multiplexing |
US5819101A (en) | 1994-12-02 | 1998-10-06 | Intel Corporation | Method for packing a plurality of packed data elements in response to a pack instruction |
CN101211255B (zh) | 1994-12-02 | 2012-07-04 | 英特尔公司 | 对复合操作数进行压缩操作的处理器、设备和计算系统 |
US5666494A (en) | 1995-03-31 | 1997-09-09 | Samsung Electronics Co., Ltd. | Queue management mechanism which allows entries to be processed in any order |
US6385634B1 (en) | 1995-08-31 | 2002-05-07 | Intel Corporation | Method for performing multiply-add operations on packed data |
US5745375A (en) | 1995-09-29 | 1998-04-28 | Intel Corporation | Apparatus and method for controlling power usage |
US5778210A (en) * | 1996-01-11 | 1998-07-07 | Intel Corporation | Method and apparatus for recovering the state of a speculatively scheduled operation in a processor which cannot be executed at the speculated time |
US5832205A (en) * | 1996-08-20 | 1998-11-03 | Transmeta Corporation | Memory controller for a microprocessor for detecting a failure of speculation on the physical nature of a component being addressed |
US5961129A (en) * | 1997-02-07 | 1999-10-05 | Post; Peter G. | Quick-Release interlocking frame assembly for interchangeably mounting operative sports devices to a boot sole |
US6418529B1 (en) | 1998-03-31 | 2002-07-09 | Intel Corporation | Apparatus and method for performing intra-add operation |
JP3147134U (ja) | 2008-09-17 | 2008-12-18 | 洋 吉迫 | プランター |
-
1992
- 1992-01-08 US US07/817,810 patent/US5539911A/en not_active Expired - Lifetime
- 1992-07-07 KR KR1020037001230A patent/KR100559463B1/ko not_active IP Right Cessation
- 1992-07-07 EP EP92914387A patent/EP0547241B1/en not_active Expired - Lifetime
- 1992-07-07 KR KR1020067001061A patent/KR100633574B1/ko not_active IP Right Cessation
- 1992-07-07 KR KR1020077008096A patent/KR100875252B1/ko not_active IP Right Cessation
- 1992-07-07 KR KR1020077008097A patent/KR100875257B1/ko not_active IP Right Cessation
- 1992-07-07 AT AT92914387T patent/ATE206829T1/de not_active IP Right Cessation
- 1992-07-07 KR KR1020037001233A patent/KR100559475B1/ko not_active IP Right Cessation
- 1992-07-07 DE DE0001385085T patent/DE03024585T1/de active Pending
- 1992-07-07 KR KR1020077008100A patent/KR100875262B1/ko not_active IP Right Cessation
- 1992-07-07 KR KR1020067001062A patent/KR100633578B1/ko not_active IP Right Cessation
- 1992-07-07 AT AT00109747T patent/ATE260485T1/de not_active IP Right Cessation
- 1992-07-07 EP EP00109747A patent/EP1024426B1/en not_active Expired - Lifetime
- 1992-07-07 KR KR1019930700687A patent/KR100294475B1/ko not_active IP Right Cessation
- 1992-07-07 KR KR1020037001231A patent/KR100559465B1/ko not_active IP Right Cessation
- 1992-07-07 KR KR1020077008099A patent/KR100875259B1/ko not_active IP Right Cessation
- 1992-07-07 KR KR1020037001234A patent/KR100559482B1/ko not_active IP Right Cessation
- 1992-07-07 AT AT03024585T patent/ATE450826T1/de not_active IP Right Cessation
- 1992-07-07 KR KR1020077008101A patent/KR100875266B1/ko not_active IP Right Cessation
- 1992-07-07 KR KR1020087028736A patent/KR20080109099A/ko not_active Application Discontinuation
- 1992-07-07 DE DE69233313T patent/DE69233313T2/de not_active Expired - Lifetime
- 1992-07-07 KR KR1020067001063A patent/KR100764898B1/ko not_active IP Right Cessation
- 1992-07-07 KR KR1020077008095A patent/KR100764894B1/ko not_active IP Right Cessation
- 1992-07-07 WO PCT/JP1992/000868 patent/WO1993001545A1/en active Application Filing
- 1992-07-07 JP JP50215093A patent/JP3441070B2/ja not_active Expired - Lifetime
- 1992-07-07 EP EP03024585A patent/EP1385085B1/en not_active Expired - Lifetime
- 1992-07-07 KR KR1020037001229A patent/KR100559455B1/ko not_active IP Right Cessation
- 1992-07-07 KR KR1020077008098A patent/KR100886000B1/ko not_active IP Right Cessation
- 1992-07-07 KR KR1020077008104A patent/KR100764895B1/ko not_active IP Right Cessation
- 1992-07-07 DE DE69232113T patent/DE69232113T2/de not_active Expired - Lifetime
- 1992-07-07 KR KR1020037001232A patent/KR100559468B1/ko not_active IP Right Cessation
- 1992-07-07 DE DE69233777T patent/DE69233777D1/de not_active Expired - Lifetime
-
1996
- 1996-02-15 US US08/602,021 patent/US5689720A/en not_active Expired - Lifetime
-
1997
- 1997-10-07 US US08/946,078 patent/US6092181A/en not_active Expired - Fee Related
-
1998
- 1998-12-28 HK HK98116065A patent/HK1014782A1/xx not_active IP Right Cessation
-
1999
- 1999-05-11 US US09/309,601 patent/US6101594A/en not_active Expired - Fee Related
- 1999-05-11 US US09/309,603 patent/US6128723A/en not_active Expired - Fee Related
- 1999-06-23 US US09/338,563 patent/US6038654A/en not_active Expired - Fee Related
- 1999-11-09 US US09/436,986 patent/US6256720B1/en not_active Expired - Fee Related
- 1999-11-10 US US09/437,601 patent/US6272619B1/en not_active Expired - Fee Related
-
2000
- 2000-05-17 JP JP2000145125A patent/JP3654138B2/ja not_active Expired - Lifetime
- 2000-05-17 JP JP2000145126A patent/JP2000357091A/ja not_active Withdrawn
- 2000-05-17 JP JP2000145123A patent/JP3654137B2/ja not_active Expired - Lifetime
- 2000-05-17 JP JP2000145124A patent/JP2000339159A/ja not_active Withdrawn
- 2000-05-18 JP JP2000146443A patent/JP2000339163A/ja not_active Withdrawn
- 2000-05-18 JP JP2000146442A patent/JP2000339162A/ja not_active Withdrawn
- 2000-05-18 JP JP2000146441A patent/JP3654139B2/ja not_active Expired - Lifetime
- 2000-12-27 KR KR1020007014868A patent/KR100325176B1/ko not_active IP Right Cessation
- 2000-12-27 KR KR1020007014867A patent/KR100325175B1/ko not_active IP Right Cessation
- 2000-12-27 KR KR1020007014869A patent/KR100325177B1/ko not_active IP Right Cessation
-
2001
- 2001-05-10 US US09/852,293 patent/US6647485B2/en not_active Expired - Fee Related
- 2001-11-23 KR KR10-2001-7015021A patent/KR100403164B1/ko not_active IP Right Cessation
- 2001-11-23 KR KR10-2001-7015019A patent/KR100403166B1/ko not_active IP Right Cessation
- 2001-11-23 KR KR10-2001-7015020A patent/KR100403167B1/ko not_active IP Right Cessation
-
2002
- 2002-09-13 JP JP2002267998A patent/JP3702873B2/ja not_active Expired - Lifetime
- 2002-10-29 US US10/282,045 patent/US6959375B2/en not_active Expired - Fee Related
- 2002-10-29 US US10/282,207 patent/US6948052B2/en not_active Expired - Fee Related
- 2002-10-30 US US10/283,106 patent/US6915412B2/en not_active Expired - Fee Related
- 2002-10-30 US US10/283,177 patent/US6986024B2/en not_active Expired - Fee Related
-
2003
- 2003-01-27 KR KR10-2003-7001237A patent/KR100393497B1/ko not_active IP Right Cessation
- 2003-01-27 KR KR10-2003-7001227A patent/KR100393494B1/ko not_active IP Right Cessation
- 2003-01-27 KR KR10-2003-7001236A patent/KR100393496B1/ko not_active IP Right Cessation
- 2003-01-27 KR KR10-2003-7001228A patent/KR100393495B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009655A patent/KR100469968B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009658A patent/KR100403165B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009651A patent/KR100469954B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009652A patent/KR100469952B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009653A patent/KR100469964B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009660A patent/KR100449242B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009650A patent/KR100469971B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009656A patent/KR100449238B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009654A patent/KR100469959B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009657A patent/KR100449236B1/ko not_active IP Right Cessation
- 2003-07-21 KR KR10-2003-7009659A patent/KR100449244B1/ko not_active IP Right Cessation
- 2003-09-12 US US10/660,671 patent/US7162610B2/en not_active Expired - Fee Related
- 2003-09-18 KR KR10-2003-7012158A patent/KR100464272B1/ko not_active IP Right Cessation
- 2003-09-18 KR KR10-2003-7012159A patent/KR20040000411A/ko not_active Application Discontinuation
- 2003-10-31 US US10/697,257 patent/US6934829B2/en not_active Expired - Fee Related
- 2003-11-05 US US10/700,485 patent/US7487333B2/en not_active Expired - Fee Related
- 2003-11-05 US US10/700,520 patent/US6941447B2/en not_active Expired - Fee Related
-
2004
- 2004-05-11 HK HK04103298.9A patent/HK1060417A1/xx not_active IP Right Cessation
- 2004-09-14 JP JP2004266867A patent/JP3729202B2/ja not_active Expired - Lifetime
- 2004-10-14 JP JP2004299657A patent/JP3838252B2/ja not_active Expired - Lifetime
- 2004-11-15 JP JP2004329902A patent/JP3760947B2/ja not_active Expired - Lifetime
- 2004-12-20 JP JP2004367718A patent/JP3760948B2/ja not_active Expired - Lifetime
-
2005
- 2005-01-19 JP JP2005011006A patent/JP3731604B2/ja not_active Expired - Lifetime
- 2005-02-18 JP JP2005041740A patent/JP3731605B2/ja not_active Expired - Lifetime
- 2005-04-18 JP JP2005119940A patent/JP3791542B2/ja not_active Expired - Lifetime
- 2005-05-18 JP JP2005145542A patent/JP3791544B2/ja not_active Expired - Lifetime
- 2005-06-17 JP JP2005178170A patent/JP3791545B2/ja not_active Expired - Lifetime
- 2005-07-19 JP JP2005208079A patent/JP3791547B2/ja not_active Expired - Lifetime
- 2005-08-18 JP JP2005237341A patent/JP3791548B2/ja not_active Expired - Lifetime
- 2005-09-20 JP JP2005271886A patent/JP3791550B2/ja not_active Expired - Lifetime
-
2006
- 2006-06-12 JP JP2006162315A patent/JP3915842B2/ja not_active Expired - Lifetime
- 2006-07-12 JP JP2006191594A patent/JP2006313564A/ja not_active Withdrawn
- 2006-12-21 US US11/642,599 patent/US7739482B2/en not_active Expired - Fee Related
-
2008
- 2008-09-22 US US12/235,215 patent/US7721070B2/en not_active Expired - Fee Related
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP3654138B2 (ja) | スーパースカラー型マイクロプロセッサ及びデータ処理装置 | |
JP3879812B2 (ja) | トラップ処理方法及びトラップ処理装置 | |
JP3627735B2 (ja) | スーパースカラープロセッサ及びデータ処理装置 | |
JP3876443B2 (ja) | マイクロプロセッサ装置 | |
JP2000039996A (ja) | ス―パ―スカラ処理システム及びデ―タ処理方法 | |
JP2000029697A (ja) | ス―パ―スカラ処理装置、デ―タ処理方法及びコンピュ―タシステム |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20031209 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20040227 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20040428 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20040624 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20040730 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20041109 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20041216 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20050208 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20050221 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20080311 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090311 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20090311 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20100311 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20100311 Year of fee payment: 5 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20110311 Year of fee payment: 6 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120311 Year of fee payment: 7 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20120311 Year of fee payment: 7 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130311 Year of fee payment: 8 |
|
EXPY | Cancellation because of completion of term | ||
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130311 Year of fee payment: 8 |