CN103649931B - 用于支持由多个引擎执行指令序列的互连结构 - Google Patents

用于支持由多个引擎执行指令序列的互连结构 Download PDF

Info

Publication number
CN103649931B
CN103649931B CN201280034725.1A CN201280034725A CN103649931B CN 103649931 B CN103649931 B CN 103649931B CN 201280034725 A CN201280034725 A CN 201280034725A CN 103649931 B CN103649931 B CN 103649931B
Authority
CN
China
Prior art keywords
resource
interconnection structure
interconnection
engine
resources
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280034725.1A
Other languages
English (en)
Other versions
CN103649931A (zh
Inventor
M·阿布达拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Soft Machines Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Soft Machines Inc filed Critical Soft Machines Inc
Publication of CN103649931A publication Critical patent/CN103649931A/zh
Application granted granted Critical
Publication of CN103649931B publication Critical patent/CN103649931B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5061Partitioning or combining of resources
    • G06F9/5077Logical partitioning of resources; Management or configuration of virtualized resources
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/80Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • G06F9/3889Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled by multiple instructions, e.g. MIMD, decoupled access or execute
    • G06F9/3891Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled by multiple instructions, e.g. MIMD, decoupled access or execute organised in groups of units sharing resources, e.g. clusters
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • G06F9/4881Scheduling strategies for dispatcher, e.g. round robin, multi-level priority queues
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/52Program synchronisation; Mutual exclusion, e.g. by means of semaphores

Abstract

一种全局互连系统。该全局互连系统包括具有用于支持多个代码序列的执行的数据的多个资源以及用于实施多个代码序列的执行的多个引擎。多个资源消费方处于多个引擎中的每个引擎之内。全局互连结构耦合至多个资源消费方并且耦合至多个资源,以实现数据访问和多个代码序列的执行,其中资源消费方通过对全局互连结构的每周期利用来访问资源。

Description

用于支持由多个引擎执行指令序列的互连结构
本申请要求由Mohammad A.Abdallah于2011年5月20日提交的题为“AN INTERCONNECT STRUCTURE TO SUPPORT THEEXECUTION OF INSTRUCTION SEQUENCES BY A PLURALITYOF ENGINES”的共同未决的被共同转让的第61/488683号美国临时专利申请的权益,并且其全文结合于此。
相关申请的交叉引用
本申请涉及由Mohammad A.Abdallah于2010年1月5日提交的题为“APPARATUS AND METHOD FOR PROCESSING COMPLEXINSTRUCTION FORMATS IN A MULTITHREADEDARCHITECTURE SUPPORTING VARIOUS CONTEXT SWITCHMODES AND VIRTUALIZATION SCHEMES”的共同未决的被共同转让的第12/514,303号美国专利申请,并且其全文结合于此。
本申请涉及由Mohammad A.Abdallah于2008年12月19日提交的题为“APPARATUS AND METHOD FOR PROCESSING ANINSTRUCTION MATRIX SPECIFYING PARALLEL IN DEPENDENTOPERATIONS”的共同未决的被共同转让的第12/296,919号美国专利申请,并且其全文结合于此。
技术领域
本发明总体上涉及数字计算机系统,更特别地涉及一种用于选择包括指令序列的指令的系统和方法。
背景技术
需要处理器来处理相依赖的或者完全独立的多个任务。这样的处理器的内部状态通常由寄存器构成,该寄存器在程序执行的每个特定瞬间可能保存有不同的数值。在程序执行的每个瞬间,内部状态图像被称作处理器的架构状态。
当代码执行被切换而运行另一功能(例如,另一线程、处理或程序)时,则机器/处理器的状态必须被保存,以使得新的功能能够利用内部寄存器来构建其新的状态。一旦新的功能终止,则其状态能够被丢弃并且之前环境的状态将被恢复并继续执行。这样的切换处理被称作环境切换(context switch)并且通常包括数十个或数百个周期,尤其是利用采用大量寄存器(例如,64个、128个、256个)和/或乱序执行的现代架构的情况下。
在线程感知(thread-aware)的硬件架构中,对于硬件而言,针对有限数量的硬件支持的线程而支持多种环境状态是正常的。在这种情况下,硬件针对每个所支持的线程复制所有架构状态单元。这使得在执行新线程时无需进行环境切换。然而,这仍然具有多种缺陷,即针对以硬件形式所支持的每个附加线程复制所有架构状态单元(即,寄存器)的面积、功率和复杂度。此外,如果软件线程的数量超过了被明确支持的硬件线程的数量,则仍然必须执行环境切换。
由于在需要大量线程的精细粒度的基础上需要并行性,所以这变得很常见。具有重复的环境状态硬件存储器的硬件线程感知架构对非线程化的软件代码并没有帮助,而仅仅是减少了被线程化的软件的环境切换的数量。然而,那些线程通常针对粗粒度并行化进行构建,并且导致针对发起和同步的繁重软件开销,让诸如函数调用和循环并行执行之类的细粒度并行性没有有效的线程化发起/自动生成。这样描述的开销伴随着难以使用用于非明确/易于并行化/线程化的软件代码的现有技术编译器或用户并行化技术对这样的代码进行自动并行化。
发明内容
在一个实施例中,本发明被实施为一种全局互连系统。该全局互连系统包括具有用于支持多个代码序列的执行的数据的多个资源以及用于实施多个代码序列的执行的多个引擎。多个资源消费方处于多个引擎中的每个引擎之内。全局互连结构耦合至多个资源消费方并且耦合至多个资源,以实现数据访问和多个代码序列的执行,其中资源消费方通过对全局互连结构的每周期利用来访问资源。
以上是概述并且因此必然包含了细节的简化、概括以及省略;因此,本领域技术人员将会意识到,该概述仅是说明性的而并非意在以任何方式进行限制。仅由权利要求所限定的本发明的其它方面、发明特征以及优势,将在以下所给出的非限制性的详细描述中变得显而易见。
附图说明
在附图的图示中通过示例而非限制对本发明进行图示,并且其中同样的附图标记指代相似的单元。
图1A示出了全局前端生成代码块和继承向量以支持代码序列在其相应引擎上执行的方式的概览。
图1B示出了依据本发明的一个实施例的引擎及其组件的概览示图,包括用于多核处理器的分段调度器和寄存器文件、互连和分片存储器子系统。
图2示出了依据本发明的一个实施例的描绘图1A和图1B的讨论中所描述的互连以及多个局部互连的附加特征的概览示图。
图3示出了依据本发明的一个实施例的包括对争议资源实施有效访问的资源预约机制的组件。
图4示出了依据本发明的一个实施例的互连以及到存储器片段之中的端口。
图5示出了依据本发明的一个实施例的互连以及到分段之中的端口。
图6示出了依据本发明的一个实施例的描绘分段互连的示图。
图7示出了依据本发明的一个实施例的图示对针对互连分段的请求进行竞争和分配的方式的表格。
图8示出了依据本发明的一个实施例的图示对针对点对点总线的请求进行处置的方式的表格。
图9示出了依据本发明的一个实施例的实施图7的表格的功能的示例性逻辑实施方式的示图。
图10示出了依据本发明的一个实施例的实施对针对点对点总线的请求进行处置的方式的功能的示例性逻辑实施方式的示图。
图11示出了依据本发明的一个实施例的互连的示图。
图12示出了依据本发明的一个实施例的图示图11的发送器模型互连结构运行的方式的表格。
图13示出了依据本发明的一个实施例的实施对针对共享总线互连结构的请求进行处置的方式的功能的示例性逻辑实施方式的示图。
图14示出了依据本发明的一个实施例的示例性微处理器流水线的示图。
具体实施方式
虽然已经结合一个实施例对本发明进行了描述,但是本发明并非意在被局限于这里所给出的具体形式。与之相反,由于能够被合理包括在如所附权利要求所限定的本发明的范围之内,所以其意在覆盖这样的替换、修改和等同形式。
在以下详细描述中,已经给出了诸如具体方法顺序、结构、单元和连接之类的众多具体细节。然而,所要理解的是,这些和其它具体细节无需被用来实践本发明的实施例。在其它情况下,已经省略了公知的结构、单元或连接,或者没有对其进行特别详细的描述,以避免不必要地对该描述造成混淆。
说明书中对“一个实施例”或“实施例”的引用意在表明结合该实施例所描述的特定特征、结构或特性包括在本发明的至少一个实施例中。在说明书中各处出现的短语“在一个实施例中”并非必然全部都指代相同的实施例,也并非是与其它实施例互相排斥的单独或可替换的实施例。此外,描述了可以由一些实施例而并非由其它实施例所表现的各种特征。类似地,描述了可能是针对一些实施例而非其它实施例的要求的各种要求。
随后的详细描述的一些部分以过程、步骤、逻辑框、处理以及对计算机存储器内的数据比特的运算的其它符号表示的形式进行呈现。这些描述和表示是数据处理领域的技术人员用来最为有效地向本领域的其它技术人员传递其工作实质的手段。过程、计算机执行的步骤、逻辑框、处理等在这里且一般地被理解为是导致所期望结果的步骤或指令的自相一致的序列。步骤是需要对物理量进行物理操控的那些步骤。通常,虽然并非必然如此,这些量采用计算机可读存储介质的电或磁信号的形式并且能够在计算机系统中存储、传输、组合、比较以及以其它方式操控。已经证明,主要出于一般使用的原因,将这些信号称为比特、数值、单元、符号、字符、项、数字等有时是方便的。
然而,应当记住的是,所有这些和类似术语是与适当的物理量相关联并且仅是应用于这些量的便利标记。除非从以下讨论中明显地另外特别指出,否则要意识到的是,贯穿本发明,利用诸如“处理”或“访问”或“写入”或“存储”或“复制”等术语进行的讨论指代计算机系统或类似电子计算设备的动作和处理,其对计算机系统的寄存器和存储器以及其它计算机可读介质内被表示为物理(电子)量的数据进行操控并将其变换为被类似表示为计算机系统的存储器或寄存器或者其它这样的信息存储、传输或显示设备内的物理量的其它数据。
本发明的实施例利用前端调度器、多个分段寄存器文件或者单个寄存器文件以及存储器子系统来实现用于多核处理器的多个核心的分片地址空间。在一个实施例中,分片(fragmentation)通过允许另外的虚拟核心(例如,软核心)协同执行包括一个或多个线程的指令序列而使得能够对多处理器的性能进行缩放。分片层级同样跨每个高速缓存层级(例如,L1高速缓存、L2高速缓存)。分片层级使用地址比特将地址空间划分为片段,其中地址比特被使用以使得片段通过处于高速缓存行界限以上和页面界限以下的比特来识别。每个片段被配置为利用多端口组结构进行存储。以下在图1A和图1B中对本发明的实施例进一步进行描述。
图1A示出了依据本发明的一个实施例的处理器的概览示图。如图1A中所描述的,处理器包括全局前端取回和调度器10以及多个可划分引擎11-14。
图1A示出了全局前端生成代码块和继承向量以支持代码序列在其相应引擎上执行的方式的概览。根据特定的虚拟核心执行模式,代码序列20-23中的每个代码序列可以属于相同的逻辑核心/线程或者不同的逻辑核心/线程。全局前端取回和调度器将对代码序列20-23进行处理以生成代码块和继承向量。如所示出的,这些代码块和继承向量被分配给特定的可划分引擎。
引擎依据所选择的模式实施虚拟核心。引擎包括分段、片段以及多个执行单元。引擎内的资源可以被用来实施具有多种模式的虚拟核心。如由虚拟核心模式所提供的,能够实施一个软核心或许多软核心以支持一个逻辑核心/线程。在图1A的实施例中,根据所选择的模式,虚拟核心可以支持一个逻辑核心/线程或者四个逻辑核心/线程。在虚拟核心支持四个逻辑核心/线程的实施例中,每个虚拟核心的资源跨每个可划分引擎分布。在虚拟核心支持一个逻辑核心/线程的实施例中,所有引擎的资源专用于该核心/线程。对引擎进行划分,以使得每个引擎提供包括每个虚拟核心的资源的子集。换句话说,虚拟核心将包括引擎11-14中每个引擎的资源的子集。引擎11-14中每个引擎的资源之间的通信由全局互连结构30提供,以便促成该处理。可替换地,引擎11-14能够被用来实施物理模式,其中引擎11-14的资源专用于支持专用核心/线程的执行。以这种方式,由引擎实施的软核心包括具有跨每个引擎分布的资源的虚拟核心。在以下附图中进一步对虚拟核心的执行模式进行描述。
应当注意的是,在常规核心实施方式中,一个核心/引擎内的资源仅被分配给一个逻辑线程/核心。与之相比,在本发明的实施例中,任意引擎/核心的资源能够连同其它引擎/核心划分一起被划分,以例示出被分配给一个逻辑线程/核心的虚拟核心。本发明的实施例还能够实施其中那些相同的引擎能够被划分以支持许多专用核心/线程或者许多动态分配的核心/线程的多种虚拟执行模式,以及其中所有引擎的所有资源都支持单个核心/线程的执行的配置。以下进一步对一些代表性实施例进行描述。在当前发明的其它实施例中,当前发明的技术能够直接被应用于常规的多核实施方式,以使得能够对多核共享的资源和互连进行有效的竞争、预约和分配。类似地,当前发明能够在单核或计算引擎内被应用,以使得能够对该核心内任意的共享资源或互连(即,端口、总线、执行单元、高速缓存、结构)进行有效的竞争、预约和分配。
例如,图1A、图1B和图5中所示的实施例能够被典型的多核设计所替代,该设计没有全局前端或继承向量,但是具有例示对诸如高速缓存、共享互连(例如,网或网格)或共享多向总线之类的资源进行访问的多个核心或多个线程的引擎。在这样的实施例中,当前发明仍然能够被直接应用,以允许有效的资源和互连的竞争、预约和分配。类似地,当前发明的实施例能够被应用于每个核心或引擎,以便竞争、预约和分配资源或互连。
图1B示出了依据本发明的一个实施例的可划分引擎及其组件的概览视图,包括用于多核处理器的分段调度器和寄存器文件、全局互连以及分片存储器子系统。如图1中所描绘的,示出了四个片段101-104。分片层级同样跨每个高速缓存层级(例如,L1高速缓存、L2高速缓存和负载存储缓冲器)。数据能够通过存储器全局互连110a在每个L1高速缓存、每个L2高速缓存以及每个负载存储缓冲器之间进行交换。
存储器全局互连包括路由矩阵,其允许多个核心(例如,地址计算和执行单元121-124)访问可以被存储在分片高速缓存层级(例如,L1高速缓存、负载存储缓冲器和L2高速缓存)中的任意点的数据。图1还描绘了每个片段101-104由此能够通过存储器全局互连110a被地址计算和执行单元121-124访问的方式。
执行全局互连110b类似地包括路由矩阵,其允许多个核心(例如,地址计算和执行单元121-124)访问可以被存储在任意分段寄存器文件的数据。因此,核心通过存储器全局互连110a或执行全局互连110b对存储在任意片段中的数据以及存储在任意分段中的数据进行访问。
图1B进一步示出了全局前端取回和调度器150,其具有整个机器的视野并且对寄存器文件分段和分片存储器子系统的利用进行管理。地址生成包括片段定义的基础。全局前端取回和调度器通过向每个分段的划分调度器分配指令序列而运行。公共划分调度器随后分派那些指令序列以用于在地址计算和执行单元121-124上执行。
此外,应当注意的是,图1A中所示的可划分引擎能够以层级方式进行嵌套。在这样的实施例中,第一级可划分引擎将包括局部前端取回和调度器以及与之连接的多个二级可划分引擎。
图2示出了描绘依据本发明的一个实施例的以上在图1A和图1B的讨论中所描述的互连30以及多个局部互连40-42的附加特征的概览视图。图2的结构图示了互连结构的协调(orchestrating)模型。图2示出了被连接至相对应的多个消费方的多个资源。该资源是每个可划分引擎的数据存储资源(例如,寄存器文件、负载存储缓冲器、L1高速缓存和L2高速缓存)。消费方是每个可划分引擎的执行单元和地址计算单元。图2进一步示出了多个协调器(orchestrator)21-23。
如上所述,每个引擎11-14的资源之间的通信由互连结构来提供。通过示例,在图2的实施例中,互连结构30是专用的点对点总线。在图2的实施例中,存在范围跨每个引擎的资源的六条总线。每个周期仅一个消费方/资源对能够利用六条总线之一。消费方/资源对通过图10的或-与(OR-AND)和阈值检测逻辑针对六条总线的使用互相竞争。然而,如在图9的讨论中进一步描述的,能够使用预约加法器和阈值限制或处理来实现针对共享多点总线配置的相同协调。
协调器21-23包括将资源的路由指向消费方的受控实体。例如,在一个实施例中,协调器可以是线程调度器,其对资源进行调度以便通过互连传输至准备执行的消费方。协调器(例如线程调度器)识别正确的资源,预约必要的总线,并且使得该资源传输至所选择的消费方。以这种方式,协调器监视指令的准备状态并且选择将被用来执行该指令的执行单元。该信息被用来通过使用如图9或图10所示的预约和分配逻辑竞争互连处的请求来对资源跨互连向所选择的执行单元(例如,所选择的消费方)的传输进行协调。以这种方式,消费方自身的执行单元被视为需要由协调器使用与针对互连所图示的类似的资源预约和分配方法进行竞争的资源。其中通过使用图9或图10之一的预约和分配逻辑来竞争来自所有协调器的请求而预约和分配执行单元。
互连包括路由矩阵,其允许多个资源消费方(在这种情况下为多个核心(例如,地址计算和执行单元121-124))访问可以被存储在分片高速缓存层级(例如,L1高速缓存、负载存储缓冲器和L2高速缓存)中的任意点处的资源(在这种情况下为数据)。核心能够类似地访问可以存储在任意分段寄存器文件处的数据。因此,核心通过互连结构30对存储在任意片段中的数据以及存储在任意分段中的数据进行访问。在一个实施例中,如以上在图1B的讨论中所示出并描述的,该互连结构包括两个结构,存储器互连110a和执行互连110b。
图2还示出了多个局部互连40-42。局部互连40-42包括路由矩阵,其允许来自相邻的可划分引擎的资源消费方快速访问紧邻的可划分引擎的资源。例如,一个核心能够使用局部互连40快速访问相邻的可划分引擎的资源(例如,寄存器文件、负载存储缓冲器等)。
因此,互连结构自身包括必须由每个可划分引擎的每个核心所共享的资源。互连结构30和局部互连结构40-42实施了允许来自任意可划分引擎的核心访问任意其它可划分引擎的资源的互连结构。该互连结构包括在互连结构的情况下范围跨集成电路设备的所有可划分引擎以及在局部互连结构的情况下范围介于集成电路设备的引擎之间的传输线路。
本发明的实施例实施了用于使用互连和局部互连的非集中化的访问处理。有限数量的全局总线和局部总线包括必须由协调器有效共享的资源。此外,非集中化的访问处理被协调器用来有效地共享向每个可划分引擎的资源提供读/写访问的有限数量的端口。在一个实施例中,非集中化的访问处理由预约总线(例如,局部互连总线或互连总线)以及到所期望的资源中的端口的协调器来实施。例如,协调器21需要预约互连和端口以便消费方1访问资源3,而协调器22则需要预约互连和端口以便消费方访问资源2。
图3示出了依据本发明的一个实施例的包括对竞争资源实施有效访问的资源预约机制的组件。如图3所示,示出了耦合至控制对三个资源中的每个资源的四个端口中的每个端口的访问的阈值限制器311-313的三个预约加法器301-303。每个加法器输出和值(如果未被取消)还用作每个访问的端口选择器,以使得每个成功的请求能够使用由该请求加法器的输出处的和值指示的端口号。应当注意的是,如图3的示图中所指示的,每个所描绘的加法器的和值也是未被取消的相对应请求所分配的端口号。
应当注意的是,该端口分配和预约问题能够类似于图7的总线分段分配表格进行图示,并且因此其实施逻辑也能够与图9相类似,其中每个分段在这种情况下反映寄存器文件分段而不是总线分段。利用在这种情况下的相同类比,与图7中对总线分段的图示相类似地,试图访问多个寄存器文件分段的指令仅在其能够预约其所有寄存器分段请求的情况下能够成功,并且在针对该指令的任何寄存器分段访问被取消的情况下将失败。
本发明的实施例实施了用于使用互连和局部互连的非集中化的访问处理。能够由多个非集中化的取回器、发送器、协调器或代理器针对共享的互连、资源或消费方发起请求、访问和控制。那些非集中化的请求、访问和控制根据那些共享资源的拓扑和结构而使用如本发明中所描述的方法和逻辑实施方式的变化形式在共享资源处进行竞争。通过示例,引擎及其读/写端口的资源需要被核心有效共享。此外,有限数量的全局总线和局部总线包括需要被有效共享的资源。在图3的实施例中,非集中化的访问处理通过预约加法器和阈值限制器来实施。在一个实施例中,在每个竞争资源处,预约加法器树和阈值限制器控制对该竞争资源的访问。如本文所使用的,术语竞争资源是指负载存储缓冲器、存储器/高速缓存片段、寄存器文件分段或L2高速缓存的读写端口、全局总线预约或局部总线预约。
预约加法器和阈值限制器控制对每个竞争资源的访问。如以上所描述的,为了访问资源,核心需要预约必要总线并预约必要端口。在每个周期期间,协调器试图预约执行其未决指令所必需的资源。例如,对于对图3中所示的指令I1进行调度的协调器而言,该协调器将在其所需要的资源的预约加法器中设置标志或比特。在这样的情况下,在寄存器文件1中和寄存器文件3中对比特进行设置。其它协调器将类似地在其所需要的资源的预约加法器中设置比特。例如,针对指令I2的不同协调器针对寄存器文件2设置两个比特。在协调器请求其所需要的资源时,预约加法器对请求求和直至它们达到阈值限制器。在图4的实施例中,针对每个资源存在四个端口。因此,预约加法器将接受来自预约请求的标志直至四个端口都被预约。将不接受其它标志。
协调器将不接受对执行其指令的确认,除非其执行指令所必需的所有标志都被设置。因此,协调器将在必需总线的标志被设置以及必需读写端口的标志被设置的情况下接受对执行指令的确认。如果针对任何标志接收到取消信号,则该协调器的请求的所有标志都被清除,并且对该请求进行排队直至下一个周期。
以这种方式,每个协调器以逐个周期为基础针对资源互相竞争。对被取消的请求进行排队并且在下一个周期中被给予优先级。这确保了一个特定核心不会在大量周期内被排除在资源访问之外。应当注意的是,所提出的实施方式中的资源自动分配到资源,例如,如果请求成功获得了资源(例如,其没有被加法器和阈值逻辑所取消),则对应于该请求的加法器和值输出表示分配给该请求的资源编号,因此在不需要任何来自协调器的进一步参与的情况下完成了资源分配。该预约和分配加法器以及阈值限制器公平地以分散方式(例如,无需请求方/协调器主动参与任何集中化仲裁)平衡对竞争资源的访问。每个远程协调器向共享资源发送其请求,成功的那些请求将自动被给予资源/总线。
图4示出了依据本发明的一个实施例的互连和到存储器片段之中的端口。如图4中所描绘的,每个存储器片段被示为具有四个读写端口,其提供对负载存储缓冲器、L1高速缓存和L2高速缓存的读/写访问。负载存储缓冲器包括多个条目(entry),并且L1高速缓存包括多条通路。
如以上所描述的,本发明的实施例实施了用于使用互连和局部互连的非集中化的访问处理。有限数量的全局总线和局部总线包括必须被核心有效共享的资源。因此,预约加法器和阈值限制器控制对每个竞争资源(在这种情况下为到每个片段之中的端口)的访问。如以上所描述的,为了访问资源,核心需要预约必需的总线并且预约必需的端口。
图5示出了依据本发明的一个实施例的互连和到分段之中的端口。如图5中所描绘的,每个分段被示为具有4个读写端口,其提供对操作数/结果缓冲器、线程化寄存器文件以及公共划分(commonpartition)或调度器的读/写访问。图5的实施例被示为在每个分段中包括公共划分或调度器。在该实施例中,共同划分调度器被配置为与图1B中所示的全局前端取回和调度器一起协同工作。
用于使用互连和局部互连的非集中化访问处理采用预约加法器和阈值限制器来控制对每个竞争资源(在这种情况下为到每个分段之中的端口)的访问。如以上所描述的,为了访问资源,核心需要预约必需的总线并且预约必需的端口。
图6示出了依据本发明的一个实施例的描绘分段互连601的示图。如图6所示,互连601被示为将资源1-4连接至消费方1-4。互连601还被示为包括分段1、分段2和分段3。
图6示出了取回模型互连结构的示例。在图6的实施例中,没有协调器。在该实施例中,资源由消费方在它们试图取回必需的资源以支持消费(例如,执行单元)时进行竞争。消费方向预约加法器和阈值限制器发送必要的取回请求。
该互连结构包括多个全局分段总线。局部互连结构包括多个局部连接的引擎至引擎总线。因此,为了平衡在性能和构造两方面的成本,存在有限数量的全局总线和有限数量的局部总线。在图6的实施例中,示出了四个全局分段的总线。
在一个实施例中,全局总线能够被分段成3个部分。分段允许全局总线的总长度依据全局访问的距离进行调节。例如,由消费方1对资源4的访问将跨越整个总线,并且因此不进行分段。然而,由消费方1对资源3的访问不会跨越整个总线,并且因此全局总线能够在资源3和资源4之间进行分段。
在图6的实施例中,互连601被示为具有4条总线。例如能够经由三态缓冲器来实施分段。分段导致了总线的更快且更功率有效的传输特性。在图6的实施例中,总线各自包括单向三态缓冲器(例如,缓冲器602)和双向三态缓冲器(例如,缓冲器603)。双向三态缓冲器在图6的示图中以阴影示出。该缓冲器使得互连能够被分段以改善其信号传输特性。这些分段还包括必须由资源消费方进行竞争并为其分配的资源。以下在图7的示图中图示了该处理。
图7示出了依据本发明的一个实施例的图示对针对互连601的分段的请求进行竞争和分配的方式的表格。图7的表格的左侧示出了当请求在周期内被接收时它们如何进行排序。在这种情况下,示出了八个请求。当来自资源消费方的请求想要预约分段时,该消费方在所请求分段的预约表格中置1。例如,针对请求1,消费方1想要预约分段1和分段2以便访问资源3。因此,消费方1在分段1和分段2的请求栏中设置标志或比特,而分段3的栏则保持为零。以这种方式,在栏内添加请求。对请求进行分配,直至它们超过了全局总线的数量(在该情况下为4)。当请求超过全局总线的数量时,它们被取消。这由因为超过了限制而被取消的请求编号6和请求编号7所示出。
图8示出了依据本发明的一个实施例的图示对针对点对点总线的请求进行处置的方式的表格。与图7的表格相反,图8的表格示出了仅一个消费方以及仅一个资源如何能够使用点对点总线(例如,图2中图示的互连)。请求来自想要通过点对点总线对资源进行路由的多个协调器。在这种情况下,点对点总线示出了可能的消费方资源对的数量(例如,从左至右的六个栏)以及从上到下的请求1-8的数量。由于在任何给定时间仅有一个资源消费方对能够使用总线,所以该栏在所有请求由于超过限制而被取消之前仅能够具有一个请求标志。因此,在每一栏中,第一请求被许可,而所有后续请求由于超过限制而被取消。由于存在六条全局点对点总线,所以存在能够在每个周期中容纳六个不同请求的六个栏。
图9示出了依据本发明的一个实施例的实施图7的表格的功能的示例性逻辑实施方式的示图。如以上所描述的,图7的表格图示了依据本发明的一个实施例的对针对互连601的分段的请求进行竞争和分配的方式。特别地,图9示出了用于分配与来自图7的表格的总线分段2相关联的栏的逻辑。
图9的实施例示出了多个并行加法器901-905。如果超出限制,则两个请求都被取消。如以上所描述的,存在能够被用来实施分段2的4条总线。前四个请求能够被处理并获得许可,因为即使它们全部通过使用逻辑1标记请求而被加以标志,它们也不会超出限制。其余请求则需要检查它们是否会超出限制。这是由并行加法器901-905来完成的。前三行之后的每个加法器将其自身与所有之前的行相加并且相对限制进行检查。如果加法器超出了限制,则如所示出的,请求被取消。加法器和值输出还确定向每个请求分配哪个特定总线分段。在图9的实施例中,这是通过如所示出的总线分段编号来完成的。
图10示出了依据本发明的一个实施例的实施对针对点对点总线的请求进行处置的方式的功能的示例性逻辑实施方式的示图。图8的表格示出了仅一个消费方以及仅一个资源如何能够使用点对点总线。具体地,图10示出了用于分配与来自图8的表格的总线栏2-4相关联的栏的逻辑。
如所示出的,图10的实施例示出了耦合至与(AND)门的多个多输入或(OR)门。如以上所描述的,一个消费方以及仅一个资源能够使用点对点总线。由于在任意给定时间仅有一个资源/消费方对能够使用总线,所以该栏在所有后续请求因为超过限制而被取消之前仅能够具有一个请求标志。因此,在每个栏中,第一请求获得许可,而所有后续请求由于超过限制而被取消。在图10的实施例中,该栏的每行通过或(OR)运算与该栏的所有之前的行进行逻辑组合并且随后通过与(AND)运算与其自身进行逻辑组合。因此,如所示出的,如果任何之前的行预约了该栏,则所有后续请求被取消。
图11示出了依据本发明的一个实施例的互连1101的示图。互连1101包括由每个发送器和每个接收器所共享的五个共享互连结构。
图11的实施例示出了发送模型互连结构的示例。例如,发送器包括引擎的执行单元。接收器包括引擎的存储器片段和寄存器分段。在该模型中,发送器向预约加法器和阈值限制器发出必要的请求以预约用于实施其传输的资源。这些资源包括到接收器中的端口以及互连1101的多个共享总线。
图12示出了依据本发明的一个实施例的图示图11的发送器模型互连结构运行的方式的表格。该表格示出了接收自所有发送器的请求。该表格的右侧示出了互连分配。由于互连1101包括五个共享总线,所以前五个请求获得了许可,而任何另外的请求由于超过限制而被取消。因此,请求1、请求3、请求4、请求5和请求6获得了许可。然而,请求7由于已经超过了限制而被取消。
图13示出了依据本发明的一个实施例的实施对针对共享总线互连结构的请求进行处置的方式的功能的示例性逻辑实施方式的示图。
图13示出了如何由加法器901-905处置互连总线的分配。该逻辑实施图12的表格。当请求被接收时,设置相对应的标志。加法器将其各自的标志与所有之前的标志相加。标志将连同其总线编号一起被加法器许可,只要它们并未超过限制(该限制在这种情况下为5)。如以上所描述的,超过限制的任何请求都被取消。
应当注意的是,互连的发送器模型和取回模型能够使用公共互连结构和公共竞争机制而同时得到支持。这由类似于图9的示图的图13的示图所示出。
应当注意的是,当前发明中不同通信模型(发送器、取回、协调器等)和不同互连拓扑(点对点总线、多总线和分段总线等)的当前表现形式不应当被解释为可应用于当前发明的仅有的通信模式或仅有的互连拓扑。与之相反,本领域技术人员能够轻易地将当前发明的不同竞争、预约和分配技术与任意通信模式或总线拓扑进行混合和匹配。
应当进一步注意的是,当前发明的所描述的实施例与资源一起呈现互连。这应当被理解为意在示出用于实施当前发明的较为宽泛的可能性集合的一般化说明,但是应当注意的是,如当前发明中所使用的互连的含义并不局限于不同核心或计算引擎之间或者寄存器文件或存储器片段之间的数据互连,而是还指代承载针对资源的请求的控制互连以及承载来自结构(即,寄存器文件端口、存储器端口、阵列解码器总线等)的数据的物理互连。该较为宽泛的含义例如在图3中进行了图示,其将互连仅示为来自每个寄存器文件的端口。
图14示出了依据本发明的一个实施例的示例性微处理器流水线1400的示图。微处理器流水线1400包括实施用于识别和取回包括如以上所描述的执行的指令的处理的功能的取回模块1401。在图14的实施例中,该取回模块后跟有解码模块1402、分配模块1403、分派模块1404、执行模块1405和引退模块1406。应当注意的是,微处理器流水线1400仅是实施以上所描述的本发明实施例的功能的流水线的一个示例。本领域技术人员将会认识到,能够实施包括以上所描述的解码模块的功能的其它微处理器流水线。
出于解释的目的,以上描述参考了并非意在作为穷举或者对当前发明进行限制的具体实施例。可能有许多与以上教导相符的修改和变化。选择和描述实施例,以便对本发明的原理及其实际应用进行最佳解释,从而使得本领域其他技术人员能够利用可以适用于其特定用途的各种修改形式对本发明及其各个实施例最佳地加以利用。

Claims (29)

1.一种互连系统,包括:
具有用于支持多个代码序列的执行的数据的多个资源;
用于实施所述多个代码序列的所述执行的多个可划分引擎;
在所述多个可划分引擎中的每个可划分引擎之内的多个资源消费方;
互连结构,用于将所述多个资源消费方与所述多个资源耦合,以访问所述数据并且执行所述多个代码序列,其中所述资源消费方通过对所述互连结构的每周期利用来访问所述资源,其中所述互连结构包括传输线路的路由矩阵,所述路由矩阵允许所述多个资源消费方访问来自所述多个资源内的任何存储位置的数据;以及
多个调度器,可操作为对资源进行调度以通过所述互连结构传输至资源消费方。
2.根据权利要求1所述的互连系统,其中所述资源消费方包括所述引擎的执行单元。
3.根据权利要求1所述的互连系统,其中所述资源包括存储器片段。
4.根据权利要求1所述的互连系统,其中所述资源包括寄存器文件分段。
5.根据权利要求1所述的互连系统,其中所述互连结构包括资源消费方通过所述每周期利用来对资源进行访问的多个点对点总线。
6.根据权利要求1所述的互连系统,其中所述互连结构包括资源消费方通过所述每周期利用来对资源进行访问的多个分段总线。
7.根据权利要求1所述的互连系统,其中所述互连结构包括存储器互连结构和执行互连结构。
8.根据权利要求1所述的互连系统,其中所述系统进一步包括使得相邻可划分引擎能够直接访问来自相邻资源的数据的多个局部互连结构。
9.一种微处理器,包括:
具有用于支持多个代码序列的执行的数据的多个资源;
用于实施所述多个代码序列的所述执行的多个可划分引擎;
在所述多个可划分引擎中的每个可划分引擎之内的多个资源消费方;
互连结构,用于将所述多个资源消费方与所述多个资源耦合,以访问所述数据并且执行所述多个代码序列,其中所述资源消费方通过对所述互连结构的每周期利用来访问所述资源,其中所述互连结构包括传输线路的路由矩阵,所述路由矩阵允许所述多个资源消费方访问来自所述多个资源内的任何存储位置的数据;以及
多个调度器,可操作为对资源进行调度以通过所述互连结构传输至资源消费方。
10.根据权利要求9所述的微处理器,其中所述资源消费方包括所述引擎的执行单元。
11.根据权利要求9所述的微处理器,其中所述资源包括存储器片段。
12.根据权利要求9所述的微处理器,其中所述资源包括寄存器文件分段。
13.根据权利要求9所述的微处理器,其中所述互连结构包括资源消费方通过所述每周期利用来对资源进行访问的多个点对点总线。
14.根据权利要求9所述的微处理器,其中所述互连结构包括资源消费方通过所述每周期利用来对资源进行访问的多个分段总线。
15.根据权利要求9所述的微处理器,其中所述互连结构包括存储器互连结构和执行互连结构。
16.根据权利要求9所述的微处理器,其中所述微处理器进一步包括使得相邻可划分引擎能够直接访问来自相邻资源的数据的多个局部互连结构。
17.一种具有耦合到计算机可读存储器的微处理器的计算机系统,其中所述微处理器包括:
具有用于支持多个代码序列的执行的数据的多个资源;
用于实施所述多个代码序列的所述执行的多个可划分引擎;
在所述多个可划分引擎中的每个可划分引擎之内的多个资源消费方;
互连结构,用于将所述多个资源消费方与所述多个资源耦合,以访问所述数据并且执行所述多个代码序列,其中所述资源消费方通过对所述互连结构的每周期利用来访问所述资源,并且其中所述资源消费方包括所述引擎的执行单元,其中所述互连结构包括传输线路的路由矩阵,所述路由矩阵允许所述多个资源消费方访问来自所述多个资源内的任何存储位置的数据;以及
多个调度器,可操作为通过所述互连结构将资源直接路由至资源消费方。
18.根据权利要求17所述的计算机系统,其中所述资源包括存储器片段。
19.根据权利要求17所述的计算机系统,其中所述资源包括寄存器文件分段。
20.根据权利要求17所述的计算机系统,其中所述互连结构包括资源消费方通过所述每周期利用来对资源进行访问的多个点对点总线。
21.根据权利要求17所述的计算机系统,其中所述互连结构包括资源消费方通过所述每周期利用来对资源进行访问的多个分段总线。
22.根据权利要求17所述的计算机系统,其中所述互连结构包括存储器互连结构和执行互连结构。
23.根据权利要求17所述的计算机系统,其中所述系统进一步包括使得相邻可划分引擎能够直接访问来自相邻资源的数据的多个局部互连结构。
24.根据权利要求17所述的计算机系统,其中互连结构包括取回模型互连结构。
25.根据权利要求17所述的计算机系统,其中所述互连结构包括发送模型互连结构。
26.根据权利要求17所述的计算机系统,其中所述互连结构包括协调模型互连结构。
27.根据权利要求17所述的计算机系统,进一步包括:
加法器结构,其对针对访问所述多个资源的请求求和,并且依据加法器结构输出和值来为每个成功的请求特别分配唯一的端口。
28.根据权利要求17所述的计算机系统,进一步包括:
加法器结构,其对针对访问所述多个资源的请求求和,并且依据用于在每周期的基础上仲裁和分配多个资源的加法器结构输出和值来为每个成功的请求特别分配唯一的总线和唯一的端口。
29.根据权利要求17所述的计算机系统,进一步包括:
加法器结构,其依据取回模型、发送模型或协调模型来运行,并且对针对访问所述多个资源的请求求和,并且依据用于在每周期的基础上仲裁和分配多个资源的加法器结构输出和值以及依据所述取回模型、所述发送模型或所述协调模型来为每个成功的请求特别分配唯一的总线和唯一的端口。
CN201280034725.1A 2011-05-20 2012-05-18 用于支持由多个引擎执行指令序列的互连结构 Active CN103649931B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161488683P 2011-05-20 2011-05-20
US61/488,683 2011-05-20
PCT/US2012/038713 WO2012162189A1 (en) 2011-05-20 2012-05-18 An interconnect structure to support the execution of instruction sequences by a plurality of engines

Publications (2)

Publication Number Publication Date
CN103649931A CN103649931A (zh) 2014-03-19
CN103649931B true CN103649931B (zh) 2016-10-12

Family

ID=47175970

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280034725.1A Active CN103649931B (zh) 2011-05-20 2012-05-18 用于支持由多个引擎执行指令序列的互连结构

Country Status (6)

Country Link
US (2) US9442772B2 (zh)
EP (1) EP2710480B1 (zh)
KR (1) KR101639854B1 (zh)
CN (1) CN103649931B (zh)
TW (1) TWI548994B (zh)
WO (1) WO2012162189A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111080510B (zh) * 2019-12-11 2021-02-12 海光信息技术股份有限公司 数据处理装置、方法、芯片、处理器、设备及存储介质
CN112579518B (zh) * 2020-12-24 2023-02-17 成都海光集成电路设计有限公司 网络拓扑结构的构建方法、访问方法及装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6408367B2 (en) * 1995-12-01 2002-06-18 Nortel Networks Limited Data path architecture and arbitration scheme for providing access to a shared system resource
US7213248B2 (en) * 2002-10-10 2007-05-01 International Business Machines Corporation High speed promotion mechanism suitable for lock acquisition in a multiprocessor data processing system
US7925869B2 (en) * 1999-12-22 2011-04-12 Ubicom, Inc. Instruction-level multithreading according to a predetermined fixed schedule in an embedded processor using zero-time context switching

Family Cites Families (467)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US727487A (en) 1902-10-21 1903-05-05 Swan F Swanson Dumping-car.
US4075704A (en) 1976-07-02 1978-02-21 Floating Point Systems, Inc. Floating point data processor for high speech operation
US4228496A (en) 1976-09-07 1980-10-14 Tandem Computers Incorporated Multiprocessor system
US4245344A (en) 1979-04-02 1981-01-13 Rockwell International Corporation Processing system with dual buses
US4527237A (en) 1979-10-11 1985-07-02 Nanodata Computer Corporation Data processing system
US4414624A (en) 1980-11-19 1983-11-08 The United States Of America As Represented By The Secretary Of The Navy Multiple-microcomputer processing
US4524415A (en) 1982-12-07 1985-06-18 Motorola, Inc. Virtual machine data processor
US4597061B1 (en) 1983-01-03 1998-06-09 Texas Instruments Inc Memory system using pipleline circuitry for improved system
US4577273A (en) 1983-06-06 1986-03-18 Sperry Corporation Multiple microcomputer system for digital computers
US4682281A (en) 1983-08-30 1987-07-21 Amdahl Corporation Data storage unit employing translation lookaside buffer pointer
US4600986A (en) 1984-04-02 1986-07-15 Sperry Corporation Pipelined split stack with high performance interleaved decode
US4633434A (en) 1984-04-02 1986-12-30 Sperry Corporation High performance storage unit
JPS6140643A (ja) 1984-07-31 1986-02-26 Hitachi Ltd システムの資源割当て制御方式
US4835680A (en) 1985-03-15 1989-05-30 Xerox Corporation Adaptive processor array capable of learning variable associations useful in recognizing classes of inputs
JPS6289149A (ja) 1985-10-15 1987-04-23 Agency Of Ind Science & Technol 多ポ−トメモリシステム
JPH0658650B2 (ja) 1986-03-14 1994-08-03 株式会社日立製作所 仮想計算機システム
US4920477A (en) 1987-04-20 1990-04-24 Multiflow Computer, Inc. Virtual address table look aside buffer miss recovery method and apparatus
US4943909A (en) 1987-07-08 1990-07-24 At&T Bell Laboratories Computational origami
US5339398A (en) 1989-07-31 1994-08-16 North American Philips Corporation Memory architecture and method of data organization optimized for hashing
US5471593A (en) 1989-12-11 1995-11-28 Branigin; Michael H. Computer processor with an efficient means of executing many instructions simultaneously
US5197130A (en) * 1989-12-29 1993-03-23 Supercomputer Systems Limited Partnership Cluster architecture for a highly parallel scalar/vector multiprocessor system
US5317754A (en) 1990-10-23 1994-05-31 International Business Machines Corporation Method and apparatus for enabling an interpretive execution subset
US5317705A (en) 1990-10-24 1994-05-31 International Business Machines Corporation Apparatus and method for TLB purge reduction in a multi-level machine system
US6282583B1 (en) * 1991-06-04 2001-08-28 Silicon Graphics, Inc. Method and apparatus for memory access in a matrix processor computer
US5539911A (en) 1991-07-08 1996-07-23 Seiko Epson Corporation High-performance, superscalar-based computer system with out-of-order instruction execution
JPH0820949B2 (ja) 1991-11-26 1996-03-04 松下電器産業株式会社 情報処理装置
GB2277181B (en) 1991-12-23 1995-12-13 Intel Corp Interleaved cache for multiple accesses per clock in a microprocessor
KR100309566B1 (ko) 1992-04-29 2001-12-15 리패치 파이프라인프로세서에서다중명령어를무리짓고,그룹화된명령어를동시에발행하고,그룹화된명령어를실행시키는방법및장치
EP0638183B1 (en) 1992-05-01 1997-03-05 Seiko Epson Corporation A system and method for retiring instructions in a superscalar microprocessor
DE69329260T2 (de) 1992-06-25 2001-02-22 Canon Kk Gerät zum Multiplizieren von Ganzzahlen mit vielen Ziffern
JPH0637202A (ja) 1992-07-20 1994-02-10 Mitsubishi Electric Corp マイクロ波ic用パッケージ
JPH06110781A (ja) 1992-09-30 1994-04-22 Nec Corp キャッシュメモリ装置
US5493660A (en) 1992-10-06 1996-02-20 Hewlett-Packard Company Software assisted hardware TLB miss handler
US5513335A (en) 1992-11-02 1996-04-30 Sgs-Thomson Microelectronics, Inc. Cache tag memory having first and second single-port arrays and a dual-port array
US5819088A (en) 1993-03-25 1998-10-06 Intel Corporation Method and apparatus for scheduling instructions for execution on a multi-issue architecture computer
JPH0784883A (ja) 1993-09-17 1995-03-31 Hitachi Ltd 仮想計算機システムのアドレス変換バッファパージ方法
US6948172B1 (en) 1993-09-21 2005-09-20 Microsoft Corporation Preemptive multi-tasking with cooperative groups of tasks
US5469376A (en) 1993-10-14 1995-11-21 Abdallah; Mohammad A. F. F. Digital circuit for the evaluation of mathematical expressions
US5517651A (en) 1993-12-29 1996-05-14 Intel Corporation Method and apparatus for loading a segment register in a microprocessor capable of operating in multiple modes
US5956753A (en) 1993-12-30 1999-09-21 Intel Corporation Method and apparatus for handling speculative memory access operations
US5761476A (en) 1993-12-30 1998-06-02 Intel Corporation Non-clocked early read for back-to-back scheduling of instructions
JP3048498B2 (ja) 1994-04-13 2000-06-05 株式会社東芝 半導体記憶装置
JPH07287668A (ja) 1994-04-19 1995-10-31 Hitachi Ltd データ処理装置
CN1084005C (zh) 1994-06-27 2002-05-01 国际商业机器公司 用于动态控制地址空间分配的方法和设备
US5548742A (en) 1994-08-11 1996-08-20 Intel Corporation Method and apparatus for combining a direct-mapped cache and a multiple-way cache in a cache memory
US5813031A (en) 1994-09-21 1998-09-22 Industrial Technology Research Institute Caching tag for a large scale cache computer memory system
US5640534A (en) 1994-10-05 1997-06-17 International Business Machines Corporation Method and system for concurrent access in a data cache array utilizing multiple match line selection paths
US5835951A (en) 1994-10-18 1998-11-10 National Semiconductor Branch processing unit with target cache read prioritization protocol for handling multiple hits
JP3569014B2 (ja) 1994-11-25 2004-09-22 富士通株式会社 マルチコンテキストをサポートするプロセッサおよび処理方法
US5724565A (en) 1995-02-03 1998-03-03 International Business Machines Corporation Method and system for processing first and second sets of instructions by first and second types of processing systems
US5655115A (en) 1995-02-14 1997-08-05 Hal Computer Systems, Inc. Processor structure and method for watchpoint of plural simultaneous unresolved branch evaluation
US5675759A (en) 1995-03-03 1997-10-07 Shebanow; Michael C. Method and apparatus for register management using issue sequence prior physical register and register association validity information
US5634068A (en) 1995-03-31 1997-05-27 Sun Microsystems, Inc. Packet switched cache coherent multiprocessor system
US5751982A (en) 1995-03-31 1998-05-12 Apple Computer, Inc. Software emulation system with dynamic translation of emulated instructions for increased processing speed
US6209085B1 (en) 1995-05-05 2001-03-27 Intel Corporation Method and apparatus for performing process switching in multiprocessor computer systems
US6643765B1 (en) 1995-08-16 2003-11-04 Microunity Systems Engineering, Inc. Programmable processor with group floating point operations
US5710902A (en) 1995-09-06 1998-01-20 Intel Corporation Instruction dependency chain indentifier
US6341324B1 (en) 1995-10-06 2002-01-22 Lsi Logic Corporation Exception processing in superscalar microprocessor
US5864657A (en) 1995-11-29 1999-01-26 Texas Micro, Inc. Main memory system and checkpointing protocol for fault-tolerant computer system
US5793941A (en) 1995-12-04 1998-08-11 Advanced Micro Devices, Inc. On-chip primary cache testing circuit and test method
US5911057A (en) 1995-12-19 1999-06-08 Texas Instruments Incorporated Superscalar microprocessor having combined register and memory renaming circuits, systems, and methods
US5699537A (en) 1995-12-22 1997-12-16 Intel Corporation Processor microarchitecture for efficient dynamic scheduling and execution of chains of dependent instructions
US6882177B1 (en) 1996-01-10 2005-04-19 Altera Corporation Tristate structures for programmable logic devices
US5754818A (en) 1996-03-22 1998-05-19 Sun Microsystems, Inc. Architecture and method for sharing TLB entries through process IDS
US5904892A (en) 1996-04-01 1999-05-18 Saint-Gobain/Norton Industrial Ceramics Corp. Tape cast silicon carbide dummy wafer
US5752260A (en) 1996-04-29 1998-05-12 International Business Machines Corporation High-speed, multiple-port, interleaved cache with arbitration of multiple access addresses
US5806085A (en) 1996-05-01 1998-09-08 Sun Microsystems, Inc. Method for non-volatile caching of network and CD-ROM file accesses using a cache directory, pointers, file name conversion, a local hard disk, and separate small database
US5829028A (en) 1996-05-06 1998-10-27 Advanced Micro Devices, Inc. Data cache configured to store data in a use-once manner
US6108769A (en) 1996-05-17 2000-08-22 Advanced Micro Devices, Inc. Dependency table for reducing dependency checking hardware
US5881277A (en) 1996-06-13 1999-03-09 Texas Instruments Incorporated Pipelined microprocessor with branch misprediction cache circuits, systems and methods
US5860146A (en) 1996-06-25 1999-01-12 Sun Microsystems, Inc. Auxiliary translation lookaside buffer for assisting in accessing data in remote address spaces
US5903760A (en) 1996-06-27 1999-05-11 Intel Corporation Method and apparatus for translating a conditional instruction compatible with a first instruction set architecture (ISA) into a conditional instruction compatible with a second ISA
US5974506A (en) 1996-06-28 1999-10-26 Digital Equipment Corporation Enabling mirror, nonmirror and partial mirror cache modes in a dual cache system
US6167490A (en) 1996-09-20 2000-12-26 University Of Washington Using global memory information to manage memory in a computer network
KR19980032776A (ko) 1996-10-16 1998-07-25 가나이 츠토무 데이타 프로세서 및 데이타 처리시스템
EP0877981B1 (en) 1996-11-04 2004-01-07 Koninklijke Philips Electronics N.V. Processing device, reads instructions in memory
US6253316B1 (en) 1996-11-19 2001-06-26 Advanced Micro Devices, Inc. Three state branch history using one bit in a branch prediction mechanism
US5978906A (en) 1996-11-19 1999-11-02 Advanced Micro Devices, Inc. Branch selectors associated with byte ranges within an instruction cache for rapidly identifying branch predictions
US5903750A (en) 1996-11-20 1999-05-11 Institute For The Development Of Emerging Architectures, L.L.P. Dynamic branch prediction for branch instructions with multiple targets
US6212542B1 (en) 1996-12-16 2001-04-03 International Business Machines Corporation Method and system for executing a program within a multiscalar processor by processing linked thread descriptors
US6134634A (en) 1996-12-20 2000-10-17 Texas Instruments Incorporated Method and apparatus for preemptive cache write-back
US5918251A (en) 1996-12-23 1999-06-29 Intel Corporation Method and apparatus for preloading different default address translation attributes
US6065105A (en) 1997-01-08 2000-05-16 Intel Corporation Dependency matrix
US6016540A (en) 1997-01-08 2000-01-18 Intel Corporation Method and apparatus for scheduling instructions in waves
US5802602A (en) 1997-01-17 1998-09-01 Intel Corporation Method and apparatus for performing reads of related data from a set-associative cache memory
US6088780A (en) 1997-03-31 2000-07-11 Institute For The Development Of Emerging Architecture, L.L.C. Page table walker that uses at least one of a default page size and a page size selected for a virtual address space to position a sliding field in a virtual address
US6075938A (en) 1997-06-10 2000-06-13 The Board Of Trustees Of The Leland Stanford Junior University Virtual machine monitors for scalable multiprocessors
US6073230A (en) 1997-06-11 2000-06-06 Advanced Micro Devices, Inc. Instruction fetch unit configured to provide sequential way prediction for sequential instruction fetches
JPH1124929A (ja) 1997-06-30 1999-01-29 Sony Corp 演算処理装置およびその方法
US6128728A (en) * 1997-08-01 2000-10-03 Micron Technology, Inc. Virtual shadow registers and virtual register windows
US6170051B1 (en) 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6085315A (en) 1997-09-12 2000-07-04 Siemens Aktiengesellschaft Data processing device with loop pipeline
US6101577A (en) 1997-09-15 2000-08-08 Advanced Micro Devices, Inc. Pipelined instruction cache and branch prediction mechanism therefor
US5901294A (en) 1997-09-18 1999-05-04 International Business Machines Corporation Method and system for bus arbitration in a multiprocessor system utilizing simultaneous variable-width bus access
US6185660B1 (en) 1997-09-23 2001-02-06 Hewlett-Packard Company Pending access queue for providing data to a target register during an intermediate pipeline phase after a computer cache miss
US5905509A (en) 1997-09-30 1999-05-18 Compaq Computer Corp. Accelerated Graphics Port two level Gart cache having distributed first level caches
US6226732B1 (en) 1997-10-02 2001-05-01 Hitachi Micro Systems, Inc. Memory system architecture
US5922065A (en) 1997-10-13 1999-07-13 Institute For The Development Of Emerging Architectures, L.L.C. Processor utilizing a template field for encoding instruction sequences in a wide-word format
US6178482B1 (en) 1997-11-03 2001-01-23 Brecis Communications Virtual register sets
US6021484A (en) 1997-11-14 2000-02-01 Samsung Electronics Co., Ltd. Dual instruction set architecture
US6256728B1 (en) 1997-11-17 2001-07-03 Advanced Micro Devices, Inc. Processor configured to selectively cancel instructions from its pipeline responsive to a predicted-taken short forward branch instruction
US6260131B1 (en) 1997-11-18 2001-07-10 Intrinsity, Inc. Method and apparatus for TLB memory ordering
US6016533A (en) 1997-12-16 2000-01-18 Advanced Micro Devices, Inc. Way prediction logic for cache array
US6219776B1 (en) 1998-03-10 2001-04-17 Billions Of Operations Per Second Merged array controller and processing element
US6609189B1 (en) 1998-03-12 2003-08-19 Yale University Cycle segmented prefix circuits
JP3657424B2 (ja) 1998-03-20 2005-06-08 松下電器産業株式会社 番組情報を放送するセンター装置と端末装置
US6216215B1 (en) 1998-04-02 2001-04-10 Intel Corporation Method and apparatus for senior loads
US6157998A (en) 1998-04-03 2000-12-05 Motorola Inc. Method for performing branch prediction and resolution of two or more branch instructions within two or more branch prediction buffers
US6115809A (en) 1998-04-30 2000-09-05 Hewlett-Packard Company Compiling strong and weak branching behavior instruction blocks to separate caches for dynamic and static prediction
US6205545B1 (en) 1998-04-30 2001-03-20 Hewlett-Packard Company Method and apparatus for using static branch predictions hints with dynamically translated code traces to improve performance
US6256727B1 (en) 1998-05-12 2001-07-03 International Business Machines Corporation Method and system for fetching noncontiguous instructions in a single clock cycle
JPH11338710A (ja) 1998-05-28 1999-12-10 Toshiba Corp 複数種の命令セットを持つプロセッサのためのコンパイル方法ならびに装置および同方法がプログラムされ記録される記録媒体
US6272616B1 (en) 1998-06-17 2001-08-07 Agere Systems Guardian Corp. Method and apparatus for executing multiple instruction streams in a digital processor with multiple data paths
US6988183B1 (en) 1998-06-26 2006-01-17 Derek Chi-Lan Wong Methods for increasing instruction-level parallelism in microprocessors and digital system
US6260138B1 (en) 1998-07-17 2001-07-10 Sun Microsystems, Inc. Method and apparatus for branch instruction processing in a processor
US6122656A (en) 1998-07-31 2000-09-19 Advanced Micro Devices, Inc. Processor configured to map logical register numbers to physical register numbers using virtual register numbers
US6272662B1 (en) 1998-08-04 2001-08-07 International Business Machines Corporation Distributed storage system using front-end and back-end locking
JP2000057054A (ja) 1998-08-12 2000-02-25 Fujitsu Ltd 高速アドレス変換システム
US8631066B2 (en) 1998-09-10 2014-01-14 Vmware, Inc. Mechanism for providing virtual machines for use by multiple users
US6339822B1 (en) 1998-10-02 2002-01-15 Advanced Micro Devices, Inc. Using padded instructions in a block-oriented cache
US6332189B1 (en) 1998-10-16 2001-12-18 Intel Corporation Branch prediction architecture
GB9825102D0 (en) 1998-11-16 1999-01-13 Insignia Solutions Plc Computer system
JP3110404B2 (ja) 1998-11-18 2000-11-20 甲府日本電気株式会社 マイクロプロセッサ装置及びそのソフトウェア命令高速化方法並びにその制御プログラムを記録した記録媒体
US6490673B1 (en) 1998-11-27 2002-12-03 Matsushita Electric Industrial Co., Ltd Processor, compiling apparatus, and compile program recorded on a recording medium
US6519682B2 (en) 1998-12-04 2003-02-11 Stmicroelectronics, Inc. Pipelined non-blocking level two cache system with inherent transaction collision-avoidance
US7020879B1 (en) 1998-12-16 2006-03-28 Mips Technologies, Inc. Interrupt and exception handling for multi-streaming digital processors
US6477562B2 (en) 1998-12-16 2002-11-05 Clearwater Networks, Inc. Prioritized instruction scheduling for multi-streaming processors
US6247097B1 (en) 1999-01-22 2001-06-12 International Business Machines Corporation Aligned instruction cache handling of instruction fetches across multiple predicted branch instructions
US6321298B1 (en) 1999-01-25 2001-11-20 International Business Machines Corporation Full cache coherency across multiple raid controllers
JP3842474B2 (ja) 1999-02-02 2006-11-08 株式会社ルネサステクノロジ データ処理装置
US6327650B1 (en) 1999-02-12 2001-12-04 Vsli Technology, Inc. Pipelined multiprocessing with upstream processor concurrently writing to local register and to register of downstream processor
US6732220B2 (en) 1999-02-17 2004-05-04 Elbrus International Method for emulating hardware features of a foreign architecture in a host operating system environment
US6668316B1 (en) 1999-02-17 2003-12-23 Elbrus International Limited Method and apparatus for conflict-free execution of integer and floating-point operations with a common register file
US6418530B2 (en) 1999-02-18 2002-07-09 Hewlett-Packard Company Hardware/software system for instruction profiling and trace selection using branch history information for branch predictions
US6437789B1 (en) 1999-02-19 2002-08-20 Evans & Sutherland Computer Corporation Multi-level cache controller
US6850531B1 (en) * 1999-02-23 2005-02-01 Alcatel Multi-service network switch
US6212613B1 (en) 1999-03-22 2001-04-03 Cisco Technology, Inc. Methods and apparatus for reusing addresses in a computer
US6529928B1 (en) 1999-03-23 2003-03-04 Silicon Graphics, Inc. Floating-point adder performing floating-point and integer operations
US6449671B1 (en) 1999-06-09 2002-09-10 Ati International Srl Method and apparatus for busing data elements
US6473833B1 (en) 1999-07-30 2002-10-29 International Business Machines Corporation Integrated cache and directory structure for multi-level caches
US6643770B1 (en) 1999-09-16 2003-11-04 Intel Corporation Branch misprediction recovery using a side memory
US6772325B1 (en) 1999-10-01 2004-08-03 Hitachi, Ltd. Processor architecture and operation for exploiting improved branch control instruction
US6704822B1 (en) 1999-10-01 2004-03-09 Sun Microsystems, Inc. Arbitration protocol for a shared data cache
US6457120B1 (en) 1999-11-01 2002-09-24 International Business Machines Corporation Processor and method including a cache having confirmation bits for improving address predictable branch instruction target predictions
US7441110B1 (en) 1999-12-10 2008-10-21 International Business Machines Corporation Prefetching using future branch path information derived from branch prediction
US7107434B2 (en) 1999-12-20 2006-09-12 Board Of Regents, The University Of Texas System, method and apparatus for allocating hardware resources using pseudorandom sequences
US6557095B1 (en) 1999-12-27 2003-04-29 Intel Corporation Scheduling operations using a dependency matrix
US6542984B1 (en) 2000-01-03 2003-04-01 Advanced Micro Devices, Inc. Scheduler capable of issuing and reissuing dependency chains
CN1210649C (zh) 2000-01-03 2005-07-13 先进微装置公司 能够发送及重新发送附属链接的排程器、包括该排程器的处理器以及排程方法
US6594755B1 (en) 2000-01-04 2003-07-15 National Semiconductor Corporation System and method for interleaved execution of multiple independent threads
US6728872B1 (en) 2000-02-04 2004-04-27 International Business Machines Corporation Method and apparatus for verifying that instructions are pipelined in correct architectural sequence
GB0002848D0 (en) 2000-02-08 2000-03-29 Siroyan Limited Communicating instruction results in processors and compiling methods for processors
GB2365661A (en) 2000-03-10 2002-02-20 British Telecomm Allocating switch requests within a packet switch
US6615340B1 (en) 2000-03-22 2003-09-02 Wilmot, Ii Richard Byron Extended operand management indicator structure and method
US6604187B1 (en) 2000-06-19 2003-08-05 Advanced Micro Devices, Inc. Providing global translations with address space numbers
US6557083B1 (en) 2000-06-30 2003-04-29 Intel Corporation Memory system for multiple data types
US6704860B1 (en) 2000-07-26 2004-03-09 International Business Machines Corporation Data processing system and method for fetching instruction blocks in response to a detected block sequence
US7206925B1 (en) 2000-08-18 2007-04-17 Sun Microsystems, Inc. Backing Register File for processors
US6728866B1 (en) 2000-08-31 2004-04-27 International Business Machines Corporation Partitioned issue queue and allocation strategy
US6721874B1 (en) 2000-10-12 2004-04-13 International Business Machines Corporation Method and system for dynamically shared completion table supporting multiple threads in a processing system
US7757065B1 (en) 2000-11-09 2010-07-13 Intel Corporation Instruction segment recording scheme
JP2002185513A (ja) * 2000-12-18 2002-06-28 Hitachi Ltd パケット通信ネットワークおよびパケット転送制御方法
US6877089B2 (en) 2000-12-27 2005-04-05 International Business Machines Corporation Branch prediction apparatus and process for restoring replaced branch history for use in future branch predictions for an executing program
US6907600B2 (en) 2000-12-27 2005-06-14 Intel Corporation Virtual translation lookaside buffer
US6647466B2 (en) 2001-01-25 2003-11-11 Hewlett-Packard Development Company, L.P. Method and apparatus for adaptively bypassing one or more levels of a cache hierarchy
FR2820921A1 (fr) * 2001-02-14 2002-08-16 Canon Kk Dispositif et procede de transmission dans un commutateur
US6985951B2 (en) * 2001-03-08 2006-01-10 International Business Machines Corporation Inter-partition message passing method, system and program product for managing workload in a partitioned processing environment
US6950927B1 (en) 2001-04-13 2005-09-27 The United States Of America As Represented By The Secretary Of The Navy System and method for instruction-level parallelism in a programmable multiple network processor environment
US7200740B2 (en) 2001-05-04 2007-04-03 Ip-First, Llc Apparatus and method for speculatively performing a return instruction in a microprocessor
US7707397B2 (en) 2001-05-04 2010-04-27 Via Technologies, Inc. Variable group associativity branch target address cache delivering multiple target addresses per cache line
US6658549B2 (en) 2001-05-22 2003-12-02 Hewlett-Packard Development Company, Lp. Method and system allowing a single entity to manage memory comprising compressed and uncompressed data
US6985591B2 (en) * 2001-06-29 2006-01-10 Intel Corporation Method and apparatus for distributing keys for decrypting and re-encrypting publicly distributed media
US7203824B2 (en) 2001-07-03 2007-04-10 Ip-First, Llc Apparatus and method for handling BTAC branches that wrap across instruction cache lines
US7024545B1 (en) 2001-07-24 2006-04-04 Advanced Micro Devices, Inc. Hybrid branch prediction device with two levels of branch prediction cache
US6954846B2 (en) 2001-08-07 2005-10-11 Sun Microsystems, Inc. Microprocessor and method for giving each thread exclusive access to one register file in a multi-threading mode and for giving an active thread access to multiple register files in a single thread mode
US6718440B2 (en) 2001-09-28 2004-04-06 Intel Corporation Memory access latency hiding with hint buffer
US7150021B1 (en) 2001-10-12 2006-12-12 Palau Acquisition Corporation (Delaware) Method and system to allocate resources within an interconnect device according to a resource allocation table
US7117347B2 (en) 2001-10-23 2006-10-03 Ip-First, Llc Processor including fallback branch prediction mechanism for far jump and far call instructions
US7272832B2 (en) 2001-10-25 2007-09-18 Hewlett-Packard Development Company, L.P. Method of protecting user process data in a secure platform inaccessible to the operating system and other tasks on top of the secure platform
US6964043B2 (en) 2001-10-30 2005-11-08 Intel Corporation Method, apparatus, and system to optimize frequently executed code and to use compiler transformation and hardware support to handle infrequently executed code
GB2381886B (en) 2001-11-07 2004-06-23 Sun Microsystems Inc Computer system with virtual memory and paging mechanism
US7092869B2 (en) 2001-11-14 2006-08-15 Ronald Hilton Memory address prediction under emulation
US7363467B2 (en) 2002-01-03 2008-04-22 Intel Corporation Dependence-chain processing using trace descriptors having dependency descriptors
US6640333B2 (en) * 2002-01-10 2003-10-28 Lsi Logic Corporation Architecture for a sea of platforms
US7055021B2 (en) 2002-02-05 2006-05-30 Sun Microsystems, Inc. Out-of-order processor that reduces mis-speculation using a replay scoreboard
US7331040B2 (en) 2002-02-06 2008-02-12 Transitive Limted Condition code flag emulation for program code conversion
US6839816B2 (en) 2002-02-26 2005-01-04 International Business Machines Corporation Shared cache line update mechanism
US6731292B2 (en) 2002-03-06 2004-05-04 Sun Microsystems, Inc. System and method for controlling a number of outstanding data transactions within an integrated circuit
JP3719509B2 (ja) 2002-04-01 2005-11-24 株式会社ソニー・コンピュータエンタテインメント シリアル演算パイプライン、演算装置、算術論理演算回路およびシリアル演算パイプラインによる演算方法
US7565509B2 (en) 2002-04-17 2009-07-21 Microsoft Corporation Using limits on address translation to control access to an addressable entity
US6920530B2 (en) 2002-04-23 2005-07-19 Sun Microsystems, Inc. Scheme for reordering instructions via an instruction caching mechanism
US7113488B2 (en) 2002-04-24 2006-09-26 International Business Machines Corporation Reconfigurable circular bus
US7281055B2 (en) * 2002-05-28 2007-10-09 Newisys, Inc. Routing mechanisms in systems having multiple multi-processor clusters
US7117346B2 (en) 2002-05-31 2006-10-03 Freescale Semiconductor, Inc. Data processing system having multiple register contexts and method therefor
US6938151B2 (en) 2002-06-04 2005-08-30 International Business Machines Corporation Hybrid branch prediction using a global selection counter and a prediction method comparison table
US8024735B2 (en) 2002-06-14 2011-09-20 Intel Corporation Method and apparatus for ensuring fairness and forward progress when executing multiple threads of execution
JP3845043B2 (ja) 2002-06-28 2006-11-15 富士通株式会社 命令フェッチ制御装置
JP3982353B2 (ja) 2002-07-12 2007-09-26 日本電気株式会社 フォルトトレラントコンピュータ装置、その再同期化方法及び再同期化プログラム
US6944744B2 (en) 2002-08-27 2005-09-13 Advanced Micro Devices, Inc. Apparatus and method for independently schedulable functional units with issue lock mechanism in a processor
US7546422B2 (en) 2002-08-28 2009-06-09 Intel Corporation Method and apparatus for the synchronization of distributed caches
US6950925B1 (en) 2002-08-28 2005-09-27 Advanced Micro Devices, Inc. Scheduler for use in a microprocessor that supports data-speculative execution
TW200408242A (en) * 2002-09-06 2004-05-16 Matsushita Electric Ind Co Ltd Home terminal apparatus and communication system
US6895491B2 (en) 2002-09-26 2005-05-17 Hewlett-Packard Development Company, L.P. Memory addressing for a virtual machine implementation on a computer processor supporting virtual hash-page-table searching
US7334086B2 (en) * 2002-10-08 2008-02-19 Rmi Corporation Advanced processor with system on a chip interconnect technology
US6829698B2 (en) * 2002-10-10 2004-12-07 International Business Machines Corporation Method, apparatus and system for acquiring a global promotion facility utilizing a data-less transaction
US7222218B2 (en) 2002-10-22 2007-05-22 Sun Microsystems, Inc. System and method for goal-based scheduling of blocks of code for concurrent execution
US20040103251A1 (en) 2002-11-26 2004-05-27 Mitchell Alsup Microprocessor including a first level cache and a second level cache having different cache line sizes
AU2003292451A1 (en) 2002-12-04 2004-06-23 Koninklijke Philips Electronics N.V. Register file gating to reduce microprocessor power dissipation
US6981083B2 (en) 2002-12-05 2005-12-27 International Business Machines Corporation Processor virtualization mechanism via an enhanced restoration of hard architected states
US7073042B2 (en) 2002-12-12 2006-07-04 Intel Corporation Reclaiming existing fields in address translation data structures to extend control over memory accesses
US20040117594A1 (en) 2002-12-13 2004-06-17 Vanderspek Julius Memory management method
US20040122887A1 (en) 2002-12-20 2004-06-24 Macy William W. Efficient multiplication of small matrices using SIMD registers
US7191349B2 (en) 2002-12-26 2007-03-13 Intel Corporation Mechanism for processor power state aware distribution of lowest priority interrupt
US6925421B2 (en) * 2003-01-09 2005-08-02 International Business Machines Corporation Method, system, and computer program product for estimating the number of consumers that place a load on an individual resource in a pool of physically distributed resources
US20040139441A1 (en) 2003-01-09 2004-07-15 Kabushiki Kaisha Toshiba Processor, arithmetic operation processing method, and priority determination method
US7178010B2 (en) 2003-01-16 2007-02-13 Ip-First, Llc Method and apparatus for correcting an internal call/return stack in a microprocessor that detects from multiple pipeline stages incorrect speculative update of the call/return stack
US7089374B2 (en) 2003-02-13 2006-08-08 Sun Microsystems, Inc. Selectively unmarking load-marked cache lines during transactional program execution
US7278030B1 (en) 2003-03-03 2007-10-02 Vmware, Inc. Virtualization system for computers having multiple protection mechanisms
US6912644B1 (en) 2003-03-06 2005-06-28 Intel Corporation Method and apparatus to steer memory access operations in a virtual memory system
US7111145B1 (en) 2003-03-25 2006-09-19 Vmware, Inc. TLB miss fault handler and method for accessing multiple page tables
US7143273B2 (en) 2003-03-31 2006-11-28 Intel Corporation Method and apparatus for dynamic branch prediction utilizing multiple stew algorithms for indexing a global history
CN1214666C (zh) 2003-04-07 2005-08-10 华为技术有限公司 位置业务中限制位置信息请求流量的方法
US7058764B2 (en) 2003-04-14 2006-06-06 Hewlett-Packard Development Company, L.P. Method of adaptive cache partitioning to increase host I/O performance
US7469407B2 (en) 2003-04-24 2008-12-23 International Business Machines Corporation Method for resource balancing using dispatch flush in a simultaneous multithread processor
US7290261B2 (en) 2003-04-24 2007-10-30 International Business Machines Corporation Method and logical apparatus for rename register reallocation in a simultaneous multi-threaded (SMT) processor
US7139855B2 (en) * 2003-04-24 2006-11-21 International Business Machines Corporation High performance synchronization of resource allocation in a logically-partitioned system
EP1471421A1 (en) 2003-04-24 2004-10-27 STMicroelectronics Limited Speculative load instruction control
US7055003B2 (en) 2003-04-25 2006-05-30 International Business Machines Corporation Data cache scrub mechanism for large L2/L3 data cache structures
US7007108B2 (en) 2003-04-30 2006-02-28 Lsi Logic Corporation System method for use of hardware semaphores for resource release notification wherein messages comprises read-modify-write operation and address
ATE554443T1 (de) 2003-06-25 2012-05-15 Koninkl Philips Electronics Nv Anweisungsgesteuerte datenverarbeitungseinrichtung und -verfahren
JP2005032018A (ja) 2003-07-04 2005-02-03 Semiconductor Energy Lab Co Ltd 遺伝的アルゴリズムを用いたマイクロプロセッサ
US7149872B2 (en) 2003-07-10 2006-12-12 Transmeta Corporation System and method for identifying TLB entries associated with a physical address of a specified range
US7089398B2 (en) 2003-07-31 2006-08-08 Silicon Graphics, Inc. Address translation using a page size tag
US8296771B2 (en) * 2003-08-18 2012-10-23 Cray Inc. System and method for mapping between resource consumers and resource providers in a computing system
US7133950B2 (en) 2003-08-19 2006-11-07 Sun Microsystems, Inc. Request arbitration in multi-core processor
US7849297B2 (en) 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
US7594089B2 (en) 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US9032404B2 (en) 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US7321965B2 (en) 2003-08-28 2008-01-22 Mips Technologies, Inc. Integrated mechanism for suspension and deallocation of computational threads of execution in a processor
US7111126B2 (en) 2003-09-24 2006-09-19 Arm Limited Apparatus and method for loading data values
JP4057989B2 (ja) * 2003-09-26 2008-03-05 株式会社東芝 スケジューリング方法および情報処理システム
US7373637B2 (en) 2003-09-30 2008-05-13 International Business Machines Corporation Method and apparatus for counting instruction and memory location ranges
FR2860313B1 (fr) 2003-09-30 2005-11-04 Commissariat Energie Atomique Composant a architecture reconfigurable dynamiquement
US7047322B1 (en) 2003-09-30 2006-05-16 Unisys Corporation System and method for performing conflict resolution and flow control in a multiprocessor system
TWI281121B (en) 2003-10-06 2007-05-11 Ip First Llc Apparatus and method for selectively overriding return stack prediction in response to detection of non-standard return sequence
US8407433B2 (en) 2007-06-25 2013-03-26 Sonics, Inc. Interconnect implementing internal controls
US7395372B2 (en) 2003-11-14 2008-07-01 International Business Machines Corporation Method and system for providing cache set selection which is power optimized
US7243170B2 (en) 2003-11-24 2007-07-10 International Business Machines Corporation Method and circuit for reading and writing an instruction buffer
US20050120191A1 (en) 2003-12-02 2005-06-02 Intel Corporation (A Delaware Corporation) Checkpoint-based register reclamation
US20050132145A1 (en) 2003-12-15 2005-06-16 Finisar Corporation Contingent processor time division multiple access of memory in a multi-processor system to allow supplemental memory consumer access
US7310722B2 (en) 2003-12-18 2007-12-18 Nvidia Corporation Across-thread out of order instruction dispatch in a multithreaded graphics processor
US7293164B2 (en) 2004-01-14 2007-11-06 International Business Machines Corporation Autonomic method and apparatus for counting branch instructions to generate branch statistics meant to improve branch predictions
US20050204118A1 (en) 2004-02-27 2005-09-15 National Chiao Tung University Method for inter-cluster communication that employs register permutation
US20050216920A1 (en) 2004-03-24 2005-09-29 Vijay Tewari Use of a virtual machine to emulate a hardware device
US8055885B2 (en) 2004-03-29 2011-11-08 Japan Science And Technology Agency Data processing device for implementing instruction reuse, and digital data storage medium for storing a data processing program for implementing instruction reuse
GB0407384D0 (en) * 2004-03-31 2004-05-05 Ignios Ltd Resource management in a multicore processor
US7383427B2 (en) 2004-04-22 2008-06-03 Sony Computer Entertainment Inc. Multi-scalar extension for SIMD instruction set processors
US20050251649A1 (en) 2004-04-23 2005-11-10 Sony Computer Entertainment Inc. Methods and apparatus for address map optimization on a multi-scalar extension
US7418582B1 (en) 2004-05-13 2008-08-26 Sun Microsystems, Inc. Versatile register file design for a multi-threaded processor utilizing different modes and register windows
US7478198B2 (en) * 2004-05-24 2009-01-13 Intel Corporation Multithreaded clustered microarchitecture with dynamic back-end assignment
US7594234B1 (en) 2004-06-04 2009-09-22 Sun Microsystems, Inc. Adaptive spin-then-block mutual exclusion in multi-threaded processing
US7284092B2 (en) 2004-06-24 2007-10-16 International Business Machines Corporation Digital data processing apparatus having multi-level register file
US20050289530A1 (en) 2004-06-29 2005-12-29 Robison Arch D Scheduling of instructions in program compilation
EP1628235A1 (en) 2004-07-01 2006-02-22 Texas Instruments Incorporated Method and system of ensuring integrity of a secure mode entry sequence
US8044951B1 (en) 2004-07-02 2011-10-25 Nvidia Corporation Integer-based functionality in a graphics shading language
US7339592B2 (en) * 2004-07-13 2008-03-04 Nvidia Corporation Simulating multiported memories using lower port count memories
US7398347B1 (en) 2004-07-14 2008-07-08 Altera Corporation Methods and apparatus for dynamic instruction controlled reconfigurable register file
EP1619593A1 (en) 2004-07-22 2006-01-25 Sap Ag Computer-Implemented method and system for performing a product availability check
JP4064380B2 (ja) 2004-07-29 2008-03-19 富士通株式会社 演算処理装置およびその制御方法
US8443171B2 (en) 2004-07-30 2013-05-14 Hewlett-Packard Development Company, L.P. Run-time updating of prediction hint instructions
US7213106B1 (en) 2004-08-09 2007-05-01 Sun Microsystems, Inc. Conservative shadow cache support in a point-to-point connected multiprocessing node
US7318143B2 (en) 2004-10-20 2008-01-08 Arm Limited Reuseable configuration data
US20090150890A1 (en) 2007-12-10 2009-06-11 Yourst Matt T Strand-based computing hardware and dynamically optimizing strandware for a high performance microprocessor system
US7707578B1 (en) 2004-12-16 2010-04-27 Vmware, Inc. Mechanism for scheduling execution of threads for fair resource allocation in a multi-threaded and/or multi-core processing system
US7257695B2 (en) 2004-12-28 2007-08-14 Intel Corporation Register file regions for a processing system
US7996644B2 (en) * 2004-12-29 2011-08-09 Intel Corporation Fair sharing of a cache in a multi-core/multi-threaded processor by dynamically partitioning of the cache
US8719819B2 (en) 2005-06-30 2014-05-06 Intel Corporation Mechanism for instruction set based thread execution on a plurality of instruction sequencers
US7050922B1 (en) 2005-01-14 2006-05-23 Agilent Technologies, Inc. Method for optimizing test order, and machine-readable media storing sequences of instructions to perform same
US7681014B2 (en) 2005-02-04 2010-03-16 Mips Technologies, Inc. Multithreading instruction scheduler employing thread group priorities
US7657891B2 (en) 2005-02-04 2010-02-02 Mips Technologies, Inc. Multithreading microprocessor with optimized thread scheduler for increasing pipeline utilization efficiency
JP2008530642A (ja) 2005-02-07 2008-08-07 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト 低レイテンシーの大量並列データ処理装置
US7400548B2 (en) 2005-02-09 2008-07-15 International Business Machines Corporation Method for providing multiple reads/writes using a 2read/2write register file array
US7343476B2 (en) 2005-02-10 2008-03-11 International Business Machines Corporation Intelligent SMT thread hang detect taking into account shared resource contention/blocking
US7152155B2 (en) 2005-02-18 2006-12-19 Qualcomm Incorporated System and method of correcting a branch misprediction
US20060200655A1 (en) 2005-03-04 2006-09-07 Smith Rodney W Forward looking branch target address caching
US8195922B2 (en) 2005-03-18 2012-06-05 Marvell World Trade, Ltd. System for dynamically allocating processing time to multiple threads
US20060212853A1 (en) 2005-03-18 2006-09-21 Marvell World Trade Ltd. Real-time control apparatus having a multi-thread processor
US8522253B1 (en) 2005-03-31 2013-08-27 Guillermo Rozas Hardware support for virtual machine and operating system context switching in translation lookaside buffers and virtually tagged caches
US20060230243A1 (en) 2005-04-06 2006-10-12 Robert Cochran Cascaded snapshots
US7313775B2 (en) 2005-04-06 2007-12-25 Lsi Corporation Integrated circuit with relocatable processor hardmac
US8230423B2 (en) 2005-04-07 2012-07-24 International Business Machines Corporation Multithreaded processor architecture with operational latency hiding
US20060230409A1 (en) 2005-04-07 2006-10-12 Matteo Frigo Multithreaded processor architecture with implicit granularity adaptation
US20060230253A1 (en) 2005-04-11 2006-10-12 Lucian Codrescu Unified non-partitioned register files for a digital signal processor operating in an interleaved multi-threaded environment
US20060236074A1 (en) 2005-04-14 2006-10-19 Arm Limited Indicating storage locations within caches
US7437543B2 (en) 2005-04-19 2008-10-14 International Business Machines Corporation Reducing the fetch time of target instructions of a predicted taken branch instruction
US7461237B2 (en) 2005-04-20 2008-12-02 Sun Microsystems, Inc. Method and apparatus for suppressing duplicative prefetches for branch target cache lines
US8713286B2 (en) 2005-04-26 2014-04-29 Qualcomm Incorporated Register files for a digital signal processor operating in an interleaved multi-threaded environment
GB2426084A (en) 2005-05-13 2006-11-15 Agilent Technologies Inc Updating data in a dual port memory
US7861055B2 (en) 2005-06-07 2010-12-28 Broadcom Corporation Method and system for on-chip configurable data ram for fast memory and pseudo associative caches
US8010969B2 (en) 2005-06-13 2011-08-30 Intel Corporation Mechanism for monitoring instruction set based thread execution on a plurality of instruction sequencers
EP1927054A1 (en) 2005-09-14 2008-06-04 Koninklijke Philips Electronics N.V. Method and system for bus arbitration
US7350056B2 (en) 2005-09-27 2008-03-25 International Business Machines Corporation Method and apparatus for issuing instructions from an issue queue in an information handling system
US7676634B1 (en) 2005-09-28 2010-03-09 Sun Microsystems, Inc. Selective trace cache invalidation for self-modifying code via memory aging
US7231106B2 (en) 2005-09-30 2007-06-12 Lucent Technologies Inc. Apparatus for directing an optical signal from an input fiber to an output fiber within a high index host
US7613131B2 (en) * 2005-11-10 2009-11-03 Citrix Systems, Inc. Overlay network infrastructure
US7681019B1 (en) 2005-11-18 2010-03-16 Sun Microsystems, Inc. Executing functions determined via a collection of operations from translated instructions
US7861060B1 (en) 2005-12-15 2010-12-28 Nvidia Corporation Parallel data processing systems and methods using cooperative thread arrays and thread identifier values to determine processing behavior
US7634637B1 (en) 2005-12-16 2009-12-15 Nvidia Corporation Execution of parallel groups of threads with per-instruction serialization
US7770161B2 (en) 2005-12-28 2010-08-03 International Business Machines Corporation Post-register allocation profile directed instruction scheduling
US8423682B2 (en) 2005-12-30 2013-04-16 Intel Corporation Address space emulation
GB2435362B (en) 2006-02-20 2008-11-26 Cramer Systems Ltd Method of configuring devices in a telecommunications network
JP4332205B2 (ja) 2006-02-27 2009-09-16 富士通株式会社 キャッシュ制御装置およびキャッシュ制御方法
US7543282B2 (en) 2006-03-24 2009-06-02 Sun Microsystems, Inc. Method and apparatus for selectively executing different executable code versions which are optimized in different ways
WO2007143278A2 (en) 2006-04-12 2007-12-13 Soft Machines, Inc. Apparatus and method for processing an instruction matrix specifying parallel and dependent operations
US7610571B2 (en) 2006-04-14 2009-10-27 Cadence Design Systems, Inc. Method and system for simulating state retention of an RTL design
US7577820B1 (en) * 2006-04-14 2009-08-18 Tilera Corporation Managing data in a parallel processing environment
CN100485636C (zh) 2006-04-24 2009-05-06 华为技术有限公司 一种基于模型驱动进行电信级业务开发的调试方法及装置
US7804076B2 (en) 2006-05-10 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd Insulator for high current ion implanters
US8145882B1 (en) 2006-05-25 2012-03-27 Mips Technologies, Inc. Apparatus and method for processing template based user defined instructions
US20080126771A1 (en) 2006-07-25 2008-05-29 Lei Chen Branch Target Extension for an Instruction Cache
CN100495324C (zh) 2006-07-27 2009-06-03 中国科学院计算技术研究所 复杂指令集体系结构中的深度优先异常处理方法
US8046775B2 (en) 2006-08-14 2011-10-25 Marvell World Trade Ltd. Event-based bandwidth allocation mode switching method and apparatus
US7904704B2 (en) 2006-08-14 2011-03-08 Marvell World Trade Ltd. Instruction dispatching method and apparatus
US7539842B2 (en) * 2006-08-15 2009-05-26 International Business Machines Corporation Computer memory system for selecting memory buses according to physical memory organization information stored in virtual address translation tables
US7594060B2 (en) * 2006-08-23 2009-09-22 Sun Microsystems, Inc. Data buffer allocation in a non-blocking data services platform using input/output switching fabric
US7752474B2 (en) 2006-09-22 2010-07-06 Apple Inc. L1 cache flush when processor is entering low power mode
US7716460B2 (en) 2006-09-29 2010-05-11 Qualcomm Incorporated Effective use of a BHT in processor having variable length instruction set execution modes
US7774549B2 (en) 2006-10-11 2010-08-10 Mips Technologies, Inc. Horizontally-shared cache victims in multiple core processors
TWI337495B (en) 2006-10-26 2011-02-11 Au Optronics Corp System and method for operation scheduling
US7680988B1 (en) 2006-10-30 2010-03-16 Nvidia Corporation Single interconnect providing read and write access to a memory shared by concurrent threads
US7617384B1 (en) 2006-11-06 2009-11-10 Nvidia Corporation Structured programming control flow using a disable mask in a SIMD architecture
CN101627365B (zh) 2006-11-14 2017-03-29 索夫特机械公司 多线程架构
US7493475B2 (en) 2006-11-15 2009-02-17 Stmicroelectronics, Inc. Instruction vector-mode processing in multi-lane processor by multiplex switch replicating instruction in one lane to select others along with updated operand address
US7934179B2 (en) 2006-11-20 2011-04-26 Et International, Inc. Systems and methods for logic verification
US20080235500A1 (en) 2006-11-21 2008-09-25 Davis Gordon T Structure for instruction cache trace formation
JP2008130056A (ja) 2006-11-27 2008-06-05 Renesas Technology Corp 半導体回路
US7783869B2 (en) 2006-12-19 2010-08-24 Arm Limited Accessing branch predictions ahead of instruction fetching
WO2008077088A2 (en) 2006-12-19 2008-06-26 The Board Of Governors For Higher Education, State Of Rhode Island And Providence Plantations System and method for branch misprediction prediction using complementary branch predictors
EP1940028B1 (en) * 2006-12-29 2012-02-29 STMicroelectronics Srl Asynchronous interconnection system for 3D inter-chip communication
US8321849B2 (en) 2007-01-26 2012-11-27 Nvidia Corporation Virtual architecture and instruction set for parallel thread computing
TW200833002A (en) 2007-01-31 2008-08-01 Univ Nat Yunlin Sci & Tech Distributed switching circuit having fairness
US20080189501A1 (en) 2007-02-05 2008-08-07 Irish John D Methods and Apparatus for Issuing Commands on a Bus
US7685410B2 (en) 2007-02-13 2010-03-23 Global Foundries Inc. Redirect recovery cache that receives branch misprediction redirects and caches instructions to be dispatched in response to the redirects
US7647483B2 (en) * 2007-02-20 2010-01-12 Sony Computer Entertainment Inc. Multi-threaded parallel processor methods and apparatus
JP4980751B2 (ja) 2007-03-02 2012-07-18 富士通セミコンダクター株式会社 データ処理装置、およびメモリのリードアクティブ制御方法。
US8452907B2 (en) 2007-03-27 2013-05-28 Arm Limited Data processing apparatus and method for arbitrating access to a shared resource
US20080250227A1 (en) 2007-04-04 2008-10-09 Linderman Michael D General Purpose Multiprocessor Programming Apparatus And Method
US7716183B2 (en) 2007-04-11 2010-05-11 Dot Hill Systems Corporation Snapshot preserved data cloning
US7941791B2 (en) * 2007-04-13 2011-05-10 Perry Wang Programming environment for heterogeneous processor resource integration
US7769955B2 (en) 2007-04-27 2010-08-03 Arm Limited Multiple thread instruction fetch from different cache levels
US7711935B2 (en) 2007-04-30 2010-05-04 Netlogic Microsystems, Inc. Universal branch identifier for invalidation of speculative instructions
US8555039B2 (en) 2007-05-03 2013-10-08 Qualcomm Incorporated System and method for using a local condition code register for accelerating conditional instruction execution in a pipeline processor
US8219996B1 (en) 2007-05-09 2012-07-10 Hewlett-Packard Development Company, L.P. Computer processor with fairness monitor
CN101344840B (zh) 2007-07-10 2011-08-31 苏州简约纳电子有限公司 一种微处理器及在微处理器中执行指令的方法
US7937568B2 (en) 2007-07-11 2011-05-03 International Business Machines Corporation Adaptive execution cycle control method for enhanced instruction throughput
US20090025004A1 (en) 2007-07-16 2009-01-22 Microsoft Corporation Scheduling by Growing and Shrinking Resource Allocation
US8108545B2 (en) * 2007-08-27 2012-01-31 International Business Machines Corporation Packet coalescing in virtual channels of a data processing system in a multi-tiered full-graph interconnect architecture
US7711929B2 (en) 2007-08-30 2010-05-04 International Business Machines Corporation Method and system for tracking instruction dependency in an out-of-order processor
US8725991B2 (en) 2007-09-12 2014-05-13 Qualcomm Incorporated Register file system and method for pipelined processing
US8082420B2 (en) 2007-10-24 2011-12-20 International Business Machines Corporation Method and apparatus for executing instructions
US7856530B1 (en) 2007-10-31 2010-12-21 Network Appliance, Inc. System and method for implementing a dynamic cache for a data storage system
US7877559B2 (en) 2007-11-26 2011-01-25 Globalfoundries Inc. Mechanism to accelerate removal of store operations from a queue
US8245232B2 (en) 2007-11-27 2012-08-14 Microsoft Corporation Software-configurable and stall-time fair memory access scheduling mechanism for shared memory systems
US7809925B2 (en) 2007-12-07 2010-10-05 International Business Machines Corporation Processing unit incorporating vectorizable execution unit
US8145844B2 (en) 2007-12-13 2012-03-27 Arm Limited Memory controller with write data cache and read data cache
US7831813B2 (en) 2007-12-17 2010-11-09 Globalfoundries Inc. Uses of known good code for implementing processor architectural modifications
US7870371B2 (en) 2007-12-17 2011-01-11 Microsoft Corporation Target-frequency based indirect jump prediction for high-performance processors
US20090165007A1 (en) 2007-12-19 2009-06-25 Microsoft Corporation Task-level thread scheduling and resource allocation
US8782384B2 (en) 2007-12-20 2014-07-15 Advanced Micro Devices, Inc. Branch history with polymorphic indirect branch information
US7917699B2 (en) 2007-12-21 2011-03-29 Mips Technologies, Inc. Apparatus and method for controlling the exclusivity mode of a level-two cache
US9244855B2 (en) 2007-12-31 2016-01-26 Intel Corporation Method, system, and apparatus for page sizing extension
US8645965B2 (en) * 2007-12-31 2014-02-04 Intel Corporation Supporting metered clients with manycore through time-limited partitioning
US7877582B2 (en) 2008-01-31 2011-01-25 International Business Machines Corporation Multi-addressable register file
WO2009101563A1 (en) 2008-02-11 2009-08-20 Nxp B.V. Multiprocessing implementing a plurality of virtual processors
US7949972B2 (en) 2008-03-19 2011-05-24 International Business Machines Corporation Method, system and computer program product for exploiting orthogonal control vectors in timing driven synthesis
US7987343B2 (en) 2008-03-19 2011-07-26 International Business Machines Corporation Processor and method for synchronous load multiple fetching sequence and pipeline stage result tracking to facilitate early address generation interlock bypass
US9513905B2 (en) 2008-03-28 2016-12-06 Intel Corporation Vector instructions to enable efficient synchronization and parallel reduction operations
US8120608B2 (en) 2008-04-04 2012-02-21 Via Technologies, Inc. Constant buffering for a computational core of a programmable graphics processing unit
TWI364703B (en) 2008-05-26 2012-05-21 Faraday Tech Corp Processor and early execution method of data load thereof
US8145880B1 (en) * 2008-07-07 2012-03-27 Ovics Matrix processor data switch routing systems and methods
US8516454B2 (en) 2008-07-10 2013-08-20 Rocketick Technologies Ltd. Efficient parallel computation of dependency problems
JP2010039536A (ja) 2008-07-31 2010-02-18 Panasonic Corp プログラム変換装置、プログラム変換方法およびプログラム変換プログラム
US8316435B1 (en) * 2008-08-14 2012-11-20 Juniper Networks, Inc. Routing device having integrated MPLS-aware firewall with virtual security system support
US8135942B2 (en) 2008-08-28 2012-03-13 International Business Machines Corpration System and method for double-issue instructions using a dependency matrix and a side issue queue
US7769984B2 (en) 2008-09-11 2010-08-03 International Business Machines Corporation Dual-issuance of microprocessor instructions using dual dependency matrices
US8225048B2 (en) 2008-10-01 2012-07-17 Hewlett-Packard Development Company, L.P. Systems and methods for resource access
US9244732B2 (en) 2009-08-28 2016-01-26 Vmware, Inc. Compensating threads for microarchitectural resource contentions by prioritizing scheduling and execution
US7941616B2 (en) 2008-10-21 2011-05-10 Microsoft Corporation System to reduce interference in concurrent programs
US8423749B2 (en) 2008-10-22 2013-04-16 International Business Machines Corporation Sequential processing in network on chip nodes by threads generating message containing payload and pointer for nanokernel to access algorithm to be executed on payload in another node
GB2464703A (en) * 2008-10-22 2010-04-28 Advanced Risc Mach Ltd An array of interconnected processors executing a cycle-based program
EP2351325B1 (en) 2008-10-30 2018-09-26 Nokia Technologies Oy Method and apparatus for interleaving a data block
US8032678B2 (en) 2008-11-05 2011-10-04 Mediatek Inc. Shared resource arbitration
US7848129B1 (en) 2008-11-20 2010-12-07 Netlogic Microsystems, Inc. Dynamically partitioned CAM array
US8868838B1 (en) 2008-11-21 2014-10-21 Nvidia Corporation Multi-class data cache policies
US8171223B2 (en) 2008-12-03 2012-05-01 Intel Corporation Method and system to increase concurrency and control replication in a multi-core cache hierarchy
US8200949B1 (en) 2008-12-09 2012-06-12 Nvidia Corporation Policy based allocation of register file cache to threads in multi-threaded processor
US8312268B2 (en) 2008-12-12 2012-11-13 International Business Machines Corporation Virtual machine
US8099586B2 (en) 2008-12-30 2012-01-17 Oracle America, Inc. Branch misprediction recovery mechanism for microprocessors
US20100169578A1 (en) 2008-12-31 2010-07-01 Texas Instruments Incorporated Cache tag memory
US20100205603A1 (en) 2009-02-09 2010-08-12 Unisys Corporation Scheduling and dispatching tasks in an emulated operating system
JP5417879B2 (ja) 2009-02-17 2014-02-19 富士通セミコンダクター株式会社 キャッシュ装置
US8505013B2 (en) 2010-03-12 2013-08-06 Lsi Corporation Reducing data read latency in a network communications processor architecture
US8805788B2 (en) 2009-05-04 2014-08-12 Moka5, Inc. Transactional virtual disk with differential snapshots
US8332854B2 (en) 2009-05-19 2012-12-11 Microsoft Corporation Virtualized thread scheduling for hardware thread optimization based on hardware resource parameter summaries of instruction blocks in execution groups
US8533437B2 (en) 2009-06-01 2013-09-10 Via Technologies, Inc. Guaranteed prefetch instruction
GB2471067B (en) 2009-06-12 2011-11-30 Graeme Roy Smith Shared resource multi-thread array processor
US9122487B2 (en) 2009-06-23 2015-09-01 Oracle America, Inc. System and method for balancing instruction loads between multiple execution units using assignment history
CN101582025B (zh) 2009-06-25 2011-05-25 浙江大学 片上多处理器体系架构下全局寄存器重命名表的实现方法
US8397049B2 (en) 2009-07-13 2013-03-12 Apple Inc. TLB prefetching
US8539486B2 (en) 2009-07-17 2013-09-17 International Business Machines Corporation Transactional block conflict resolution based on the determination of executing threads in parallel or in serial mode
JP5423217B2 (ja) 2009-08-04 2014-02-19 富士通株式会社 演算処理装置、情報処理装置、および演算処理装置の制御方法
US8127078B2 (en) 2009-10-02 2012-02-28 International Business Machines Corporation High performance unaligned cache access
US20110082983A1 (en) 2009-10-06 2011-04-07 Alcatel-Lucent Canada, Inc. Cpu instruction and data cache corruption prevention system
US8695002B2 (en) 2009-10-20 2014-04-08 Lantiq Deutschland Gmbh Multi-threaded processors and multi-processor systems comprising shared resources
US8364933B2 (en) 2009-12-18 2013-01-29 International Business Machines Corporation Software assisted translation lookaside buffer search mechanism
JP2011150397A (ja) 2010-01-19 2011-08-04 Panasonic Corp バス調停装置
KR101699910B1 (ko) 2010-03-04 2017-01-26 삼성전자주식회사 재구성 가능 프로세서 및 그 제어 방법
US20120005462A1 (en) 2010-07-01 2012-01-05 International Business Machines Corporation Hardware Assist for Optimizing Code During Processing
US8312258B2 (en) 2010-07-22 2012-11-13 Intel Corporation Providing platform independent memory logic
US8751745B2 (en) 2010-08-11 2014-06-10 Advanced Micro Devices, Inc. Method for concurrent flush of L1 and L2 caches
CN101916180B (zh) 2010-08-11 2013-05-29 中国科学院计算技术研究所 Risc处理器中执行寄存器类型指令的方法和其系统
US8756329B2 (en) 2010-09-15 2014-06-17 Oracle International Corporation System and method for parallel multiplexing between servers in a cluster
US9201801B2 (en) 2010-09-15 2015-12-01 International Business Machines Corporation Computing device with asynchronous auxiliary execution unit
US10228949B2 (en) 2010-09-17 2019-03-12 Intel Corporation Single cycle multi-branch prediction including shadow cache for early far branch prediction
US20120079212A1 (en) 2010-09-23 2012-03-29 International Business Machines Corporation Architecture for sharing caches among multiple processes
CN103262027B (zh) 2010-10-12 2016-07-20 索夫特机械公司 用于存储具有可可靠预测的指令序列的分支的指令序列缓冲器
WO2012051262A2 (en) 2010-10-12 2012-04-19 Soft Machines, Inc. An instruction sequence buffer to enhance branch prediction efficiency
US8370553B2 (en) 2010-10-18 2013-02-05 International Business Machines Corporation Formal verification of random priority-based arbiters using property strengthening and underapproximations
US9047178B2 (en) 2010-12-13 2015-06-02 SanDisk Technologies, Inc. Auto-commit memory synchronization
US8677355B2 (en) 2010-12-17 2014-03-18 Microsoft Corporation Virtual machine branching and parallel execution
TWI520070B (zh) 2011-03-25 2016-02-01 軟體機器公司 使用可分割引擎實體化的虛擬核心以支援程式碼區塊執行的記憶體片段
US9842005B2 (en) 2011-03-25 2017-12-12 Intel Corporation Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines
WO2012135031A2 (en) 2011-03-25 2012-10-04 Soft Machines, Inc. Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines
US20120254592A1 (en) 2011-04-01 2012-10-04 Jesus Corbal San Adrian Systems, apparatuses, and methods for expanding a memory source into a destination register and compressing a source register into a destination memory location
US9740494B2 (en) 2011-04-29 2017-08-22 Arizona Board Of Regents For And On Behalf Of Arizona State University Low complexity out-of-order issue logic using static circuits
US8843690B2 (en) 2011-07-11 2014-09-23 Avago Technologies General Ip (Singapore) Pte. Ltd. Memory conflicts learning capability
US8930432B2 (en) 2011-08-04 2015-01-06 International Business Machines Corporation Floating point execution unit with fixed point functionality
US20130046934A1 (en) 2011-08-15 2013-02-21 Robert Nychka System caching using heterogenous memories
US8839025B2 (en) 2011-09-30 2014-09-16 Oracle International Corporation Systems and methods for retiring and unretiring cache lines
EP2783282B1 (en) 2011-11-22 2020-06-24 Intel Corporation A microprocessor accelerated code optimizer and dependency reordering method
EP2783281B1 (en) 2011-11-22 2020-05-13 Intel Corporation A microprocessor accelerated code optimizer
KR101842550B1 (ko) 2011-11-22 2018-03-28 소프트 머신즈, 인크. 다중 엔진 마이크로프로세서용 가속 코드 최적화기
US8930674B2 (en) 2012-03-07 2015-01-06 Soft Machines, Inc. Systems and methods for accessing a unified translation lookaside buffer
KR20130119285A (ko) 2012-04-23 2013-10-31 한국전자통신연구원 클러스터 컴퓨팅 환경에서의 자원 할당 장치 및 그 방법
US9684601B2 (en) 2012-05-10 2017-06-20 Arm Limited Data processing apparatus having cache and translation lookaside buffer
US9940247B2 (en) 2012-06-26 2018-04-10 Advanced Micro Devices, Inc. Concurrent access to cache dirty bits
US9916253B2 (en) 2012-07-30 2018-03-13 Intel Corporation Method and apparatus for supporting a plurality of load accesses of a cache in a single cycle to maintain throughput
US9740612B2 (en) 2012-07-30 2017-08-22 Intel Corporation Systems and methods for maintaining the coherency of a store coalescing cache and a load cache
US9229873B2 (en) 2012-07-30 2016-01-05 Soft Machines, Inc. Systems and methods for supporting a plurality of load and store accesses of a cache
US9710399B2 (en) 2012-07-30 2017-07-18 Intel Corporation Systems and methods for flushing a cache with modified data
US9430410B2 (en) 2012-07-30 2016-08-30 Soft Machines, Inc. Systems and methods for supporting a plurality of load accesses of a cache in a single cycle
US9678882B2 (en) 2012-10-11 2017-06-13 Intel Corporation Systems and methods for non-blocking implementation of cache flush instructions
US10037228B2 (en) 2012-10-25 2018-07-31 Nvidia Corporation Efficient memory virtualization in multi-threaded processing units
US9195506B2 (en) 2012-12-21 2015-11-24 International Business Machines Corporation Processor provisioning by a middleware processing system for a plurality of logical processor partitions
WO2014150806A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for populating register view data structure by using register template snapshots
US9569216B2 (en) 2013-03-15 2017-02-14 Soft Machines, Inc. Method for populating a source view data structure by using register template snapshots
KR20150130510A (ko) 2013-03-15 2015-11-23 소프트 머신즈, 인크. 네이티브 분산된 플래그 아키텍처를 이용하여 게스트 중앙 플래그 아키텍처를 에뮬레이션하는 방법
US9886279B2 (en) 2013-03-15 2018-02-06 Intel Corporation Method for populating and instruction view data structure by using register template snapshots
WO2014150971A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for dependency broadcasting through a block organized source view data structure
US9632825B2 (en) 2013-03-15 2017-04-25 Intel Corporation Method and apparatus for efficient scheduling for asymmetrical execution units
US9811342B2 (en) 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
WO2014150991A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for implementing a reduced size register view data structure in a microprocessor
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
US9904625B2 (en) 2013-03-15 2018-02-27 Intel Corporation Methods, systems and apparatus for predicting the way of a set associative cache
KR102063656B1 (ko) 2013-03-15 2020-01-09 소프트 머신즈, 인크. 블록들로 그룹화된 멀티스레드 명령어들을 실행하기 위한 방법
US10275255B2 (en) 2013-03-15 2019-04-30 Intel Corporation Method for dependency broadcasting through a source organized source view data structure
KR101800948B1 (ko) 2013-03-15 2017-11-23 인텔 코포레이션 레지스터 뷰, 소스 뷰, 명령어 뷰, 및 복수의 레지스터 템플릿을 가진 마이크로프로세서 아키텍처를 이용하여 명령어들의 블록들을 실행하는 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6408367B2 (en) * 1995-12-01 2002-06-18 Nortel Networks Limited Data path architecture and arbitration scheme for providing access to a shared system resource
US7925869B2 (en) * 1999-12-22 2011-04-12 Ubicom, Inc. Instruction-level multithreading according to a predetermined fixed schedule in an embedded processor using zero-time context switching
US7213248B2 (en) * 2002-10-10 2007-05-01 International Business Machines Corporation High speed promotion mechanism suitable for lock acquisition in a multiprocessor data processing system

Also Published As

Publication number Publication date
CN103649931A (zh) 2014-03-19
EP2710480A4 (en) 2016-06-15
US20160335130A1 (en) 2016-11-17
KR101639854B1 (ko) 2016-07-14
US10031784B2 (en) 2018-07-24
US9442772B2 (en) 2016-09-13
EP2710480B1 (en) 2018-06-20
TW201314462A (zh) 2013-04-01
TWI548994B (zh) 2016-09-11
WO2012162189A1 (en) 2012-11-29
US20120297396A1 (en) 2012-11-22
EP2710480A1 (en) 2014-03-26
KR20140030261A (ko) 2014-03-11

Similar Documents

Publication Publication Date Title
JP6621476B2 (ja) プロセッサ・コア内で使用するための実行スライス回路、プロセッサ・コア、およびプロセッサ・コアによりプログラム命令を実行する方法
CN103649932B (zh) 资源的分散分配以及用于支持由多个引擎执行指令序列的互连结构
CN103635875A (zh) 用于通过使用由可分区引擎实例化的虚拟核来支持代码块执行的存储器片段
CN103547993A (zh) 通过使用由可分割引擎实例化的虚拟核来执行指令序列代码块
CN103562866A (zh) 用于通过使用由可分割引擎实例化的虚拟核来支持代码块执行的寄存器文件段
DE102012221502A1 (de) System und Verfahren zum Durchführen von gestalteter-Speicherzugriff-Operationen
CN103197953A (zh) 推测执行和回滚
DE102012222932A1 (de) Gestaltetes Register-Datei-Lesen
CN107851017A (zh) 用于在存储器与存储在寄存器组中的一个或多个数据元素向量之间传输多个数据结构的设备和方法
CN103649931B (zh) 用于支持由多个引擎执行指令序列的互连结构
CN103218259A (zh) 计算任务的调度和执行
CN103197918A (zh) 多通道时间片组
Chou et al. No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips
Akram et al. A workload-adaptive and reconfigurable bus architecture for multicore processors
CN103218253B (zh) 发散操作的分批重播
Dublish Managing the memory hierarchy in GPUs
Ziabari Improving the global memory efficiency in GPU-based systems
Jahre Improving the Performance of Parallel Applications in Chip Multiprocessors with Architectural Techniques
Sam Investigating the Scalability of tiled Chip Multiprocessors Using Multiple Networks
Kimpe et al. Data Synchronisation in Parallel Unstructured Mesh Applications

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20170317

Address after: American California

Patentee after: Intel Corporation

Address before: American California

Patentee before: Soft Machines Inc.

TR01 Transfer of patent right