KR20140030261A - 복수의 엔진에 의해 명령어 시퀀스들의 실행을 지원하기 위한 상호접속 구조 - Google Patents

복수의 엔진에 의해 명령어 시퀀스들의 실행을 지원하기 위한 상호접속 구조 Download PDF

Info

Publication number
KR20140030261A
KR20140030261A KR1020137033566A KR20137033566A KR20140030261A KR 20140030261 A KR20140030261 A KR 20140030261A KR 1020137033566 A KR1020137033566 A KR 1020137033566A KR 20137033566 A KR20137033566 A KR 20137033566A KR 20140030261 A KR20140030261 A KR 20140030261A
Authority
KR
South Korea
Prior art keywords
resources
interconnect structure
access
cycle
engines
Prior art date
Application number
KR1020137033566A
Other languages
English (en)
Other versions
KR101639854B1 (ko
Inventor
모하마드 압달라
Original Assignee
소프트 머신즈, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 소프트 머신즈, 인크. filed Critical 소프트 머신즈, 인크.
Publication of KR20140030261A publication Critical patent/KR20140030261A/ko
Application granted granted Critical
Publication of KR101639854B1 publication Critical patent/KR101639854B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5061Partitioning or combining of resources
    • G06F9/5077Logical partitioning of resources; Management or configuration of virtualized resources
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/80Architectures of general purpose stored program computers comprising an array of processing units with common control, e.g. single instruction multiple data processors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • G06F9/3889Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled by multiple instructions, e.g. MIMD, decoupled access or execute
    • G06F9/3891Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units controlled by multiple instructions, e.g. MIMD, decoupled access or execute organised in groups of units sharing resources, e.g. clusters
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4843Task transfer initiation or dispatching by program, e.g. task dispatcher, supervisor, operating system
    • G06F9/4881Scheduling strategies for dispatcher, e.g. round robin, multi-level priority queues
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/52Program synchronisation; Mutual exclusion, e.g. by means of semaphores

Abstract

글로벌 상호접속 시스템이 개시된다. 글로벌 상호접속 시스템은 다수의 코드 시퀀스의 실행을 지원하기 위한 데이터를 갖는 복수의 자원 및 다수의 코드 시퀀스의 실행을 구현하기 위한 복수의 엔진을 포함한다. 복수의 자원 소비자가 복수의 엔진 각각 내에 있다. 글로벌 상호접속 구조가 복수의 자원 소비자에 결합되고, 복수의 자원에 결합되어, 데이터 액세스 및 다수의 코드 시퀀스의 실행을 가능하게 하며, 자원 소비자들은 글로벌 상호접속 구조의 사이클별 이용을 통해 자원들에 액세스한다.

Description

복수의 엔진에 의해 명령어 시퀀스들의 실행을 지원하기 위한 상호접속 구조{AN INTERCONNECT STRUCTURE TO SUPPORT THE EXECUTION OF INSTRUCTION SEQUENCES BY A PLURALITY OF ENGINES}
본원은 2011년 5월 20일자로 출원되고, 그 전체가 본 명세서에 포함되는 Mohammad A. Abdallah에 의한 "AN INTERCONNECT STRUCTURE TO SUPPORT THE EXECUTION OF INSTRUCTION SEQUENCES BY A PLURALITY OF ENGINES"라는 제목의, 함께 계류중이고 공히 양도된 미국 특허 가출원 제61/488,683호의 이익을 주장한다.
<관련 출원의 상호 참조>
본원은 2010년 1월 5일자로 출원되고, 그 전체가 본 명세서에 포함되는 Mohammad A. Abdallah에 의한 "APPARATUS AND METHOD FOR PROCESSING COMPLEX INSTRUCTION FORMATS IN A MULTITHREADED ARCHITECTURE SUPPORTING VARIOUS CONTEXT SWITCH MODES AND VIRTUALIZATION SCHEMES"라는 제목의, 함께 계류중이고 공히 양도된 미국 특허 출원 제12/514,303호와 관련된다.
본원은 2008년 12월 19일자로 출원되고, 그 전체가 본 명세서에 포함되는 Mohammad A. Abdallah에 의한 "APPARATUS AND METHOD FOR PROCESSING AN INSTRUCTION MATRIX SPECIFYING PARALLEL IN DEPENDENT OPERATIONS"라는 제목의, 함께 계류중이고 공히 양도된 미국 특허 출원 제12/296,919호와 관련된다.
<발명의 분야>
본 발명은 일반적으로 디지털 컴퓨터 시스템, 구체적으로는 명령어 시퀀스를 포함하는 명령어들을 선택하기 위한 시스템 및 방법에 관한 것이다.
프로세서들은 종속적이거나 완전히 독립적인 다수의 작업을 처리하도록 요구된다. 통상적으로 그러한 프로세서들의 내부 상태는 프로그램 실행의 각각의 특정 순간에 상이한 값들을 유지할 수 있는 레지스터들로 구성된다. 프로그램 실행의 각각의 순간에, 내부 상태 이미지는 프로세서의 아키텍처 상태로서 지칭된다.
코드 실행이 다른 함수(예로서, 다른 스레드, 프로세스 또는 프로그램)를 실행하도록 전환될 때, 새로운 함수가 내부 레지스터들을 이용하여 그의 새로운 상태를 구성할 수 있도록 기계/프로세서의 상태가 저장되어야 한다. 새로운 함수가 종료되면, 그의 상태는 폐기될 수 있으며, 이전 상황의 상태가 복원되고, 실행이 재개된다. 그러한 전환 프로세스는 상황 전환이라고 하며, 보통 많은 수(예로서, 64, 128, 256)의 레지스터 및/또는 무질서 실행을 이용하는 최신 아키텍처들과 특히 관련하여 수십 또는 수백 개의 사이클을 포함한다.
스레드 인식 하드웨어 아키텍처들에서는, 하드웨어가 제한된 수의 하드웨어 지원 스레드들에 대해 다수의 상황 상태를 지원하는 것이 일반적이다. 이 경우, 하드웨어는 각각의 지원 스레드에 대해 모든 아키텍처 상태 요소들을 복제한다. 이것은 새로운 스레드를 실행할 때 상황 전환의 필요를 없앤다. 그러나, 이것은 여전히 다수의 단점, 즉 하드웨어에서 지원되는 각각의 추가적인 스레드에 대해 모든 아키텍처 상태 요소들(즉, 레지스터들)을 복제하는 영역, 전력 및 복잡성을 갖는다. 게다가, 소프트웨어 스레드들의 수가 명시적으로 지원되는 하드웨어 스레드들의 수를 초과하는 경우, 여전히 상황 전환이 수행되어야 한다.
이것은 미세 입도에 기초하는 병렬성이 요구되어 많은 수의 스레드를 필요로 할 때 일반화된다. 중복 상황 상태 하드웨어 저장을 포함하는 하드웨어 스레드 인식 아키텍처들은 스레딩되지 않은(non-threaded) 소프트웨어 코드를 돕지 못하며, 스레딩되는 소프트웨어에 대해 상황 전환들의 수를 줄일 뿐이다. 그러나, 그러한 스레드들은 통상적으로 대략적인 병렬성을 위해 구성되며, 개시 및 동기화에 대한 무거운 소프트웨어 오버헤드를 유발하여, 효율적인 스레딩 개시/자동 생성 없이, 함수 호출 및 루프 병렬 실행과 같은 정밀한 병렬성을 남긴다. 그러한 설명된 오버헤드들은 비명시적으로/쉽게 병렬화된/스레딩된 소프트웨어 코드들에 대한 최신 컴파일러 또는 사용자 병렬화 기술들을 이용하는 그러한 코드들의 자동 병렬화의 어려움을 동반한다.
일 실시예에서, 본 발명은 글로벌 상호접속 시스템으로서 구현된다. 글로벌 상호접속 시스템은 다수의 코드 시퀀스의 실행을 지원하기 위한 데이터를 갖는 복수의 자원 및 다수의 코드 시퀀스의 실행을 구현하기 위한 복수의 엔진을 포함한다. 복수의 자원 소비자가 복수의 엔진 각각 내에 있다. 글로벌 상호접속 구조가 복수의 자원 소비자에 결합되고, 복수의 자원에 결합되어, 데이터 액세스 및 다수의 코드 시퀀스의 실행을 가능하게 하며, 자원 소비자들은 글로벌 상호접속 구조의 사이클별(per cycle) 이용을 통해 자원들에 액세스한다.
위의 설명은 요약이고, 따라서 필연적으로 상세의 간략화, 일반화 및 생략을 포함하며, 결과적으로 이 분야의 기술자들은 본 요약이 예시적일 뿐, 결코 한정을 의도하지 않는다는 것을 알 것이다. 청구항들에 의해서만 정의되는 바와 같은 본 발명의 다른 양태들, 발명적 특징들 및 장점들은 후술하는 비제한적인 상세한 설명에서 명확해질 것이다.
본 발명은 첨부 도면들에서 한정이 아니라 예시적으로 도시되며, 도면들에서 동일한 참조 번호들은 유사한 요소들을 나타낸다.
도 1a는 글로벌 프론트엔드가 그들 각각의 엔진들 상에서 코드 시퀀스들의 실행을 지원하기 위해 코드 블록들 및 상속 벡터들을 생성하는 방법의 개요를 도시한다.
도 1b는 본 발명의 일 실시예에 따른, 멀티코어 프로세서에 대한 세그먼트화된 스케줄러 및 레지스터 파일들, 상호접속들 및 프래그먼트화된 메모리 서브시스템을 포함하는 엔진들 및 이들의 컴포넌트들의 개요도를 나타낸다.
도 2는 도 1a 및 1b의 설명에서 설명된 상호접속의 추가적인 특징들, 및 본 발명의 일 실시예에 따른 복수의 로컬 상호접속을 도시하는 개요도를 나타낸다.
도 3은 본 발명의 일 실시예에 따른, 경쟁 자원에 대한 효율적인 액세스를 구현하는 자원 예약 메커니즘을 포함하는 컴포넌트들을 도시한다.
도 4는 본 발명의 일 실시예에 따른 상호접속 및 메모리 프래그먼트들 내로의 포트들을 도시한다.
도 5는 본 발명의 일 실시예에 따른 상호접속 및 세그먼트들 내로의 포트들을 도시한다.
도 6은 본 발명의 일 실시예에 따른 세그먼트화된 상호접속을 도시하는 도면을 나타낸다.
도 7은 본 발명의 일 실시예에 따른, 상호접속의 세그먼트들에 대한 요청들이 경쟁되고 할당되는 방법을 나타내는 테이블을 도시한다.
도 8은 본 발명의 일 실시예에 따른, 점대점 버스에 대한 요청들이 처리되는 방법을 나타내는 테이블을 도시한다.
도 9는 본 발명의 일 실시예에 따른, 도 7의 테이블의 기능을 구현하는 예시적인 논리 구현의 도면을 나타낸다.
도 10은 본 발명의 일 실시예에 따른, 점대점 버스에 대한 요청들이 처리되는 방법의 기능을 구현하는 예시적인 논리 구현의 도면을 나타낸다.
도 11은 본 발명의 일 실시예에 따른 상호접속의 도면을 나타낸다.
도 12는 본 발명의 일 실시예에 따른, 도 11의 송신기 모델 상호접속 구조가 기능하는 방법을 나타내는 테이블을 도시한다.
도 13은 본 발명의 일 실시예에 따른, 공유 버스 상호접속 구조에 대한 요청들이 처리되는 방법의 기능을 구현하는 예시적인 논리 구현의 도면을 나타낸다.
도 14는 본 발명의 일 실시예에 따른 예시적인 마이크로프로세서 파이프라인의 도면을 나타낸다.
본 발명은 하나의 실시예와 관련하여 설명되지만, 본 발명은 여기서 설명되는 특정 형태들로 한정되는 것을 의도하지 않는다. 이와 달리, 본 발명은 첨부된 청구항들에 의해 정의되는 바와 같은 본 발명의 범위 내에 정당하게 포함될 수 있는 대안들, 변경들 및 균등물들을 커버하는 것을 의도한다.
아래의 상세한 설명에서는 특정 방법 순서들, 구조들, 요소들 및 접속들과 같은 다수의 특정 상세가 설명된다. 그러나, 이들 및 다른 특정 상세들은 본 발명의 실시예들을 실시하는 데 사용될 필요가 없다는 것을 이해해야 한다. 다른 상황들에서는, 본 설명을 불필요하게 불명확하게 하지 않기 위해 공지 구조들, 요소들 또는 접속들은 생략되거나 구체적으로 설명되지 않는다.
명세서에서 "하나의 실시예" 또는 "일 실시예"에 대한 참조들은 그 실시예와 관련하여 설명되는 특정 특징, 구조 또는 특성이 본 발명의 적어도 하나의 실시예 내에 포함된다는 것을 지시하는 것을 의도한다. 명세서 내의 다양한 곳에서의 "일 실시예에서"라는 문구의 출현들은 모두가 반드시 동일 실시예를 지칭하지는 않으며, 다른 실시예들과 서로 배타적인 개별 또는 대안 실시예들도 아니다. 더욱이, 일부 실시예들에는 나타날 수 있지만, 다른 실시예들에는 나타나지 않을 수 있는 다양한 특징들이 설명된다. 유사하게, 일부 실시예들에 대한 요구들일 수 있지만, 다른 실시예들에 대한 요구들이 아닐 수 있는 다양한 요구들이 설명된다.
이어지는 상세한 설명의 일부 부분들은 컴퓨터 메모리 내의 데이터 비트들에 관한 연산들의 절차들, 단계들, 논리 블록들, 처리 및 다른 심벌 표현들과 관련하여 제공된다. 이러한 설명들 및 표현들은 데이터 처리 분야의 기술자들이 그들의 작업의 내용을 당해 분야의 기술자들에게 가장 효과적으로 전달하기 위해 사용하는 수단들이다. 본 명세서에서 그리고 일반적으로, 절차, 컴퓨터 실행 단계, 논리 블록, 프로세스 등은 원하는 결과를 유도하는 단계들 또는 명령어들의 합리적인 시퀀스로서 간주된다. 단계들은 물리량들의 물리적 처리들을 필요로 하는 것들이다. 일반적으로, 반드시 그렇지는 않지만, 이러한 양들은 컴퓨터 판독 가능 저장 매체의 전기 또는 자기 신호들의 형태를 취하며, 컴퓨터 시스템 내에서 저장, 전송, 결합, 비교 및 처리될 수 있다. 때대로, 원칙적으로 일반적인 사용의 이유로, 이러한 신호들을 비트, 값, 요소, 심벌, 문자, 용어, 숫자 등으로 지칭하는 것이 편리한 것으로 입증되었다.
그러나, 이들 및 유사한 용어들 모두는 적절한 물리량들과 관련되어야 하며, 이러한 양들에 적용되는 편리한 라벨들일 뿐이라는 것을 기억해야 한다. 구체적으로 달리 언급되지 않는 한, 아래의 설명으로부터 명백하듯이, 본 발명 전반에서 "처리", "액세스", "기록" 또는 "저장" 또는 "복제" 등과 같은 용어들을 이용하는 설명들은 컴퓨터 시스템의 레지스터들 및 메모리들 및 다른 컴퓨터 판독 가능 저장 매체들 내의 물리(전자) 양들로서 표현되는 데이터를 컴퓨터 시스템의 메모리들 또는 레지스터들 또는 다른 그러한 정보 저장, 전송 또는 표시 장치들 내의 물리량들로서 유사하게 표현되는 다른 데이터로 처리 및 변환하는 컴퓨터 시스템 또는 유사한 전자 컴퓨팅 장치의 액션 및 프로세스들을 지칭한다는 것을 안다.
본 발명의 실시예들은 프론트엔드 스케줄러, 복수의 세그먼트화된 레지스터 파일 또는 단일 레지스터 파일 및 메모리 서브시스템을 이용하여, 멀티코어 프로세서의 다수의 코어에 대한 프래그먼트화된 어드레스 공간들을 구현한다. 일 실시예에서, 프래그먼트화는 추가적인 가상 코어들(예로서, 소프트 코어들)이 하나 이상의 스레드를 포함하는 명령어 시퀀스들을 협력하여 실행할 수 있게 함으로써 마이크로프로세서 성능의 확장을 가능하게 한다. 프래그먼트화 계층 구조는 각각의 캐시 계층 구조(예로서, L1 캐시, L2 캐시)에 걸쳐 동일하다. 프래그먼트화 계층 구조는 어드레스 비트들을 이용하여 어드레스 공간을 프래그먼트들로 분할하며, 어드레스 비트들은 캐시 라인 경계들 위에 그리고 페이지 경계들 아래에 있는 비트들에 의해 프래그먼트들이 식별되게 하는 데 사용된다. 각각의 프래그먼트는 저장을 위해 멀티포트 뱅크 구조를 이용하도록 구성된다. 본 발명의 실시예들은 아래의 도 1a 및 1b에서 더 설명된다.
도 1a는 본 발명의 일 실시예에 따른 프로세서의 개요도를 나타낸다. 도 1a에 도시된 바와 같이, 프로세서는 글로벌 프론트엔드 인출 및 스케줄러(10) 및 복수의 분할 가능 엔진(11-14)을 포함한다.
도 1a는 글로벌 프론트엔드가 그들 각각의 분할 가능 엔진 상에서의 코드 시퀀스들의 실행을 지원하기 위해 코드 블록들 및 상속 벡터들을 생성하는 방법의 개요를 도시한다. 코드 시퀀스들(20-23) 각각은 특정 가상 코어 실행 모드에 따라 동일한 논리 코어/스레드에 또는 상이한 논리 코어들/스레드들에 속할 수 있다. 글로벌 프론트엔드 인출 및 스케줄러는 코드 시퀀스들(20-23)을 처리하여 코드 블록들 및 상속 벡터들을 생성할 것이다. 이러한 코드 블록들 및 상속 벡터들은 도시된 바와 같이 특정 분할 가능 엔진들(11-14)에 할당된다.
엔진들은 선택된 모드에 따라 가상 코어들을 구현한다. 엔진은 세그먼트, 프래그먼트 및 다수의 실행 유닛을 포함한다. 엔진들 내의 자원들은 다수의 모드를 갖는 가상 코어들을 구현하는 데 사용될 수 있다. 가상 코어 모드에 의해 제공되는 바와 같이, 하나의 소프트 코어 또는 다수의 소프트 코어가 하나의 논리 코어/스레드를 지원하도록 구현될 수 있다. 도 1a의 실시예에서, 선택된 모드에 따라, 가상 코어들은 하나의 논리 코어/스레드 또는 4개의 논리 코어/스레드를 지원할 수 있다. 가상 코어들이 4개의 논리 코어/스레드를 지원하는 실시예에서, 각각의 가상 코어의 자원들은 분할 가능 엔진들 각각에 걸쳐 분산된다. 가상 코어들이 하나의 논리 코어/스레드를 지원하는 실시예에서, 모든 엔진들의 자원들은 그 코어/스레드에 전용화된다. 엔진들은 각각의 엔진이 각각의 가상 코어를 포함하는 자원들의 서브세트를 제공하도록 분할된다. 즉, 가상 코어는 엔진들(11-14) 각각의 자원들의 서브세트를 포함할 것이다. 글로벌 상호접속 구조(30)는 이러한 프로세스를 촉진하기 위해 엔진들(11-14) 각각의 자원들 간의 통신을 제공한다. 대안으로서, 엔진들(11-14)은 엔진들(11-14)의 자원들이 전용 코어/스레드의 실행을 지원하는 데 전용화되는 물리 모드를 구현하는 데 사용될 수 있다. 이러한 방식으로, 엔진들에 의해 구현되는 소프트 코어들은 엔진들 각각에 걸쳐 분산되는 자원들을 갖는 가상 코어들을 포함한다. 가상 코어 실행 모드들은 아래의 도면들에서 더 설명된다.
전통적인 코어 구현에서는 하나의 코어/엔진 내의 자원들이 하나의 논리 스레드/코어에만 할당된다는 점에 유의해야 한다. 이와 달리, 본 발명의 실시예들에서는 하나의 논리 스레드/코어에 할당되는 가상 코어를 인스턴화하기 위해 임의의 엔진/코어의 자원들이 다른 엔진/코어 파티션들과 함께 집합적으로 분할될 수 있다. 본 발명의 실시예들은 또한 그러한 동일 엔진들이 많은 전용 코어/스레드 또는 많은 동적 할당된 코어/스레드를 지원하도록 분할될 수 있는 다수의 가상 실행 모드는 물론, 모든 엔진들의 자원들 모두가 단일 코어/스레드의 실행을 지원하는 구성들도 구현할 수 있다. 일부 대표적인 실시예들이 아래에 더 설명된다. 본 발명의 다른 실시예들에서, 본 발명의 기술들은 전통적인 멀티코어 구현에 직접 적용되어, 멀티코어 공유 자원들 및 상호접속들의 효율적인 경쟁, 예약 및 할당을 가능하게 할 수 있다. 유사하게, 본 발명은 단일 코어 또는 컴퓨트 엔진 내에 적용되어, 코어 내의 임의의 공유 자원들 또는 상호접속들(즉, 포트들, 버스들, 실행 유닛들, 캐시들, 구조들)의 효율적인 경쟁, 예약 및 할당을 가능하게 할 수 있다.
예를 들어, 도 1a, 도 1b 및 도 5에 도시된 실시예들은, 글로벌 프론트엔드 또는 상속 벡터를 갖지 않지만, 캐시, 공유 상호접속(예로서, 메시 또는 그리드) 또는 공유 다중 방향 버스와 같은 자원들에 대한 액세스를 갖는 다수의 코어 또는 다수의 스레드를 인스턴스화하는 엔진들을 갖는 통상적인 멀티코어 설계로 대체될 수 있다. 그러한 실시예들에서, 본 발명은 여전히 직접 적용되어, 효율적인 자원 및 상호접속 경쟁, 예약 및 할당을 가능하게 할 수 있다. 유사하게, 본 발명의 실시예들은 각각의 코어 또는 엔진에 적용되어, 자원들 또는 상호접속들을 경쟁, 예약 및 할당하게 할 수 있다.
도 1b는 본 발명의 일 실시예에 따른, 멀티코어 프로세서에 대한 세그먼트화된 스케줄러 및 레지스터 파일들, 글로벌 상호접속들 및 프래그먼트화된 메모리 서브시스템을 포함하는 분할 가능 엔진들 및 이들의 컴포넌트들의 개요도를 나타낸다. 도 1에 도시된 바와 같이, 4개의 프래그먼트(101-104)가 도시되어 있다. 프래그먼트화 계층 구조는 각각의 캐시 계층 구조(예를 들어, L1 캐시, L2 캐시 및 부하 저장소 버퍼)에 걸쳐 동일하다. L1 캐시들 각각, L2 캐시들 각각 및 부하 저장소 버퍼들 각각 사이에서 메모리 글로벌 상호접속(110a)을 통해 데이터가 교환될 수 있다.
메모리 글로벌 상호접속은 복수의 코어(예를 들어, 어드레스 계산 및 실행 유닛들(121-124))가 프래그먼트화된 캐시 계층 구조 내의 임의의 포인트(예를 들어, L1 캐시, 부하 저장소 버퍼 및 L2 캐시)에 저장될 수 있는 데이터에 액세스하는 것을 가능하게 하는 라우팅 매트릭스를 포함한다. 도 1은 어드레스 계산 및 실행 유닛들(121-124)이 메모리 글로벌 상호접속(110a)을 통해 프래그먼트들(101-104) 각각에 어드레스할 수 있는 방법을 또한 도시한다.
유사하게, 실행 글로벌 상호접속(110b)은 복수의 코어(예를 들어, 어드레스 계산 및 실행 유닛들(121-124))가 세그먼트화된 레지스터 파일들 중 임의의 레지스터 파일에 저장될 수 있는 데이터에 액세스하는 것을 가능하게 하는 라우팅 매트릭스를 포함한다. 따라서, 코어들은 메모리 글로벌 상호접속(110a) 또는 실행 글로벌 상호접속(110b)을 통해 임의의 프래그먼트에 저장된 데이터 및 임의의 세그먼트에 저장된 제2 데이터에 대한 액세스를 갖는다.
도 1b는 전체 기계의 뷰를 갖고 레지스터 파일 세그먼트들 및 프래그먼트화된 메모리 서브시스템의 사용을 관리하는 글로벌 프론트엔드 인출 및 스케줄러(150)를 더 도시한다. 어드레스 생성은 프래그먼트 정의에 대한 기초를 포함한다. 글로벌 프론트엔드 인출 및 스케줄러는 명령어 시퀀스들을 각각의 세그먼트의 파티션 스케줄러에 할당함으로써 기능한다. 이어서, 공통 파티션 스케줄러는 어드레스 계산 및 실행 유닛들(121-124) 상에서의 실행을 위해 그러한 명령어 시퀀스들을 디스패치(dispatch)한다.
게다가, 도 1a에 도시된 분할 가능 엔진들은 계층 구조 방식으로 포개질 수 있다는 점에 유의해야 한다. 그러한 실시예에서, 제1 레벨 분할 가능 엔진은 로컬 프론트엔드 인출 및 스케줄러 및 그에 접속된 다수의 보조 분할 가능 엔진을 포함할 것이다.
도 2는 도 1a 및 1b의 설명에서 설명된 상호접속(30)의 추가적인 특징들, 및 본 발명의 일 실시예에 따른 복수의 로컬 상호접속(40-42)을 도시하는 개요도를 나타낸다. 도 2의 구조는 상호접속 구조의 조화 모델을 나타낸다. 도 2는 대응하는 복수의 소비자에 접속된 복수의 자원을 도시한다. 자원들은 분할 가능 엔진들 각각의 데이터 저장 자원들(예로서, 레지스터 파일, 부하 저장소 버퍼, L1 캐시 및 L2 캐시)이다. 소비자들은 분할 가능 엔진들 각각의 실행 유닛들 및 어드레스 계산 유닛들이다. 도 2는 복수의 조화기(orchestrator; 21-23)를 더 도시한다.
전술한 바와 같이, 엔진들(11-14) 각각의 자원들 사이의 통신이 상호접속 구조에 의해 제공된다. 예를 들어, 도 2의 실시예에서, 상호접속 구조(30)는 전용 점대점 버스이다. 도 2의 실시예에서는, 엔진들 각각의 자원들에 걸치는 6개의 버스가 존재한다. 하나의 소비자/자원 쌍만이 사이클마다 6개의 버스 중 하나를 이용할 수 있다. 소비자/자원 쌍들은 도 10의 OR-AND 및 임계치 검출 논리를 통해 6개의 버스의 사용을 위해 서로 경쟁한다. 그러나, 도 9의 설명에서 더 설명되는 바와 같이, 공유 멀티포인트 버스 구성에 대한 동일한 조화가 예약 가산기 및 임계치 제한 또는 프로세스를 이용하여 달성될 수 있다.
조화기(21-23)는 소비자로의 자원의 라우팅을 지시하는 제어된 엔티티들을 포함한다. 예를 들어, 일 실시예에서, 조화기는 실행을 위해 준비된 소비자에게 상호접속을 통한 전송을 위해 자원을 스케줄링하는 스레드 스케줄러일 수 있다. 조화기(예로서, 스레드 스케줄러)는 올바른 자원을 식별하고, 필요한 버스를 예약하고, 그 자원을 선택된 소비자에게 전송한다. 이러한 방식으로, 조화기는 명령어들의 준비를 모니터링하고, 명령어들을 실행하는 데 사용될 실행 유닛들을 선택한다. 이러한 정보는 도 9 또는 도 10에 도시된 바와 같은 예약 및 할당 논리를 이용하여 상호접속에서 요청들을 경쟁시킴으로써 선택된 실행 유닛들(예로서, 선택된 소비자)로의 상호접속을 통한 자원의 전송을 조화시키는 데 사용된다. 이러한 방식으로, 소비자들의 실행 유닛들 자체는 상호접속에 대해 예시된 것과 유사한 자원 예약 및 할당 방법들을 이용하여 조화기들에 의해 경쟁되는 것이 필요한 자원들로서 간주된다. 여기서, 도 9 또는 도 10의 예약 및 할당 논리를 이용하여 모든 조화기로부터 오는 요청들을 경쟁시킴으로써 실행 유닛들이 예약 및 할당된다.
상호접속은 복수의 자원 소비자, 이 예에서는 복수의 코어(예로서, 어드레스 계산 및 실행 유닛들(121-124))가 프래그먼트화된 캐시 계층 구조 내의 임의의 포인트(예를 들어, L1 캐시, 부하 저장소 버퍼 및 L2 캐시)에 저장될 수 있는 자원, 이 예에서는 데이터에 액세스하는 것을 가능하게 하는 라우팅 매트릭스를 포함한다. 코어들은 세그먼트화된 레지스터 파일들 중 임의의 레지스터 파일에 저장될 수 있는 데이터에 유사하게 액세스할 수 있다. 따라서, 코어들은 상호접속 구조(30)를 통해 임의의 프래그먼트에 저장된 데이터에 그리고 임의의 세그먼트에 저장된 데이터에 대한 액세스를 갖는다. 일 실시예에서, 상호접속 구조는 도 1b에 도시되고 그의 설명에서 전술한 바와 같이 2개의 구조, 즉 메모리 상호접속(110a) 및 실행 상호접속(110b)을 포함한다.
도 2는 또한 복수의 로컬 상호접속(40-42)을 도시한다. 로컬 상호접속들(40-42)은 인접하는 분할 가능 엔진들로부터의 자원 소비자들이 바로 인접하는 분할 가능 엔진들의 자원들에 빠르게 액세스하는 것을 가능하게 하는 라우팅 매트릭스를 포함한다. 예를 들어, 하나의 코어가 로컬 상호접속(40)을 이용하여, 인접하는 분할 가능 엔진의 자원들(예로서, 레지스터 파일, 부하 저장소 버퍼 등)에 빠르게 액세스할 수 있다.
따라서, 상호접속 구조 자체는 분할 가능 엔진들 각각의 코어들 각각에 의해 공유되어야 하는 자원을 포함한다. 상호접속 구조(30) 및 로컬 상호접속 구조들(40-42)은 임의의 분할 가능 엔진으로부터의 코어들이 임의의 다른 분할 가능 엔진의 자원들에 액세스하는 것을 가능하게 하는 상호접속 구조를 구현한다. 이러한 상호접속 구조는 상호접속 구조의 경우에 집적 회로 장치의 분할 가능 엔진들 모두에 걸치고 로컬 상호접속 구조의 경우에 집적 회로 장치의 엔진들 사이에 걸치는 전송 라인들을 포함한다.
본 발명의 실시예들은 상호접속들 및 로컬 상호접속들을 사용하기 위한 비집중 액세스 프로세스를 구현한다. 유한 수의 글로벌 버스들 및 로컬 버스들이 조화기들에 의해 효율적으로 공유되어야 하는 자원들을 포함한다. 게다가, 조화기들은 비집중 액세스 프로세스를 이용하여, 분할 가능 엔진들 각각의 자원들에 대한 판독/기록 액세스를 제공하는 유한 수의 포트들을 효율적으로 공유한다. 일 실시예에서, 비집중 액세스 프로세스는 버스(예로서, 로컬 상호접속 버스 또는 상호접속 버스) 및 원하는 자원 내로의 포트를 예약하는 조화기들에 의해 구현된다. 예를 들어, 조화기(21)는 소비자 1이 자원 3에 액세스하게 하기 위해 상호접속 및 포트를 예약하는 것이 필요하며, 조화기(22)는 소비자가 자원 2에 액세스하게 하기 위해 상호접속 및 포트를 예약하는 것이 필요하다.
도 3은 본 발명의 일 실시예에 따른, 경쟁 자원에 대한 효율적인 액세스를 구현하는 자원 예약 메커니즘을 포함하는 컴포넌트들을 도시한다. 도 3에 도시된 바와 같이, 3개의 자원 각각에 대한 4개의 포트 각각으로의 액세스를 제어하는 임계치 제한기들(311-313)에 결합된 3개의 예약 가산기(301-303)가 도시되어 있다. 각각의 가산기 출력 합은 (취소되지 않는 경우) 액세스들 각각에 대한 포트 선택자로도 이용되며, 따라서 성공하는 각각의 요청은 해당 요청 가산기의 출력에서의 합에 의해 지시되는 포트 번호를 사용할 수 있다. 도 3에 지시된 바와 같이, 각각의 도시된 가산기의 합은 취소되지 않은 대응하는 요청에 대한 할당된 포트 번호이기도 하다는 점에 유의해야 한다.
이러한 포트 할당 및 예약 문제는 도 7의 버스 세그먼트 할당 테이블과 유사하게 도시될 수 있고, 따라서 그의 구현 논리는 또한 이 예에서 각각의 세그먼트가 버스 세그먼트 대신에 레지스터 파일 세그먼트를 반영하는 도 9와 유사할 수 있다는 점에 유의해야 한다. 이 예에서 동일하게 유추하면, 다수의 레지스터 파일 세그먼트에 액세스하려고 시도하는 명령어는 그가 그의 레지스터 세그먼트 요청들 모두를 예약할 수 있는 경우에만 성공할 수 있으며, 도 7에서의 버스 세그먼트들의 예시와 유사하게, 그 명령어에 대한 임의의 레지스터 세그먼트 액세스가 취소되는 경우에는 실패할 것이다.
본 발명의 실시예들은 상호접속들 및 로컬 상호접속들을 사용하기 위한 비집중 액세스 프로세스를 구현한다. 다수의 비집중 인출기, 송신기, 조화기 또는 에이전트가 공유 상호접속들, 자원들 또는 소비자들에 대해 요청들, 액세스들 및 제어들을 개시할 수 있다. 이러한 비집중 요청들, 액세스들 및 제어들은 공유 자원들의 토폴로지들 및 구조들에 따라 본 발명에서 설명되는 바와 같은 방법들 및 논리 구현의 변형들을 이용하여 그러한 공유 자원들을 경쟁한다. 예를 들어, 엔진들의 자원들 및 그들의 판독/기록 포트들은 코어들에 의해 효율적으로 공유되는 것이 필요하다. 게다가, 유한 수의 글로벌 버스들 및 로컬 버스들은 효율적으로 공유되는 것이 필요한 자원들을 포함한다. 도 3의 실시예에서, 비집중 액세스 프로세스는 예약 가산기들 및 임계치 제한기들을 통해 구현된다. 일 실시예에서, 각각의 경쟁되는 자원에서, 예약 가산기 트리 및 임계치 제한기가 그러한 경쟁되는 자원들에 대한 액세스를 제어한다. 본 명세서에서 사용될 때, 경쟁되는 자원이라는 용어는 부하 저장소 버퍼의 판독/기록 포트들, 메모리/캐시 프래그먼트, 레지스터 파일 세그먼트 또는 L2 캐시, 글로벌 버스 예약 또는 로컬 버스 예약을 지칭한다.
예약 가산기 및 임계치 제한기는 각각의 경쟁되는 자원에 대한 액세스를 제어한다. 전술한 바와 같이, 자원에 액세스하기 위해, 코어는 필요한 버스를 예약하고 필요한 포트를 예약하는 것이 필요하다. 각각의 사이클 동안, 조화기들은 그들의 미결 명령어를 실행하는 데 필요한 자원들을 예약하려고 시도한다. 예를 들어, 도 3에 도시된 명령어 I1을 스케줄링하는 조화기의 경우, 이 조화기는 그의 필요한 자원의 예약 가산기 내에 플래그 또는 비트를 설정할 것이다. 이 경우, 비트는 레지스터 파일 1 내에 그리고 레지스터 파일 3 내에 설정된다. 다른 조화기들은 유사하게 그들의 필요한 자원의 예약 가산기들 내에 비트들을 설정할 것이다. 예를 들어, 명령어 I2에 대한 상이한 조화기는 레지스터 파일 2에 대해 2개의 비트를 설정한다. 조화기들이 그들의 필요한 자원들을 요청할 때, 예약 가산기들은 이들이 임계치 제한기에 도달할 때까지 요청들을 합산한다. 도 4의 실시예에서는, 자원들 각각에 대해 4개의 포트가 존재한다. 따라서, 예약 가산기들은 4개의 포트가 모두 예약될 때까지 예약 요청들로부터의 플래그들을 수용할 것이다. 다른 플래그는 수용되지 않을 것이다.
조화기는 명령어를 실행하는 데 필요한 그의 플래그들 모두가 설정되지 않으면 그의 명령어를 실행하기 위한 확인을 수신하지 못할 것이다. 따라서, 조화기는 필요한 버스들에 대한 플래그들이 설정되고, 필요한 판독/기록 포트들에 대한 플래그들이 설정되는 경우에 명령어를 실행하기 위한 확인을 수신할 것이다. 임의의 플래그에 대해 취소 신호가 수신되는 경우, 그 조화기의 요청의 모든 플래그들이 소거되며, 요청은 다음 사이클까지 대기된다.
이러한 방식으로, 조화기들 각각은 사이클마다 자원들을 위해 서로 경쟁한다. 취소되는 요청들은 대기되며, 다음 사이클에서 우선권을 부여받는다. 이것은 하나의 특정 코어가 다수의 사이클 동안 자원 액세스로부터 배제되지 않는 것을 보증한다. 제안되는 구현들에서의 자원들은, 예를 들어 요청이 자원 획득에 성공하고(예를 들어, 가산기 및 임계치 논리에 의해 취소되지 않고), 이어서 그 요청에 대응하는 가산기 합계 출력이 그 요청에 할당되는 자원 번호를 나타내는 경우, 자원들에 자동으로 할당되며, 따라서 조화기들로부터의 어떠한 추가적인 참여도 필요로 하지 않고 자원 할당이 완료된다는 점에 유의해야 한다. 이러한 예약 및 할당 가산기 및 임계치 제한기들은 경쟁되는 자원들에 대한 액세스를 비집중 방식으로 매우 균형화한다(예를 들어, 요청자들/조화기들이 임의의 집중적인 중재에 적극적으로 참여할 필요가 없다). 각각의 원격 조화기는 공유 자원들에 대한 그의 요청들을 전송하며, 성공하는 요청들은 자원들/버스들을 자동으로 부여받을 것이다.
도 4는 본 발명의 일 실시예에 따른 상호접속 및 메모리 프래그먼트들 내로의 포트들을 도시한다. 도 4에 도시된 바와 같이, 각각의 메모리 프래그먼트는 부하 저장소 버퍼, L1 캐시 및 L2 캐시에 대한 판독/기록 액세스를 제공하는 4개의 판독/기록 포트를 갖는 것으로 도시된다. 부하 저장소 버퍼는 복수의 엔트리를 포함하고, L1 캐시는 복수의 통로를 포함한다.
전술한 바와 같이, 본 발명의 실시예들은 상호접속들 및 로컬 상호접속들을 사용하기 위한 비집중 액세스 프로세스를 구현한다. 유한 수의 글로벌 버스들 및 로컬 버스들은 코어들에 의해 효율적으로 공유되어야 하는 자원들을 포함한다. 따라서, 예약 가산기 및 임계치 제한기는 각각의 경쟁되는 자원, 이 예에서는 각각의 프래그먼트로의 포트들에 대한 액세스를 제어한다. 전술한 바와 같이, 자원에 액세스하기 위해, 코어는 필요한 버스를 예약하고 필요한 포트를 예약하는 것이 필요하다.
도 5는 본 발명의 일 실시예에 따른 상호접속 및 세그먼트들 내로의 포트들을 도시한다. 도 5에 도시된 바와 같이, 각각의 세그먼트는 피연산자/결과 버퍼, 스레딩된 레지스터 파일 및 공통 파티션 또는 스케줄러에 대한 판독/기록 액세스를 제공하는 4개의 판독/기록 포트를 갖는 것으로 도시된다. 도 5의 실시예는 세그먼트들 각각 내에 공통 파티션 또는 스케줄러를 포함하는 것으로 도시된다. 이 실시예에서, 공통 파티션 스케줄러는 도 1b에 도시된 글로벌 프론트엔드 인출 및 스케줄러와 협력하여 기능하도록 구성된다.
상호접속들 및 로컬 상호접속들을 사용하기 위한 비집중 액세스 프로세스는 예약 가산기 및 각각의 경쟁되는 자원, 이 예에서는 각각의 세그먼트 내로의 포트들에 대한 임계치 제한기 제어 액세스를 이용한다. 전술한 바와 같이, 자원에 액세스하기 위해, 코어는 필요한 버스를 예약하고 필요한 포트를 예약하는 것이 필요하다.
도 6은 본 발명의 일 실시예에 따른 세그먼트화된 상호접속(601)을 도시하는 도면을 나타낸다. 도 6에 도시된 바와 같이, 상호접속(601)은 자원 1-4를 소비자 1-4에 접속하는 것으로 도시된다. 상호접속(601)은 또한 세그먼트 1, 2 및 3을 포함하는 것으로 도시된다.
도 6은 인출 모델 상호접속 구조의 일례를 도시한다. 도 6의 실시예에서는 조화기가 존재하지 않는다. 이 실시예에서, 자원들은 소비자들이 소비를 지원하기 위한 필요한 자원들(예로서, 실행 유닛들)을 인출하려고 시도할 때 소비자들에 의해 경쟁된다. 소비자들은 필요한 인출 요청들을 예약 가산기들 및 임계치 제한기들로 전송한다.
상호접속 구조는 복수의 글로벌 세그먼트화된 버스를 포함한다. 로컬 상호접속 구조는 복수의 국지적으로 접속된 엔진 대 엔진 버스를 포함한다. 따라서, 성능 및 제조 양자의 비용들을 균형화하기 위해, 유한 수의 글로벌 버스들 및 유한 수의 로컬 버스들이 존재한다. 도 6의 실시예에서는 4개의 글로벌 세그먼트화된 버스가 도시된다.
일 실시예에서, 글로벌 버스들은 3개의 부분으로 세그먼트화될 수 있다. 세그먼트화는 글로벌 버스들의 전체 길이가 글로벌 액세스의 거리에 따라 조정되는 것을 가능하게 한다. 예를 들어, 소비자 1에 의한 자원 4의 액세스는 전체 버스에 걸칠 것이고, 따라서 세그먼트화되지 않을 것이다. 그러나, 소비자 1에 의한 자원 3의 액세스는 전체 버스에 걸치지 않을 것이고, 따라서 글로벌 버스는 자원 3과 자원 4 사이에서 세그먼트화될 수 있다.
도 6의 실시예에서, 상호접속(601)은 4개의 버스를 갖는 것으로 도시된다. 세그먼트화는 예를 들어 3상 버퍼를 통해 구현될 수 있다. 세그먼트화는 버스의 더 빠르고 더 전력 효율적인 전송 특성들을 제공한다. 도 6의 실시예에서, 버스들 각각은 단방향 3상 버퍼들(예로서, 버퍼(602)) 및 양방향 3상 버퍼들(예로서, 버퍼(603))을 포함한다. 양방향 3상 버퍼들은 도 6에서 음영화된다. 버퍼들은 상호접속이 그의 신호 전송 특성들을 개선하기 위해 세그먼트화되는 것을 가능하게 한다. 이러한 세그먼트들은 또한 자원 소비자들에 의해 경쟁되고 할당되어야 하는 자원들을 포함한다. 이러한 프로세스는 아래의 도 7에 도시된다.
도 7은 본 발명의 일 실시예에 따른, 상호접속(601)의 세그먼트들에 대한 요청들이 경쟁되고 할당되는 방법을 나타내는 테이블을 도시한다. 도 7의 테이블의 좌측은 요청들이 사이클 내에 수신될 때 이들이 어떻게 배열되는지를 보여준다. 이 예에서는 8개의 요청이 도시된다. 자원 소비자로부터의 요청이 세그먼트를 예약하기를 원하는 경우, 그 소비자는 요청된 세그먼트의 예약 테이블 내에 1을 넣는다. 예를 들어, 요청 1에 대해, 소비자 1은 자원 3에 액세스하기 위해 세그먼트 1 및 세그먼트 2를 예약하기를 원한다. 따라서, 소비자 1은 세그먼트 1 및 세그먼트 2에 대한 요청 열 내에 플래그 또는 비트를 설정하는 반면, 세그먼트 3에 대한 열은 0으로 유지된다. 이러한 방식으로, 요청들이 열들 내에 추가된다. 요청들은 이들이 글로벌 버스들의 수, 이 예에서는 4개를 초과할 때까지 할당된다. 요청들이 글로벌 버스들의 수를 초과하면, 요청들은 취소된다. 이것은 한도 초과로 인해 취소된 요청 번호 6 및 요청 번호 7에 의해 표시된다.
도 8은 본 발명의 일 실시예에 따른, 점대점 버스에 대한 요청들이 처리되는 방법을 나타내는 테이블을 도시한다. 도 7의 테이블과 달리, 도 8의 테이블은 어떻게 단지 하나의 소비자 및 단지 하나의 자원이 점대점 버스(예로서, 도 2에 도시된 상호접속)를 사용할 수 있는지를 보여준다. 요청들은 점대점 버스들을 통해 자원들을 라우팅하기를 원하는 다수의 조화기로부터 온다. 이 예에서, 점대점 버스는 가능한 소비자 자원 쌍들의 수(예로서, 좌에서 우로 진행하는 6개의 열) 및 위에서 아래로 진행하는 요청들(1-8)의 수를 보여준다. 임의의 주어진 시간에 하나의 자원 소비자 쌍만이 버스를 사용할 수 있으므로, 열은 요청들 모두가 한도 초과로 인해 취소되기 전에 하나의 요청 플래그만을 가질 수 있다. 따라서, 각각의 열에서 제1 요청이 허가되는 반면, 모든 후속 요청들은 한도 초과로 인해 취소된다. 6개의 글로벌 점대점 버스가 존재하므로, 6개의 열이 각각의 사이클에서 6개의 상이한 요청을 수용할 수 있다.
도 9는 본 발명의 일 실시예에 따른, 도 7의 테이블의 기능을 구현하는 예시적인 논리 구현의 도면을 나타낸다. 전술한 바와 같이, 도 7의 테이블은 본 발명의 일 실시예에 따른, 상호접속(601)의 세그먼트들에 대한 요청들이 경쟁되고 할당되는 방법을 도시한다. 구체적으로, 도 9는 도 7의 테이블로부터의 버스 세그먼트 2와 관련된 열을 할당하기 위한 논리를 도시한다.
도 9의 실시예는 복수의 병렬 가산기(901-905)를 도시한다. 한도가 초과되는 경우에 양 요청이 취소된다. 전술한 바와 같이, 세그먼트 2를 구현하는 데 사용될 수 있는 4개의 버스가 존재한다. 처음 4개의 요청이 처리되고 허가될 수 있는데, 그 이유는 이들 모두가 요청에 논리 1을 마킹함으로써 플래그되는 경우에도 이들이 한도를 초과하지 않기 때문이다. 나머지 요청들은 이들이 한도를 초과하는지에 대해 체크되는 것이 필요하다. 이것은 병렬 가산기들(901-905)에 의해 수행된다. 처음 3개의 행 이후에 각각의 가산기는 그 자신과 모든 이전 행들을 가산하고, 한도에 대해 체크한다. 도시된 바와 같이, 가산기가 한도를 초과하는 경우, 요청이 취소된다. 가산기 합계 출력은 또한 어느 특정 버스 세그먼트가 각각의 요청에 할당되는지를 결정한다. 도 9의 실시예에서, 이것은 도시된 바와 같은 버스 세그먼트 번호에 의한다.
도 10은 본 발명의 일 실시예에 따른, 점대점 버스에 대한 요청들이 처리되는 방법의 기능을 구현하는 예시적인 논리 구현의 도면을 나타낸다. 도 8의 테이블은 어떻게 단지 하나의 소비자 및 단지 하나의 자원이 점대점 버스를 사용할 수 있는지를 보여준다. 구체적으로, 도 10은 도 8의 테이블로부터의 버스 열 2-4와 관련된 열을 할당하기 위한 논리를 도시한다.
도 10의 실시예는 도시된 바와 같이 AND 게이트에 결합된 복수의 다중 입력 OR 게이트를 나타낸다. 전술한 바와 같이, 하나의 소비자 및 하나의 자원만이 점대점 버스를 사용할 수 있다. 임의의 주어진 시간에 하나의 자원/소비자 쌍만이 버스를 사용할 수 있으므로, 열은 후속 요청들 모두가 한도 초과로 인해 취소되기 전에 하나의 요청 플래그만을 가질 수 있다. 따라서, 각각의 열에서 제1 요청이 허가되는 반면, 모든 후속 요청들은 한도 초과로 인해 취소된다. 도 10의 실시예에서, 열의 각각의 행은 OR 연산을 통해 열의 모든 이전 행들과 논리적으로 결합되며, 이어서 AND 연산을 통해 그 자신과 논리적으로 결합된다. 따라서, 도시된 바와 같이, 임의의 이전 행이 열을 예약하는 경우, 모든 후속 요청들은 취소된다.
도 11은 본 발명의 일 실시예에 따른 상호접속(1101)의 도면을 나타낸다. 상호접속(1101)은 송신기들 각각 및 수신기들 각각에 의해 공유되는 5개의 공유 상호접속 구조를 포함한다.
도 11의 실시예는 전송 모델 상호접속 구조의 일례를 나타낸다. 예를 들어, 송신기들은 엔진들의 실행 유닛들을 포함한다. 수신기들은 엔진들의 메모리 프래그먼트들 및 레지스터 세그먼트들을 포함한다. 이 모델에서, 송신기들은 예약 가산기들 및 임계치 제한기들에 대해 필요한 요청들을 발행하여 그들의 전송들을 구현하기 위한 자원들을 예약한다. 이러한 자원들은 수신기들 내로의 포트들 및 상호접속(1101)의 복수의 공유 버스를 포함한다.
도 12는 본 발명의 일 실시예에 따른, 도 11의 송신기 모델 상호접속 구조가 기능하는 방법을 나타내는 테이블을 도시한다. 테이블은 모든 송신기들로부터 수신되는 바와 같은 요청들을 나타낸다. 테이블의 우측은 상호접속 할당을 나타낸다. 상호접속(1101)은 5개의 공유 버스를 포함하므로, 처음 5개의 요청이 허가되며, 어떠한 추가적인 요청도 한도 초과로 인해 취소된다. 따라서, 요청 1, 요청 3, 요청 4, 요청 5 및 요청 6이 허가된다. 그러나, 요청 7은 한도 초과로 인해 취소된다.
도 13은 본 발명의 일 실시예에 따른, 공유 버스 상호접속 구조에 대한 요청들이 처리되는 방법의 기능을 구현하는 예시적인 논리 구현의 도면을 나타낸다.
도 13은 상호접속 버스들의 할당이 가산기들(901-905)에 의해 어떻게 처리되는지를 보여준다. 이 논리는 도 12의 테이블을 구현한다. 요청들이 수신될 때, 대응하는 플래그들이 설정된다. 가산기들은 그들 각각의 플래그와 모든 이전 플래그들을 더한다. 플래그들은 이 예에서 5개인 한도를 초과하지 않는 한은 가산기에 의해 그들의 버스 번호와 함께 허가될 것이다. 전술한 바와 같이, 한도를 초과하는 어떠한 요청도 취소된다.
상호접속의 송신기 모델 및 인출 모델은 공통 상호접속 구조 및 공통 경쟁 메커니즘을 이용하여 동시에 지원될 수 있다는 점에 유의한다. 이것은 도 9의 도면에 대한 도 13의 도면의 유사성에 의해 지시된다.
상이한 통신 모델들(송신기, 인출, 조화기 등) 및 상이한 상호접속 기술들(점대점 버스, 멀티버스 및 세그먼트화된 버스 등)에 대한 본 발명에서의 현재 설명들은 본 발명에 적용될 수 있는 최상의 통신 모드들 또는 최상의 상호접속 기술들로서 해석되지 않아야 한다는 점에 유의해야 한다. 이와 달리, 이 분야의 기술자들은 본 발명의 상이한 경쟁, 예약 및 할당 기술들을 임의의 통신 모드 또는 버스 기술과 쉽게 혼합하고 매칭시킬 수 있다.
본 발명의 설명되는 실시예들은 자원들과 나란히 상호접속들을 제공한다는 점에 더 유의해야 한다. 이것은 본 발명을 구현하기 위한 더 넓은 세트의 가능성들을 보여주도록 의도된 일반화된 예시로서 이해되어야 하지만, 본 발명에서 사용되는 바와 같은 상호접속들의 의미는 상이한 코어들 또는 컴퓨트 엔진들 사이의 또는 레지스터 파일들 또는 메모리 프래그먼트들 사이의 데이터 상호접속들로 한정되는 것이 아니라, 자원들에 대한 요청들을 운반하는 제어 상호접속들 및 구조들(즉, 레지스터 파일 포트, 메모리 포트, 어레이 디코더 버스 등)로부터 데이터를 운반하는 물리적 상호접속들도 지칭한다는 점에 유의해야 한다. 이러한 더 넓은 의미는 예를 들어 도 3에 도시되며, 이 도면은 상호접속들을 단지 각각의 레지스터 파일로부터 오는 포트들로서 도시한다.
도 14는 본 발명의 일 실시예에 따른 예시적인 마이크로프로세서 파이프라인(1400)의 도면을 나타낸다. 마이크로프로세서 파이프라인(1400)은 전술한 바와 같이 실행을 포함하는 명령어들을 식별하고 추출하기 위한 프로세스의 기능을 구현하는 인출 모듈(1401)을 포함한다. 도 14의 실시예에서, 인출 모델 뒤에는 디코드 모듈(1402), 할당 모듈(1403), 디스패치 모듈(1404), 실행 모듈(1405) 및 회수 모듈(1406)이 이어진다. 마이크로프로세서 파이프라인(1400)은 전술한 본 발명의 실시예들의 기능을 구현하는 파이프라인의 일례일 뿐이라는 점에 유의해야 한다. 이 분야의 기술자는 전술한 디코드 모듈의 기능을 포함하는 다른 마이크로프로세서 파이프라인들이 구현될 수 있다는 것을 인식할 것이다.
설명의 목적을 위해, 위의 설명은 포괄적이거나 본 발명을 한정하는 것을 의도하지 않는 특정 실시예들을 참조한다. 위의 가르침에 상응하는 많은 변경 및 변형이 가능하다. 실시예들은 이 분야의 다른 기술자들이 그들의 특정 용도들에 적합할 수 있는 바와 같은 다양한 변경들과 함께 본 발명 및 그의 다양한 실시예들을 최상으로 이용하는 것을 가능하게 하기 위해 본 발명의 원리들 및 그의 특정 응용들을 최상으로 설명하도록 선택되고 설명되었다.

Claims (29)

  1. 상호접속 시스템으로서,
    다수의 코드 시퀀스의 실행을 지원하기 위한 데이터를 갖는 복수의 자원;
    상기 다수의 코드 시퀀스의 상기 실행을 구현하기 위한 복수의 엔진;
    상기 복수의 엔진 각각 내의 복수의 자원 소비자;
    상기 데이터에 액세스하고 상기 다수의 코드 시퀀스를 실행하기 위해 상기 복수의 자원 소비자와 상기 복수의 자원을 결합하기 위한 상호접속 구조
    를 포함하고,
    상기 자원 소비자들은 상기 상호접속 구조의 사이클별(per cycle) 이용을 통해 상기 자원들에 액세스하는 상호접속 시스템.
  2. 제1항에 있어서,
    상기 자원 소비자들은 상기 엔진들의 실행 유닛들을 포함하는 상호접속 시스템.
  3. 제1항에 있어서,
    상기 자원들은 메모리 프래그먼트들(fragments)을 포함하는 상호접속 시스템.
  4. 제1항에 있어서,
    상기 자원들은 레지스터 파일 세그먼트들(segments)을 포함하는 상호접속 시스템.
  5. 제1항에 있어서,
    상기 상호접속 구조는 자원 소비자들이 상기 사이클별 이용을 통해 자원들에 액세스하는 복수의 점대점 버스를 포함하는 상호접속 시스템.
  6. 제1항에 있어서,
    상기 상호접속 구조는 자원 소비자들이 상기 사이클별 이용을 통해 자원들에 액세스하는 복수의 세그먼트화된 버스를 포함하는 상호접속 시스템.
  7. 제1항에 있어서,
    상기 상호접속 구조는 메모리 상호접속 구조 및 실행 상호접속 구조를 포함하는 상호접속 시스템.
  8. 제1항에 있어서,
    인접하는 엔진들이 인접하는 자원들로부터의 데이터에 직접 액세스하는 것을 가능하게 하는 복수의 로컬 상호접속 구조를 더 포함하는 상호접속 시스템.
  9. 마이크로프로세서로서,
    다수의 코드 시퀀스의 실행을 지원하기 위한 데이터를 갖는 복수의 자원;
    상기 다수의 코드 시퀀스의 상기 실행을 구현하기 위한 복수의 엔진;
    상기 복수의 엔진 각각 내의 복수의 자원 소비자;
    상기 데이터에 액세스하고 상기 다수의 코드 시퀀스를 실행하기 위해 상기 복수의 자원 소비자와 상기 복수의 자원을 결합하기 위한 상호접속 구조
    를 포함하고,
    상기 자원 소비자들은 상기 상호접속 구조의 사이클별 이용을 통해 상기 자원들에 액세스하는 마이크로프로세서.
  10. 제9항에 있어서,
    상기 자원 소비자들은 상기 엔진들의 실행 유닛들을 포함하는 마이크로프로세서.
  11. 제9항에 있어서,
    상기 자원들은 메모리 프래그먼트들을 포함하는 마이크로프로세서.
  12. 제9항에 있어서,
    상기 자원들은 레지스터 파일 세그먼트들을 포함하는 마이크로프로세서.
  13. 제9항에 있어서,
    상기 상호접속 구조는 자원 소비자들이 상기 사이클별 이용을 통해 자원들에 액세스하는 복수의 점대점 버스를 포함하는 마이크로프로세서.
  14. 제9항에 있어서,
    상기 상호접속 구조는 자원 소비자들이 상기 사이클별 이용을 통해 자원들에 액세스하는 복수의 세그먼트화된 버스를 포함하는 마이크로프로세서.
  15. 제9항에 있어서,
    상기 상호접속 구조는 메모리 상호접속 구조 및 실행 상호접속 구조를 포함하는 마이크로프로세서.
  16. 제9항에 있어서,
    인접하는 엔진들이 인접하는 자원들로부터의 데이터에 직접 액세스하는 것을 가능하게 하는 복수의 로컬 상호접속 구조를 더 포함하는 마이크로프로세서.
  17. 컴퓨터 판독 가능 메모리에 결합된 마이크로프로세서를 갖는 컴퓨터 시스템으로서,
    상기 마이크로프로세서는
    다수의 코드 시퀀스의 실행을 지원하기 위한 데이터를 갖는 복수의 자원;
    상기 다수의 코드 시퀀스의 상기 실행을 구현하기 위한 복수의 엔진;
    상기 복수의 엔진 각각 내의 복수의 자원 소비자;
    상기 데이터에 액세스하고 상기 다수의 코드 시퀀스를 실행하기 위해 상기 복수의 자원 소비자와 상기 복수의 자원을 결합하기 위한 상호접속 구조
    를 포함하고,
    상기 자원 소비자들은 상기 상호접속 구조의 사이클별 이용을 통해 상기 자원들에 액세스하고, 상기 자원 소비자들은 상기 엔진들의 실행 유닛들을 포함하는 컴퓨터 시스템.
  18. 제17항에 있어서,
    상기 자원들은 메모리 프래그먼트들을 포함하는 컴퓨터 시스템.
  19. 제17항에 있어서,
    상기 자원들은 레지스터 파일 세그먼트들을 포함하는 컴퓨터 시스템.
  20. 제17항에 있어서,
    상기 상호접속 구조는 자원 소비자들이 상기 사이클별 이용을 통해 자원들에 액세스하는 복수의 점대점 버스를 포함하는 컴퓨터 시스템.
  21. 제17항에 있어서,
    상기 상호접속 구조는 자원 소비자들이 상기 사이클별 이용을 통해 자원들에 액세스하는 복수의 세그먼트화된 버스를 포함하는 컴퓨터 시스템.
  22. 제17항에 있어서,
    상기 상호접속 구조는 메모리 상호접속 구조 및 실행 상호접속 구조를 포함하는 컴퓨터 시스템.
  23. 제17항에 있어서,
    인접하는 엔진들이 인접하는 자원들로부터의 데이터에 직접 액세스하는 것을 가능하게 하는 복수의 로컬 상호접속 구조를 더 포함하는 컴퓨터 시스템.
  24. 제17항에 있어서,
    상호접속 구조는 인출 모델(fetch model) 상호접속 구조를 포함하는 컴퓨터 시스템.
  25. 제17항에 있어서,
    상기 상호접속 구조는 송신 모델(send model) 상호접속 구조를 포함하는 컴퓨터 시스템.
  26. 제17항에 있어서,
    상기 상호접속 구조는 조화 모델(orchestrate model) 상호접속 구조를 포함하는 컴퓨터 시스템.
  27. 제17항에 있어서,
    상기 복수의 자원에 대한 액세스를 위한 요청들을 합산하고, 가산기 구조 출력 합계들에 따라 각각의 성공적인 요청에 대해 고유 포트를 특정적으로 할당하는 가산기 구조를 더 포함하는 컴퓨터 시스템.
  28. 제17항에 있어서,
    상기 복수의 자원에 대한 액세스를 위한 요청들을 합산하고, 사이클별로 복수의 자원을 중재 및 할당하기 위해 가산기 구조 출력 합계들에 따라 각각의 성공적인 요청에 대해 고유 버스 및 고유 포트를 특정적으로 할당하는 가산기 구조를 더 포함하는 컴퓨터 시스템.
  29. 제17항에 있어서,
    인출 모델, 송신 모델 또는 조화 모델에 따라 기능하고, 상기 복수의 자원에 대한 액세스를 위한 요청들을 합산하고, 사이클별로 복수의 자원을 중재 및 할당하기 위해 가산기 구조 출력 합계들에 따라 그리고 상기 인출 모델, 상기 송신 모델 또는 상기 조화 모델에 따라 각각의 성공적인 요청에 대해 고유 버스 및 고유 포트를 특정적으로 할당하는 가산기 구조를 더 포함하는 컴퓨터 시스템.
KR1020137033566A 2011-05-20 2012-05-18 복수의 엔진에 의해 명령어 시퀀스들의 실행을 지원하기 위한 상호접속 구조 KR101639854B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161488683P 2011-05-20 2011-05-20
US61/488,683 2011-05-20
PCT/US2012/038713 WO2012162189A1 (en) 2011-05-20 2012-05-18 An interconnect structure to support the execution of instruction sequences by a plurality of engines

Publications (2)

Publication Number Publication Date
KR20140030261A true KR20140030261A (ko) 2014-03-11
KR101639854B1 KR101639854B1 (ko) 2016-07-14

Family

ID=47175970

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137033566A KR101639854B1 (ko) 2011-05-20 2012-05-18 복수의 엔진에 의해 명령어 시퀀스들의 실행을 지원하기 위한 상호접속 구조

Country Status (6)

Country Link
US (2) US9442772B2 (ko)
EP (1) EP2710480B1 (ko)
KR (1) KR101639854B1 (ko)
CN (1) CN103649931B (ko)
TW (1) TWI548994B (ko)
WO (1) WO2012162189A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111080510B (zh) * 2019-12-11 2021-02-12 海光信息技术股份有限公司 数据处理装置、方法、芯片、处理器、设备及存储介质
CN112579518B (zh) * 2020-12-24 2023-02-17 成都海光集成电路设计有限公司 网络拓扑结构的构建方法、访问方法及装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050044547A1 (en) * 2003-08-18 2005-02-24 Gipp Stephan Kurt System and method for allocating system resources
US20060143390A1 (en) * 2004-12-29 2006-06-29 Sailesh Kottapalli Fair sharing of a cache in a multi-core/multi-threaded processor by dynamically partitioning of the cache
US7213248B2 (en) * 2002-10-10 2007-05-01 International Business Machines Corporation High speed promotion mechanism suitable for lock acquisition in a multiprocessor data processing system
US7925869B2 (en) * 1999-12-22 2011-04-12 Ubicom, Inc. Instruction-level multithreading according to a predetermined fixed schedule in an embedded processor using zero-time context switching

Family Cites Families (466)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US727487A (en) 1902-10-21 1903-05-05 Swan F Swanson Dumping-car.
US4075704A (en) 1976-07-02 1978-02-21 Floating Point Systems, Inc. Floating point data processor for high speech operation
US4228496A (en) 1976-09-07 1980-10-14 Tandem Computers Incorporated Multiprocessor system
US4245344A (en) 1979-04-02 1981-01-13 Rockwell International Corporation Processing system with dual buses
US4527237A (en) 1979-10-11 1985-07-02 Nanodata Computer Corporation Data processing system
US4414624A (en) 1980-11-19 1983-11-08 The United States Of America As Represented By The Secretary Of The Navy Multiple-microcomputer processing
US4524415A (en) 1982-12-07 1985-06-18 Motorola, Inc. Virtual machine data processor
US4597061B1 (en) 1983-01-03 1998-06-09 Texas Instruments Inc Memory system using pipleline circuitry for improved system
US4577273A (en) 1983-06-06 1986-03-18 Sperry Corporation Multiple microcomputer system for digital computers
US4682281A (en) 1983-08-30 1987-07-21 Amdahl Corporation Data storage unit employing translation lookaside buffer pointer
US4600986A (en) 1984-04-02 1986-07-15 Sperry Corporation Pipelined split stack with high performance interleaved decode
US4633434A (en) 1984-04-02 1986-12-30 Sperry Corporation High performance storage unit
JPS6140643A (ja) 1984-07-31 1986-02-26 Hitachi Ltd システムの資源割当て制御方式
US4835680A (en) 1985-03-15 1989-05-30 Xerox Corporation Adaptive processor array capable of learning variable associations useful in recognizing classes of inputs
JPS6289149A (ja) 1985-10-15 1987-04-23 Agency Of Ind Science & Technol 多ポ−トメモリシステム
JPH0658650B2 (ja) 1986-03-14 1994-08-03 株式会社日立製作所 仮想計算機システム
US4920477A (en) 1987-04-20 1990-04-24 Multiflow Computer, Inc. Virtual address table look aside buffer miss recovery method and apparatus
US4943909A (en) 1987-07-08 1990-07-24 At&T Bell Laboratories Computational origami
US5339398A (en) 1989-07-31 1994-08-16 North American Philips Corporation Memory architecture and method of data organization optimized for hashing
US5471593A (en) 1989-12-11 1995-11-28 Branigin; Michael H. Computer processor with an efficient means of executing many instructions simultaneously
US5197130A (en) 1989-12-29 1993-03-23 Supercomputer Systems Limited Partnership Cluster architecture for a highly parallel scalar/vector multiprocessor system
US5317754A (en) 1990-10-23 1994-05-31 International Business Machines Corporation Method and apparatus for enabling an interpretive execution subset
US5317705A (en) 1990-10-24 1994-05-31 International Business Machines Corporation Apparatus and method for TLB purge reduction in a multi-level machine system
US6282583B1 (en) * 1991-06-04 2001-08-28 Silicon Graphics, Inc. Method and apparatus for memory access in a matrix processor computer
US5539911A (en) 1991-07-08 1996-07-23 Seiko Epson Corporation High-performance, superscalar-based computer system with out-of-order instruction execution
JPH0820949B2 (ja) 1991-11-26 1996-03-04 松下電器産業株式会社 情報処理装置
GB2277181B (en) 1991-12-23 1995-12-13 Intel Corp Interleaved cache for multiple accesses per clock in a microprocessor
KR100309566B1 (ko) 1992-04-29 2001-12-15 리패치 파이프라인프로세서에서다중명령어를무리짓고,그룹화된명령어를동시에발행하고,그룹화된명령어를실행시키는방법및장치
DE69308548T2 (de) 1992-05-01 1997-06-12 Seiko Epson Corp Vorrichtung und verfahren zum befehlsabschluss in einem superskalaren prozessor.
DE69329260T2 (de) 1992-06-25 2001-02-22 Canon Kk Gerät zum Multiplizieren von Ganzzahlen mit vielen Ziffern
JPH0637202A (ja) 1992-07-20 1994-02-10 Mitsubishi Electric Corp マイクロ波ic用パッケージ
JPH06110781A (ja) 1992-09-30 1994-04-22 Nec Corp キャッシュメモリ装置
US5493660A (en) 1992-10-06 1996-02-20 Hewlett-Packard Company Software assisted hardware TLB miss handler
US5513335A (en) 1992-11-02 1996-04-30 Sgs-Thomson Microelectronics, Inc. Cache tag memory having first and second single-port arrays and a dual-port array
US5819088A (en) 1993-03-25 1998-10-06 Intel Corporation Method and apparatus for scheduling instructions for execution on a multi-issue architecture computer
JPH0784883A (ja) 1993-09-17 1995-03-31 Hitachi Ltd 仮想計算機システムのアドレス変換バッファパージ方法
US6948172B1 (en) 1993-09-21 2005-09-20 Microsoft Corporation Preemptive multi-tasking with cooperative groups of tasks
US5469376A (en) 1993-10-14 1995-11-21 Abdallah; Mohammad A. F. F. Digital circuit for the evaluation of mathematical expressions
US5517651A (en) 1993-12-29 1996-05-14 Intel Corporation Method and apparatus for loading a segment register in a microprocessor capable of operating in multiple modes
US5761476A (en) 1993-12-30 1998-06-02 Intel Corporation Non-clocked early read for back-to-back scheduling of instructions
US5956753A (en) 1993-12-30 1999-09-21 Intel Corporation Method and apparatus for handling speculative memory access operations
JP3048498B2 (ja) 1994-04-13 2000-06-05 株式会社東芝 半導体記憶装置
JPH07287668A (ja) 1994-04-19 1995-10-31 Hitachi Ltd データ処理装置
CN1084005C (zh) 1994-06-27 2002-05-01 国际商业机器公司 用于动态控制地址空间分配的方法和设备
US5548742A (en) 1994-08-11 1996-08-20 Intel Corporation Method and apparatus for combining a direct-mapped cache and a multiple-way cache in a cache memory
US5813031A (en) 1994-09-21 1998-09-22 Industrial Technology Research Institute Caching tag for a large scale cache computer memory system
US5640534A (en) 1994-10-05 1997-06-17 International Business Machines Corporation Method and system for concurrent access in a data cache array utilizing multiple match line selection paths
US5835951A (en) 1994-10-18 1998-11-10 National Semiconductor Branch processing unit with target cache read prioritization protocol for handling multiple hits
JP3569014B2 (ja) 1994-11-25 2004-09-22 富士通株式会社 マルチコンテキストをサポートするプロセッサおよび処理方法
US5724565A (en) 1995-02-03 1998-03-03 International Business Machines Corporation Method and system for processing first and second sets of instructions by first and second types of processing systems
US5651124A (en) 1995-02-14 1997-07-22 Hal Computer Systems, Inc. Processor structure and method for aggressively scheduling long latency instructions including load/store instructions while maintaining precise state
US5675759A (en) 1995-03-03 1997-10-07 Shebanow; Michael C. Method and apparatus for register management using issue sequence prior physical register and register association validity information
US5634068A (en) 1995-03-31 1997-05-27 Sun Microsystems, Inc. Packet switched cache coherent multiprocessor system
US5751982A (en) 1995-03-31 1998-05-12 Apple Computer, Inc. Software emulation system with dynamic translation of emulated instructions for increased processing speed
US6209085B1 (en) 1995-05-05 2001-03-27 Intel Corporation Method and apparatus for performing process switching in multiprocessor computer systems
US6643765B1 (en) 1995-08-16 2003-11-04 Microunity Systems Engineering, Inc. Programmable processor with group floating point operations
US5710902A (en) 1995-09-06 1998-01-20 Intel Corporation Instruction dependency chain indentifier
US6341324B1 (en) 1995-10-06 2002-01-22 Lsi Logic Corporation Exception processing in superscalar microprocessor
US5864657A (en) 1995-11-29 1999-01-26 Texas Micro, Inc. Main memory system and checkpointing protocol for fault-tolerant computer system
US5983327A (en) 1995-12-01 1999-11-09 Nortel Networks Corporation Data path architecture and arbitration scheme for providing access to a shared system resource
US5793941A (en) 1995-12-04 1998-08-11 Advanced Micro Devices, Inc. On-chip primary cache testing circuit and test method
US5911057A (en) 1995-12-19 1999-06-08 Texas Instruments Incorporated Superscalar microprocessor having combined register and memory renaming circuits, systems, and methods
US5699537A (en) 1995-12-22 1997-12-16 Intel Corporation Processor microarchitecture for efficient dynamic scheduling and execution of chains of dependent instructions
US6882177B1 (en) 1996-01-10 2005-04-19 Altera Corporation Tristate structures for programmable logic devices
US5754818A (en) 1996-03-22 1998-05-19 Sun Microsystems, Inc. Architecture and method for sharing TLB entries through process IDS
US5904892A (en) 1996-04-01 1999-05-18 Saint-Gobain/Norton Industrial Ceramics Corp. Tape cast silicon carbide dummy wafer
US5752260A (en) 1996-04-29 1998-05-12 International Business Machines Corporation High-speed, multiple-port, interleaved cache with arbitration of multiple access addresses
US5806085A (en) 1996-05-01 1998-09-08 Sun Microsystems, Inc. Method for non-volatile caching of network and CD-ROM file accesses using a cache directory, pointers, file name conversion, a local hard disk, and separate small database
US5829028A (en) 1996-05-06 1998-10-27 Advanced Micro Devices, Inc. Data cache configured to store data in a use-once manner
US6108769A (en) 1996-05-17 2000-08-22 Advanced Micro Devices, Inc. Dependency table for reducing dependency checking hardware
US5881277A (en) 1996-06-13 1999-03-09 Texas Instruments Incorporated Pipelined microprocessor with branch misprediction cache circuits, systems and methods
US5860146A (en) 1996-06-25 1999-01-12 Sun Microsystems, Inc. Auxiliary translation lookaside buffer for assisting in accessing data in remote address spaces
US5903760A (en) 1996-06-27 1999-05-11 Intel Corporation Method and apparatus for translating a conditional instruction compatible with a first instruction set architecture (ISA) into a conditional instruction compatible with a second ISA
US5974506A (en) 1996-06-28 1999-10-26 Digital Equipment Corporation Enabling mirror, nonmirror and partial mirror cache modes in a dual cache system
US6167490A (en) 1996-09-20 2000-12-26 University Of Washington Using global memory information to manage memory in a computer network
KR19980032776A (ko) 1996-10-16 1998-07-25 가나이 츠토무 데이타 프로세서 및 데이타 처리시스템
KR19990076967A (ko) 1996-11-04 1999-10-25 요트.게.아. 롤페즈 처리 장치 및 메모리내의 명령 판독
US6253316B1 (en) 1996-11-19 2001-06-26 Advanced Micro Devices, Inc. Three state branch history using one bit in a branch prediction mechanism
US5978906A (en) 1996-11-19 1999-11-02 Advanced Micro Devices, Inc. Branch selectors associated with byte ranges within an instruction cache for rapidly identifying branch predictions
US5903750A (en) 1996-11-20 1999-05-11 Institute For The Development Of Emerging Architectures, L.L.P. Dynamic branch prediction for branch instructions with multiple targets
US6212542B1 (en) 1996-12-16 2001-04-03 International Business Machines Corporation Method and system for executing a program within a multiscalar processor by processing linked thread descriptors
US6134634A (en) 1996-12-20 2000-10-17 Texas Instruments Incorporated Method and apparatus for preemptive cache write-back
US5918251A (en) 1996-12-23 1999-06-29 Intel Corporation Method and apparatus for preloading different default address translation attributes
US6065105A (en) 1997-01-08 2000-05-16 Intel Corporation Dependency matrix
US6016540A (en) 1997-01-08 2000-01-18 Intel Corporation Method and apparatus for scheduling instructions in waves
US5802602A (en) 1997-01-17 1998-09-01 Intel Corporation Method and apparatus for performing reads of related data from a set-associative cache memory
US6088780A (en) 1997-03-31 2000-07-11 Institute For The Development Of Emerging Architecture, L.L.C. Page table walker that uses at least one of a default page size and a page size selected for a virtual address space to position a sliding field in a virtual address
US6075938A (en) 1997-06-10 2000-06-13 The Board Of Trustees Of The Leland Stanford Junior University Virtual machine monitors for scalable multiprocessors
US6073230A (en) 1997-06-11 2000-06-06 Advanced Micro Devices, Inc. Instruction fetch unit configured to provide sequential way prediction for sequential instruction fetches
JPH1124929A (ja) 1997-06-30 1999-01-29 Sony Corp 演算処理装置およびその方法
US6128728A (en) * 1997-08-01 2000-10-03 Micron Technology, Inc. Virtual shadow registers and virtual register windows
US6170051B1 (en) 1997-08-01 2001-01-02 Micron Technology, Inc. Apparatus and method for program level parallelism in a VLIW processor
US6085315A (en) 1997-09-12 2000-07-04 Siemens Aktiengesellschaft Data processing device with loop pipeline
US6101577A (en) 1997-09-15 2000-08-08 Advanced Micro Devices, Inc. Pipelined instruction cache and branch prediction mechanism therefor
US5901294A (en) 1997-09-18 1999-05-04 International Business Machines Corporation Method and system for bus arbitration in a multiprocessor system utilizing simultaneous variable-width bus access
US6185660B1 (en) 1997-09-23 2001-02-06 Hewlett-Packard Company Pending access queue for providing data to a target register during an intermediate pipeline phase after a computer cache miss
US5905509A (en) 1997-09-30 1999-05-18 Compaq Computer Corp. Accelerated Graphics Port two level Gart cache having distributed first level caches
US6226732B1 (en) 1997-10-02 2001-05-01 Hitachi Micro Systems, Inc. Memory system architecture
US5922065A (en) 1997-10-13 1999-07-13 Institute For The Development Of Emerging Architectures, L.L.C. Processor utilizing a template field for encoding instruction sequences in a wide-word format
US6178482B1 (en) 1997-11-03 2001-01-23 Brecis Communications Virtual register sets
US6021484A (en) 1997-11-14 2000-02-01 Samsung Electronics Co., Ltd. Dual instruction set architecture
US6256728B1 (en) 1997-11-17 2001-07-03 Advanced Micro Devices, Inc. Processor configured to selectively cancel instructions from its pipeline responsive to a predicted-taken short forward branch instruction
US6260131B1 (en) 1997-11-18 2001-07-10 Intrinsity, Inc. Method and apparatus for TLB memory ordering
US6016533A (en) 1997-12-16 2000-01-18 Advanced Micro Devices, Inc. Way prediction logic for cache array
US6219776B1 (en) 1998-03-10 2001-04-17 Billions Of Operations Per Second Merged array controller and processing element
US6609189B1 (en) 1998-03-12 2003-08-19 Yale University Cycle segmented prefix circuits
JP3657424B2 (ja) 1998-03-20 2005-06-08 松下電器産業株式会社 番組情報を放送するセンター装置と端末装置
US6216215B1 (en) 1998-04-02 2001-04-10 Intel Corporation Method and apparatus for senior loads
US6157998A (en) 1998-04-03 2000-12-05 Motorola Inc. Method for performing branch prediction and resolution of two or more branch instructions within two or more branch prediction buffers
US6205545B1 (en) 1998-04-30 2001-03-20 Hewlett-Packard Company Method and apparatus for using static branch predictions hints with dynamically translated code traces to improve performance
US6115809A (en) 1998-04-30 2000-09-05 Hewlett-Packard Company Compiling strong and weak branching behavior instruction blocks to separate caches for dynamic and static prediction
US6256727B1 (en) 1998-05-12 2001-07-03 International Business Machines Corporation Method and system for fetching noncontiguous instructions in a single clock cycle
JPH11338710A (ja) 1998-05-28 1999-12-10 Toshiba Corp 複数種の命令セットを持つプロセッサのためのコンパイル方法ならびに装置および同方法がプログラムされ記録される記録媒体
US6272616B1 (en) 1998-06-17 2001-08-07 Agere Systems Guardian Corp. Method and apparatus for executing multiple instruction streams in a digital processor with multiple data paths
US6988183B1 (en) 1998-06-26 2006-01-17 Derek Chi-Lan Wong Methods for increasing instruction-level parallelism in microprocessors and digital system
US6260138B1 (en) 1998-07-17 2001-07-10 Sun Microsystems, Inc. Method and apparatus for branch instruction processing in a processor
US6122656A (en) 1998-07-31 2000-09-19 Advanced Micro Devices, Inc. Processor configured to map logical register numbers to physical register numbers using virtual register numbers
US6272662B1 (en) 1998-08-04 2001-08-07 International Business Machines Corporation Distributed storage system using front-end and back-end locking
JP2000057054A (ja) 1998-08-12 2000-02-25 Fujitsu Ltd 高速アドレス変換システム
US8631066B2 (en) 1998-09-10 2014-01-14 Vmware, Inc. Mechanism for providing virtual machines for use by multiple users
US6339822B1 (en) 1998-10-02 2002-01-15 Advanced Micro Devices, Inc. Using padded instructions in a block-oriented cache
US6332189B1 (en) 1998-10-16 2001-12-18 Intel Corporation Branch prediction architecture
GB9825102D0 (en) 1998-11-16 1999-01-13 Insignia Solutions Plc Computer system
JP3110404B2 (ja) 1998-11-18 2000-11-20 甲府日本電気株式会社 マイクロプロセッサ装置及びそのソフトウェア命令高速化方法並びにその制御プログラムを記録した記録媒体
US6490673B1 (en) 1998-11-27 2002-12-03 Matsushita Electric Industrial Co., Ltd Processor, compiling apparatus, and compile program recorded on a recording medium
US6519682B2 (en) 1998-12-04 2003-02-11 Stmicroelectronics, Inc. Pipelined non-blocking level two cache system with inherent transaction collision-avoidance
US6477562B2 (en) 1998-12-16 2002-11-05 Clearwater Networks, Inc. Prioritized instruction scheduling for multi-streaming processors
US7020879B1 (en) 1998-12-16 2006-03-28 Mips Technologies, Inc. Interrupt and exception handling for multi-streaming digital processors
US6247097B1 (en) 1999-01-22 2001-06-12 International Business Machines Corporation Aligned instruction cache handling of instruction fetches across multiple predicted branch instructions
US6321298B1 (en) 1999-01-25 2001-11-20 International Business Machines Corporation Full cache coherency across multiple raid controllers
JP3842474B2 (ja) 1999-02-02 2006-11-08 株式会社ルネサステクノロジ データ処理装置
US6327650B1 (en) 1999-02-12 2001-12-04 Vsli Technology, Inc. Pipelined multiprocessing with upstream processor concurrently writing to local register and to register of downstream processor
US6732220B2 (en) 1999-02-17 2004-05-04 Elbrus International Method for emulating hardware features of a foreign architecture in a host operating system environment
US6668316B1 (en) 1999-02-17 2003-12-23 Elbrus International Limited Method and apparatus for conflict-free execution of integer and floating-point operations with a common register file
US6418530B2 (en) 1999-02-18 2002-07-09 Hewlett-Packard Company Hardware/software system for instruction profiling and trace selection using branch history information for branch predictions
US6437789B1 (en) 1999-02-19 2002-08-20 Evans & Sutherland Computer Corporation Multi-level cache controller
US6850531B1 (en) * 1999-02-23 2005-02-01 Alcatel Multi-service network switch
US6212613B1 (en) 1999-03-22 2001-04-03 Cisco Technology, Inc. Methods and apparatus for reusing addresses in a computer
US6529928B1 (en) 1999-03-23 2003-03-04 Silicon Graphics, Inc. Floating-point adder performing floating-point and integer operations
US6449671B1 (en) 1999-06-09 2002-09-10 Ati International Srl Method and apparatus for busing data elements
US6473833B1 (en) 1999-07-30 2002-10-29 International Business Machines Corporation Integrated cache and directory structure for multi-level caches
US6643770B1 (en) 1999-09-16 2003-11-04 Intel Corporation Branch misprediction recovery using a side memory
US6704822B1 (en) 1999-10-01 2004-03-09 Sun Microsystems, Inc. Arbitration protocol for a shared data cache
US6772325B1 (en) 1999-10-01 2004-08-03 Hitachi, Ltd. Processor architecture and operation for exploiting improved branch control instruction
US6457120B1 (en) 1999-11-01 2002-09-24 International Business Machines Corporation Processor and method including a cache having confirmation bits for improving address predictable branch instruction target predictions
US7441110B1 (en) 1999-12-10 2008-10-21 International Business Machines Corporation Prefetching using future branch path information derived from branch prediction
US7107434B2 (en) 1999-12-20 2006-09-12 Board Of Regents, The University Of Texas System, method and apparatus for allocating hardware resources using pseudorandom sequences
US6557095B1 (en) 1999-12-27 2003-04-29 Intel Corporation Scheduling operations using a dependency matrix
KR100747128B1 (ko) 2000-01-03 2007-08-09 어드밴스드 마이크로 디바이시즈, 인코포레이티드 발행 후에 명령의 비투기적 성질을 발견하고 상기 명령을 재발행하는 스케줄러
US6542984B1 (en) 2000-01-03 2003-04-01 Advanced Micro Devices, Inc. Scheduler capable of issuing and reissuing dependency chains
US6594755B1 (en) 2000-01-04 2003-07-15 National Semiconductor Corporation System and method for interleaved execution of multiple independent threads
US6728872B1 (en) 2000-02-04 2004-04-27 International Business Machines Corporation Method and apparatus for verifying that instructions are pipelined in correct architectural sequence
GB0002848D0 (en) 2000-02-08 2000-03-29 Siroyan Limited Communicating instruction results in processors and compiling methods for processors
GB2365661A (en) 2000-03-10 2002-02-20 British Telecomm Allocating switch requests within a packet switch
US6615340B1 (en) 2000-03-22 2003-09-02 Wilmot, Ii Richard Byron Extended operand management indicator structure and method
US6604187B1 (en) 2000-06-19 2003-08-05 Advanced Micro Devices, Inc. Providing global translations with address space numbers
US6557083B1 (en) 2000-06-30 2003-04-29 Intel Corporation Memory system for multiple data types
US6704860B1 (en) 2000-07-26 2004-03-09 International Business Machines Corporation Data processing system and method for fetching instruction blocks in response to a detected block sequence
US7206925B1 (en) 2000-08-18 2007-04-17 Sun Microsystems, Inc. Backing Register File for processors
US6728866B1 (en) 2000-08-31 2004-04-27 International Business Machines Corporation Partitioned issue queue and allocation strategy
US6721874B1 (en) 2000-10-12 2004-04-13 International Business Machines Corporation Method and system for dynamically shared completion table supporting multiple threads in a processing system
US7757065B1 (en) 2000-11-09 2010-07-13 Intel Corporation Instruction segment recording scheme
JP2002185513A (ja) * 2000-12-18 2002-06-28 Hitachi Ltd パケット通信ネットワークおよびパケット転送制御方法
US6877089B2 (en) 2000-12-27 2005-04-05 International Business Machines Corporation Branch prediction apparatus and process for restoring replaced branch history for use in future branch predictions for an executing program
US6907600B2 (en) 2000-12-27 2005-06-14 Intel Corporation Virtual translation lookaside buffer
US6647466B2 (en) 2001-01-25 2003-11-11 Hewlett-Packard Development Company, L.P. Method and apparatus for adaptively bypassing one or more levels of a cache hierarchy
FR2820921A1 (fr) * 2001-02-14 2002-08-16 Canon Kk Dispositif et procede de transmission dans un commutateur
US6985951B2 (en) * 2001-03-08 2006-01-10 International Business Machines Corporation Inter-partition message passing method, system and program product for managing workload in a partitioned processing environment
US6950927B1 (en) 2001-04-13 2005-09-27 The United States Of America As Represented By The Secretary Of The Navy System and method for instruction-level parallelism in a programmable multiple network processor environment
US7200740B2 (en) 2001-05-04 2007-04-03 Ip-First, Llc Apparatus and method for speculatively performing a return instruction in a microprocessor
US7707397B2 (en) 2001-05-04 2010-04-27 Via Technologies, Inc. Variable group associativity branch target address cache delivering multiple target addresses per cache line
US6658549B2 (en) 2001-05-22 2003-12-02 Hewlett-Packard Development Company, Lp. Method and system allowing a single entity to manage memory comprising compressed and uncompressed data
US6985591B2 (en) * 2001-06-29 2006-01-10 Intel Corporation Method and apparatus for distributing keys for decrypting and re-encrypting publicly distributed media
US7203824B2 (en) 2001-07-03 2007-04-10 Ip-First, Llc Apparatus and method for handling BTAC branches that wrap across instruction cache lines
US7024545B1 (en) 2001-07-24 2006-04-04 Advanced Micro Devices, Inc. Hybrid branch prediction device with two levels of branch prediction cache
US6954846B2 (en) 2001-08-07 2005-10-11 Sun Microsystems, Inc. Microprocessor and method for giving each thread exclusive access to one register file in a multi-threading mode and for giving an active thread access to multiple register files in a single thread mode
US6718440B2 (en) 2001-09-28 2004-04-06 Intel Corporation Memory access latency hiding with hint buffer
US7150021B1 (en) 2001-10-12 2006-12-12 Palau Acquisition Corporation (Delaware) Method and system to allocate resources within an interconnect device according to a resource allocation table
US7117347B2 (en) 2001-10-23 2006-10-03 Ip-First, Llc Processor including fallback branch prediction mechanism for far jump and far call instructions
US7272832B2 (en) 2001-10-25 2007-09-18 Hewlett-Packard Development Company, L.P. Method of protecting user process data in a secure platform inaccessible to the operating system and other tasks on top of the secure platform
US6964043B2 (en) 2001-10-30 2005-11-08 Intel Corporation Method, apparatus, and system to optimize frequently executed code and to use compiler transformation and hardware support to handle infrequently executed code
GB2381886B (en) 2001-11-07 2004-06-23 Sun Microsystems Inc Computer system with virtual memory and paging mechanism
US7092869B2 (en) 2001-11-14 2006-08-15 Ronald Hilton Memory address prediction under emulation
US7363467B2 (en) 2002-01-03 2008-04-22 Intel Corporation Dependence-chain processing using trace descriptors having dependency descriptors
US6640333B2 (en) * 2002-01-10 2003-10-28 Lsi Logic Corporation Architecture for a sea of platforms
US7055021B2 (en) 2002-02-05 2006-05-30 Sun Microsystems, Inc. Out-of-order processor that reduces mis-speculation using a replay scoreboard
US7331040B2 (en) 2002-02-06 2008-02-12 Transitive Limted Condition code flag emulation for program code conversion
US6839816B2 (en) 2002-02-26 2005-01-04 International Business Machines Corporation Shared cache line update mechanism
US6731292B2 (en) 2002-03-06 2004-05-04 Sun Microsystems, Inc. System and method for controlling a number of outstanding data transactions within an integrated circuit
JP3719509B2 (ja) 2002-04-01 2005-11-24 株式会社ソニー・コンピュータエンタテインメント シリアル演算パイプライン、演算装置、算術論理演算回路およびシリアル演算パイプラインによる演算方法
US7565509B2 (en) 2002-04-17 2009-07-21 Microsoft Corporation Using limits on address translation to control access to an addressable entity
US6920530B2 (en) 2002-04-23 2005-07-19 Sun Microsystems, Inc. Scheme for reordering instructions via an instruction caching mechanism
US7113488B2 (en) 2002-04-24 2006-09-26 International Business Machines Corporation Reconfigurable circular bus
US7281055B2 (en) * 2002-05-28 2007-10-09 Newisys, Inc. Routing mechanisms in systems having multiple multi-processor clusters
US7117346B2 (en) 2002-05-31 2006-10-03 Freescale Semiconductor, Inc. Data processing system having multiple register contexts and method therefor
US6938151B2 (en) 2002-06-04 2005-08-30 International Business Machines Corporation Hybrid branch prediction using a global selection counter and a prediction method comparison table
US8024735B2 (en) 2002-06-14 2011-09-20 Intel Corporation Method and apparatus for ensuring fairness and forward progress when executing multiple threads of execution
JP3845043B2 (ja) 2002-06-28 2006-11-15 富士通株式会社 命令フェッチ制御装置
JP3982353B2 (ja) 2002-07-12 2007-09-26 日本電気株式会社 フォルトトレラントコンピュータ装置、その再同期化方法及び再同期化プログラム
US6944744B2 (en) 2002-08-27 2005-09-13 Advanced Micro Devices, Inc. Apparatus and method for independently schedulable functional units with issue lock mechanism in a processor
US6950925B1 (en) 2002-08-28 2005-09-27 Advanced Micro Devices, Inc. Scheduler for use in a microprocessor that supports data-speculative execution
US7546422B2 (en) 2002-08-28 2009-06-09 Intel Corporation Method and apparatus for the synchronization of distributed caches
TW200408242A (en) * 2002-09-06 2004-05-16 Matsushita Electric Ind Co Ltd Home terminal apparatus and communication system
US6895491B2 (en) 2002-09-26 2005-05-17 Hewlett-Packard Development Company, L.P. Memory addressing for a virtual machine implementation on a computer processor supporting virtual hash-page-table searching
US7334086B2 (en) * 2002-10-08 2008-02-19 Rmi Corporation Advanced processor with system on a chip interconnect technology
US6829698B2 (en) * 2002-10-10 2004-12-07 International Business Machines Corporation Method, apparatus and system for acquiring a global promotion facility utilizing a data-less transaction
US7222218B2 (en) 2002-10-22 2007-05-22 Sun Microsystems, Inc. System and method for goal-based scheduling of blocks of code for concurrent execution
US20040103251A1 (en) 2002-11-26 2004-05-27 Mitchell Alsup Microprocessor including a first level cache and a second level cache having different cache line sizes
WO2004051449A2 (en) 2002-12-04 2004-06-17 Koninklijke Philips Electronics N.V. Register file gating to reduce microprocessor power dissipation
US6981083B2 (en) 2002-12-05 2005-12-27 International Business Machines Corporation Processor virtualization mechanism via an enhanced restoration of hard architected states
US7073042B2 (en) 2002-12-12 2006-07-04 Intel Corporation Reclaiming existing fields in address translation data structures to extend control over memory accesses
US20040117594A1 (en) 2002-12-13 2004-06-17 Vanderspek Julius Memory management method
US20040122887A1 (en) 2002-12-20 2004-06-24 Macy William W. Efficient multiplication of small matrices using SIMD registers
US7191349B2 (en) 2002-12-26 2007-03-13 Intel Corporation Mechanism for processor power state aware distribution of lowest priority interrupt
US20040139441A1 (en) 2003-01-09 2004-07-15 Kabushiki Kaisha Toshiba Processor, arithmetic operation processing method, and priority determination method
US6925421B2 (en) * 2003-01-09 2005-08-02 International Business Machines Corporation Method, system, and computer program product for estimating the number of consumers that place a load on an individual resource in a pool of physically distributed resources
US7178010B2 (en) 2003-01-16 2007-02-13 Ip-First, Llc Method and apparatus for correcting an internal call/return stack in a microprocessor that detects from multiple pipeline stages incorrect speculative update of the call/return stack
US7089374B2 (en) 2003-02-13 2006-08-08 Sun Microsystems, Inc. Selectively unmarking load-marked cache lines during transactional program execution
US7278030B1 (en) 2003-03-03 2007-10-02 Vmware, Inc. Virtualization system for computers having multiple protection mechanisms
US6912644B1 (en) 2003-03-06 2005-06-28 Intel Corporation Method and apparatus to steer memory access operations in a virtual memory system
US7111145B1 (en) 2003-03-25 2006-09-19 Vmware, Inc. TLB miss fault handler and method for accessing multiple page tables
US7143273B2 (en) 2003-03-31 2006-11-28 Intel Corporation Method and apparatus for dynamic branch prediction utilizing multiple stew algorithms for indexing a global history
CN1214666C (zh) * 2003-04-07 2005-08-10 华为技术有限公司 位置业务中限制位置信息请求流量的方法
US7058764B2 (en) 2003-04-14 2006-06-06 Hewlett-Packard Development Company, L.P. Method of adaptive cache partitioning to increase host I/O performance
EP1471421A1 (en) 2003-04-24 2004-10-27 STMicroelectronics Limited Speculative load instruction control
US7469407B2 (en) 2003-04-24 2008-12-23 International Business Machines Corporation Method for resource balancing using dispatch flush in a simultaneous multithread processor
US7290261B2 (en) 2003-04-24 2007-10-30 International Business Machines Corporation Method and logical apparatus for rename register reallocation in a simultaneous multi-threaded (SMT) processor
US7139855B2 (en) * 2003-04-24 2006-11-21 International Business Machines Corporation High performance synchronization of resource allocation in a logically-partitioned system
US7055003B2 (en) 2003-04-25 2006-05-30 International Business Machines Corporation Data cache scrub mechanism for large L2/L3 data cache structures
US7007108B2 (en) 2003-04-30 2006-02-28 Lsi Logic Corporation System method for use of hardware semaphores for resource release notification wherein messages comprises read-modify-write operation and address
US7861062B2 (en) 2003-06-25 2010-12-28 Koninklijke Philips Electronics N.V. Data processing device with instruction controlled clock speed
JP2005032018A (ja) 2003-07-04 2005-02-03 Semiconductor Energy Lab Co Ltd 遺伝的アルゴリズムを用いたマイクロプロセッサ
US7149872B2 (en) 2003-07-10 2006-12-12 Transmeta Corporation System and method for identifying TLB entries associated with a physical address of a specified range
US7089398B2 (en) 2003-07-31 2006-08-08 Silicon Graphics, Inc. Address translation using a page size tag
US7133950B2 (en) 2003-08-19 2006-11-07 Sun Microsystems, Inc. Request arbitration in multi-core processor
US7610473B2 (en) 2003-08-28 2009-10-27 Mips Technologies, Inc. Apparatus, method, and instruction for initiation of concurrent instruction streams in a multithreading microprocessor
US7594089B2 (en) 2003-08-28 2009-09-22 Mips Technologies, Inc. Smart memory based synchronization controller for a multi-threaded multiprocessor SoC
US9032404B2 (en) 2003-08-28 2015-05-12 Mips Technologies, Inc. Preemptive multitasking employing software emulation of directed exceptions in a multithreading processor
US7849297B2 (en) 2003-08-28 2010-12-07 Mips Technologies, Inc. Software emulation of directed exceptions in a multithreading processor
US7111126B2 (en) 2003-09-24 2006-09-19 Arm Limited Apparatus and method for loading data values
JP4057989B2 (ja) * 2003-09-26 2008-03-05 株式会社東芝 スケジューリング方法および情報処理システム
US7047322B1 (en) 2003-09-30 2006-05-16 Unisys Corporation System and method for performing conflict resolution and flow control in a multiprocessor system
FR2860313B1 (fr) 2003-09-30 2005-11-04 Commissariat Energie Atomique Composant a architecture reconfigurable dynamiquement
US7373637B2 (en) 2003-09-30 2008-05-13 International Business Machines Corporation Method and apparatus for counting instruction and memory location ranges
TWI281121B (en) 2003-10-06 2007-05-11 Ip First Llc Apparatus and method for selectively overriding return stack prediction in response to detection of non-standard return sequence
US7395372B2 (en) 2003-11-14 2008-07-01 International Business Machines Corporation Method and system for providing cache set selection which is power optimized
US7243170B2 (en) 2003-11-24 2007-07-10 International Business Machines Corporation Method and circuit for reading and writing an instruction buffer
US20050120191A1 (en) 2003-12-02 2005-06-02 Intel Corporation (A Delaware Corporation) Checkpoint-based register reclamation
US20050132145A1 (en) * 2003-12-15 2005-06-16 Finisar Corporation Contingent processor time division multiple access of memory in a multi-processor system to allow supplemental memory consumer access
US7310722B2 (en) 2003-12-18 2007-12-18 Nvidia Corporation Across-thread out of order instruction dispatch in a multithreaded graphics processor
US7293164B2 (en) 2004-01-14 2007-11-06 International Business Machines Corporation Autonomic method and apparatus for counting branch instructions to generate branch statistics meant to improve branch predictions
US20050204118A1 (en) * 2004-02-27 2005-09-15 National Chiao Tung University Method for inter-cluster communication that employs register permutation
US20050216920A1 (en) 2004-03-24 2005-09-29 Vijay Tewari Use of a virtual machine to emulate a hardware device
US8055885B2 (en) 2004-03-29 2011-11-08 Japan Science And Technology Agency Data processing device for implementing instruction reuse, and digital data storage medium for storing a data processing program for implementing instruction reuse
GB0407384D0 (en) * 2004-03-31 2004-05-05 Ignios Ltd Resource management in a multicore processor
US7383427B2 (en) 2004-04-22 2008-06-03 Sony Computer Entertainment Inc. Multi-scalar extension for SIMD instruction set processors
US20050251649A1 (en) 2004-04-23 2005-11-10 Sony Computer Entertainment Inc. Methods and apparatus for address map optimization on a multi-scalar extension
US7418582B1 (en) 2004-05-13 2008-08-26 Sun Microsystems, Inc. Versatile register file design for a multi-threaded processor utilizing different modes and register windows
US7478198B2 (en) * 2004-05-24 2009-01-13 Intel Corporation Multithreaded clustered microarchitecture with dynamic back-end assignment
US7594234B1 (en) 2004-06-04 2009-09-22 Sun Microsystems, Inc. Adaptive spin-then-block mutual exclusion in multi-threaded processing
US7284092B2 (en) 2004-06-24 2007-10-16 International Business Machines Corporation Digital data processing apparatus having multi-level register file
US20050289530A1 (en) 2004-06-29 2005-12-29 Robison Arch D Scheduling of instructions in program compilation
EP1628235A1 (en) 2004-07-01 2006-02-22 Texas Instruments Incorporated Method and system of ensuring integrity of a secure mode entry sequence
US8044951B1 (en) 2004-07-02 2011-10-25 Nvidia Corporation Integer-based functionality in a graphics shading language
US7339592B2 (en) * 2004-07-13 2008-03-04 Nvidia Corporation Simulating multiported memories using lower port count memories
US7398347B1 (en) 2004-07-14 2008-07-08 Altera Corporation Methods and apparatus for dynamic instruction controlled reconfigurable register file
EP1619593A1 (en) 2004-07-22 2006-01-25 Sap Ag Computer-Implemented method and system for performing a product availability check
JP4064380B2 (ja) 2004-07-29 2008-03-19 富士通株式会社 演算処理装置およびその制御方法
US8443171B2 (en) 2004-07-30 2013-05-14 Hewlett-Packard Development Company, L.P. Run-time updating of prediction hint instructions
US7213106B1 (en) 2004-08-09 2007-05-01 Sun Microsystems, Inc. Conservative shadow cache support in a point-to-point connected multiprocessing node
US7318143B2 (en) 2004-10-20 2008-01-08 Arm Limited Reuseable configuration data
US20090150890A1 (en) 2007-12-10 2009-06-11 Yourst Matt T Strand-based computing hardware and dynamically optimizing strandware for a high performance microprocessor system
US7707578B1 (en) 2004-12-16 2010-04-27 Vmware, Inc. Mechanism for scheduling execution of threads for fair resource allocation in a multi-threaded and/or multi-core processing system
US7257695B2 (en) 2004-12-28 2007-08-14 Intel Corporation Register file regions for a processing system
US8719819B2 (en) 2005-06-30 2014-05-06 Intel Corporation Mechanism for instruction set based thread execution on a plurality of instruction sequencers
US7050922B1 (en) 2005-01-14 2006-05-23 Agilent Technologies, Inc. Method for optimizing test order, and machine-readable media storing sequences of instructions to perform same
US7657891B2 (en) 2005-02-04 2010-02-02 Mips Technologies, Inc. Multithreading microprocessor with optimized thread scheduler for increasing pipeline utilization efficiency
US7681014B2 (en) 2005-02-04 2010-03-16 Mips Technologies, Inc. Multithreading instruction scheduler employing thread group priorities
EP1849095B1 (en) 2005-02-07 2013-01-02 Richter, Thomas Low latency massive parallel data processing device
US7400548B2 (en) 2005-02-09 2008-07-15 International Business Machines Corporation Method for providing multiple reads/writes using a 2read/2write register file array
US7343476B2 (en) 2005-02-10 2008-03-11 International Business Machines Corporation Intelligent SMT thread hang detect taking into account shared resource contention/blocking
US7152155B2 (en) 2005-02-18 2006-12-19 Qualcomm Incorporated System and method of correcting a branch misprediction
US20060200655A1 (en) 2005-03-04 2006-09-07 Smith Rodney W Forward looking branch target address caching
US20060212853A1 (en) 2005-03-18 2006-09-21 Marvell World Trade Ltd. Real-time control apparatus having a multi-thread processor
US8195922B2 (en) 2005-03-18 2012-06-05 Marvell World Trade, Ltd. System for dynamically allocating processing time to multiple threads
US8522253B1 (en) 2005-03-31 2013-08-27 Guillermo Rozas Hardware support for virtual machine and operating system context switching in translation lookaside buffers and virtually tagged caches
US7313775B2 (en) 2005-04-06 2007-12-25 Lsi Corporation Integrated circuit with relocatable processor hardmac
US20060230243A1 (en) 2005-04-06 2006-10-12 Robert Cochran Cascaded snapshots
US8230423B2 (en) 2005-04-07 2012-07-24 International Business Machines Corporation Multithreaded processor architecture with operational latency hiding
US20060230409A1 (en) 2005-04-07 2006-10-12 Matteo Frigo Multithreaded processor architecture with implicit granularity adaptation
US20060230253A1 (en) 2005-04-11 2006-10-12 Lucian Codrescu Unified non-partitioned register files for a digital signal processor operating in an interleaved multi-threaded environment
US20060236074A1 (en) 2005-04-14 2006-10-19 Arm Limited Indicating storage locations within caches
US7437543B2 (en) 2005-04-19 2008-10-14 International Business Machines Corporation Reducing the fetch time of target instructions of a predicted taken branch instruction
US7461237B2 (en) 2005-04-20 2008-12-02 Sun Microsystems, Inc. Method and apparatus for suppressing duplicative prefetches for branch target cache lines
US8713286B2 (en) 2005-04-26 2014-04-29 Qualcomm Incorporated Register files for a digital signal processor operating in an interleaved multi-threaded environment
GB2426084A (en) 2005-05-13 2006-11-15 Agilent Technologies Inc Updating data in a dual port memory
US7861055B2 (en) 2005-06-07 2010-12-28 Broadcom Corporation Method and system for on-chip configurable data ram for fast memory and pseudo associative caches
US8010969B2 (en) 2005-06-13 2011-08-30 Intel Corporation Mechanism for monitoring instruction set based thread execution on a plurality of instruction sequencers
EP1927054A1 (en) 2005-09-14 2008-06-04 Koninklijke Philips Electronics N.V. Method and system for bus arbitration
US7350056B2 (en) 2005-09-27 2008-03-25 International Business Machines Corporation Method and apparatus for issuing instructions from an issue queue in an information handling system
US7676634B1 (en) 2005-09-28 2010-03-09 Sun Microsystems, Inc. Selective trace cache invalidation for self-modifying code via memory aging
US7231106B2 (en) 2005-09-30 2007-06-12 Lucent Technologies Inc. Apparatus for directing an optical signal from an input fiber to an output fiber within a high index host
US7613131B2 (en) * 2005-11-10 2009-11-03 Citrix Systems, Inc. Overlay network infrastructure
US7681019B1 (en) 2005-11-18 2010-03-16 Sun Microsystems, Inc. Executing functions determined via a collection of operations from translated instructions
US7861060B1 (en) 2005-12-15 2010-12-28 Nvidia Corporation Parallel data processing systems and methods using cooperative thread arrays and thread identifier values to determine processing behavior
US7634637B1 (en) 2005-12-16 2009-12-15 Nvidia Corporation Execution of parallel groups of threads with per-instruction serialization
US7770161B2 (en) 2005-12-28 2010-08-03 International Business Machines Corporation Post-register allocation profile directed instruction scheduling
US8423682B2 (en) 2005-12-30 2013-04-16 Intel Corporation Address space emulation
GB2435362B (en) 2006-02-20 2008-11-26 Cramer Systems Ltd Method of configuring devices in a telecommunications network
WO2007097019A1 (ja) 2006-02-27 2007-08-30 Fujitsu Limited キャッシュ制御装置およびキャッシュ制御方法
US7543282B2 (en) 2006-03-24 2009-06-02 Sun Microsystems, Inc. Method and apparatus for selectively executing different executable code versions which are optimized in different ways
CN103646009B (zh) 2006-04-12 2016-08-17 索夫特机械公司 对载明并行和依赖运算的指令矩阵进行处理的装置和方法
US7577820B1 (en) * 2006-04-14 2009-08-18 Tilera Corporation Managing data in a parallel processing environment
US7610571B2 (en) 2006-04-14 2009-10-27 Cadence Design Systems, Inc. Method and system for simulating state retention of an RTL design
CN100485636C (zh) 2006-04-24 2009-05-06 华为技术有限公司 一种基于模型驱动进行电信级业务开发的调试方法及装置
US7804076B2 (en) 2006-05-10 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd Insulator for high current ion implanters
US8145882B1 (en) 2006-05-25 2012-03-27 Mips Technologies, Inc. Apparatus and method for processing template based user defined instructions
US20080126771A1 (en) 2006-07-25 2008-05-29 Lei Chen Branch Target Extension for an Instruction Cache
CN100495324C (zh) 2006-07-27 2009-06-03 中国科学院计算技术研究所 复杂指令集体系结构中的深度优先异常处理方法
US7904704B2 (en) * 2006-08-14 2011-03-08 Marvell World Trade Ltd. Instruction dispatching method and apparatus
US8046775B2 (en) 2006-08-14 2011-10-25 Marvell World Trade Ltd. Event-based bandwidth allocation mode switching method and apparatus
US7539842B2 (en) * 2006-08-15 2009-05-26 International Business Machines Corporation Computer memory system for selecting memory buses according to physical memory organization information stored in virtual address translation tables
US7594060B2 (en) * 2006-08-23 2009-09-22 Sun Microsystems, Inc. Data buffer allocation in a non-blocking data services platform using input/output switching fabric
US7752474B2 (en) 2006-09-22 2010-07-06 Apple Inc. L1 cache flush when processor is entering low power mode
US7716460B2 (en) 2006-09-29 2010-05-11 Qualcomm Incorporated Effective use of a BHT in processor having variable length instruction set execution modes
US7774549B2 (en) 2006-10-11 2010-08-10 Mips Technologies, Inc. Horizontally-shared cache victims in multiple core processors
TWI337495B (en) 2006-10-26 2011-02-11 Au Optronics Corp System and method for operation scheduling
US7680988B1 (en) 2006-10-30 2010-03-16 Nvidia Corporation Single interconnect providing read and write access to a memory shared by concurrent threads
US7617384B1 (en) 2006-11-06 2009-11-10 Nvidia Corporation Structured programming control flow using a disable mask in a SIMD architecture
CN101627365B (zh) 2006-11-14 2017-03-29 索夫特机械公司 多线程架构
US7493475B2 (en) 2006-11-15 2009-02-17 Stmicroelectronics, Inc. Instruction vector-mode processing in multi-lane processor by multiplex switch replicating instruction in one lane to select others along with updated operand address
US7934179B2 (en) 2006-11-20 2011-04-26 Et International, Inc. Systems and methods for logic verification
US20080235500A1 (en) 2006-11-21 2008-09-25 Davis Gordon T Structure for instruction cache trace formation
JP2008130056A (ja) 2006-11-27 2008-06-05 Renesas Technology Corp 半導体回路
WO2008077088A2 (en) 2006-12-19 2008-06-26 The Board Of Governors For Higher Education, State Of Rhode Island And Providence Plantations System and method for branch misprediction prediction using complementary branch predictors
US7783869B2 (en) 2006-12-19 2010-08-24 Arm Limited Accessing branch predictions ahead of instruction fetching
EP1940028B1 (en) * 2006-12-29 2012-02-29 STMicroelectronics Srl Asynchronous interconnection system for 3D inter-chip communication
US8321849B2 (en) 2007-01-26 2012-11-27 Nvidia Corporation Virtual architecture and instruction set for parallel thread computing
TW200833002A (en) 2007-01-31 2008-08-01 Univ Nat Yunlin Sci & Tech Distributed switching circuit having fairness
US20080189501A1 (en) 2007-02-05 2008-08-07 Irish John D Methods and Apparatus for Issuing Commands on a Bus
US7685410B2 (en) 2007-02-13 2010-03-23 Global Foundries Inc. Redirect recovery cache that receives branch misprediction redirects and caches instructions to be dispatched in response to the redirects
US7647483B2 (en) * 2007-02-20 2010-01-12 Sony Computer Entertainment Inc. Multi-threaded parallel processor methods and apparatus
JP4980751B2 (ja) 2007-03-02 2012-07-18 富士通セミコンダクター株式会社 データ処理装置、およびメモリのリードアクティブ制御方法。
US8452907B2 (en) 2007-03-27 2013-05-28 Arm Limited Data processing apparatus and method for arbitrating access to a shared resource
US20080250227A1 (en) 2007-04-04 2008-10-09 Linderman Michael D General Purpose Multiprocessor Programming Apparatus And Method
US7716183B2 (en) 2007-04-11 2010-05-11 Dot Hill Systems Corporation Snapshot preserved data cloning
US7941791B2 (en) * 2007-04-13 2011-05-10 Perry Wang Programming environment for heterogeneous processor resource integration
US7769955B2 (en) 2007-04-27 2010-08-03 Arm Limited Multiple thread instruction fetch from different cache levels
US7711935B2 (en) 2007-04-30 2010-05-04 Netlogic Microsystems, Inc. Universal branch identifier for invalidation of speculative instructions
US8555039B2 (en) 2007-05-03 2013-10-08 Qualcomm Incorporated System and method for using a local condition code register for accelerating conditional instruction execution in a pipeline processor
US8219996B1 (en) 2007-05-09 2012-07-10 Hewlett-Packard Development Company, L.P. Computer processor with fairness monitor
US9292436B2 (en) 2007-06-25 2016-03-22 Sonics, Inc. Various methods and apparatus to support transactions whose data address sequence within that transaction crosses an interleaved channel address boundary
CN101344840B (zh) 2007-07-10 2011-08-31 苏州简约纳电子有限公司 一种微处理器及在微处理器中执行指令的方法
US7937568B2 (en) 2007-07-11 2011-05-03 International Business Machines Corporation Adaptive execution cycle control method for enhanced instruction throughput
US20090025004A1 (en) 2007-07-16 2009-01-22 Microsoft Corporation Scheduling by Growing and Shrinking Resource Allocation
US8108545B2 (en) * 2007-08-27 2012-01-31 International Business Machines Corporation Packet coalescing in virtual channels of a data processing system in a multi-tiered full-graph interconnect architecture
US7711929B2 (en) 2007-08-30 2010-05-04 International Business Machines Corporation Method and system for tracking instruction dependency in an out-of-order processor
US8725991B2 (en) 2007-09-12 2014-05-13 Qualcomm Incorporated Register file system and method for pipelined processing
US8082420B2 (en) 2007-10-24 2011-12-20 International Business Machines Corporation Method and apparatus for executing instructions
US7856530B1 (en) 2007-10-31 2010-12-21 Network Appliance, Inc. System and method for implementing a dynamic cache for a data storage system
US7877559B2 (en) 2007-11-26 2011-01-25 Globalfoundries Inc. Mechanism to accelerate removal of store operations from a queue
US8245232B2 (en) 2007-11-27 2012-08-14 Microsoft Corporation Software-configurable and stall-time fair memory access scheduling mechanism for shared memory systems
US7809925B2 (en) 2007-12-07 2010-10-05 International Business Machines Corporation Processing unit incorporating vectorizable execution unit
US8145844B2 (en) 2007-12-13 2012-03-27 Arm Limited Memory controller with write data cache and read data cache
US7870371B2 (en) 2007-12-17 2011-01-11 Microsoft Corporation Target-frequency based indirect jump prediction for high-performance processors
US7831813B2 (en) 2007-12-17 2010-11-09 Globalfoundries Inc. Uses of known good code for implementing processor architectural modifications
US20090165007A1 (en) 2007-12-19 2009-06-25 Microsoft Corporation Task-level thread scheduling and resource allocation
US8782384B2 (en) 2007-12-20 2014-07-15 Advanced Micro Devices, Inc. Branch history with polymorphic indirect branch information
US7917699B2 (en) 2007-12-21 2011-03-29 Mips Technologies, Inc. Apparatus and method for controlling the exclusivity mode of a level-two cache
US9244855B2 (en) 2007-12-31 2016-01-26 Intel Corporation Method, system, and apparatus for page sizing extension
US8645965B2 (en) * 2007-12-31 2014-02-04 Intel Corporation Supporting metered clients with manycore through time-limited partitioning
US7877582B2 (en) 2008-01-31 2011-01-25 International Business Machines Corporation Multi-addressable register file
WO2009101563A1 (en) 2008-02-11 2009-08-20 Nxp B.V. Multiprocessing implementing a plurality of virtual processors
US7987343B2 (en) 2008-03-19 2011-07-26 International Business Machines Corporation Processor and method for synchronous load multiple fetching sequence and pipeline stage result tracking to facilitate early address generation interlock bypass
US7949972B2 (en) 2008-03-19 2011-05-24 International Business Machines Corporation Method, system and computer program product for exploiting orthogonal control vectors in timing driven synthesis
US9513905B2 (en) 2008-03-28 2016-12-06 Intel Corporation Vector instructions to enable efficient synchronization and parallel reduction operations
US8120608B2 (en) 2008-04-04 2012-02-21 Via Technologies, Inc. Constant buffering for a computational core of a programmable graphics processing unit
TWI364703B (en) 2008-05-26 2012-05-21 Faraday Tech Corp Processor and early execution method of data load thereof
US8145880B1 (en) * 2008-07-07 2012-03-27 Ovics Matrix processor data switch routing systems and methods
US8516454B2 (en) 2008-07-10 2013-08-20 Rocketick Technologies Ltd. Efficient parallel computation of dependency problems
JP2010039536A (ja) 2008-07-31 2010-02-18 Panasonic Corp プログラム変換装置、プログラム変換方法およびプログラム変換プログラム
US8316435B1 (en) * 2008-08-14 2012-11-20 Juniper Networks, Inc. Routing device having integrated MPLS-aware firewall with virtual security system support
US8135942B2 (en) 2008-08-28 2012-03-13 International Business Machines Corpration System and method for double-issue instructions using a dependency matrix and a side issue queue
US7769984B2 (en) 2008-09-11 2010-08-03 International Business Machines Corporation Dual-issuance of microprocessor instructions using dual dependency matrices
US8225048B2 (en) 2008-10-01 2012-07-17 Hewlett-Packard Development Company, L.P. Systems and methods for resource access
US9244732B2 (en) 2009-08-28 2016-01-26 Vmware, Inc. Compensating threads for microarchitectural resource contentions by prioritizing scheduling and execution
US7941616B2 (en) 2008-10-21 2011-05-10 Microsoft Corporation System to reduce interference in concurrent programs
US8423749B2 (en) 2008-10-22 2013-04-16 International Business Machines Corporation Sequential processing in network on chip nodes by threads generating message containing payload and pointer for nanokernel to access algorithm to be executed on payload in another node
GB2464703A (en) * 2008-10-22 2010-04-28 Advanced Risc Mach Ltd An array of interconnected processors executing a cycle-based program
WO2010049585A1 (en) 2008-10-30 2010-05-06 Nokia Corporation Method and apparatus for interleaving a data block
US8032678B2 (en) 2008-11-05 2011-10-04 Mediatek Inc. Shared resource arbitration
US7848129B1 (en) 2008-11-20 2010-12-07 Netlogic Microsystems, Inc. Dynamically partitioned CAM array
US8868838B1 (en) 2008-11-21 2014-10-21 Nvidia Corporation Multi-class data cache policies
US8171223B2 (en) 2008-12-03 2012-05-01 Intel Corporation Method and system to increase concurrency and control replication in a multi-core cache hierarchy
US8200949B1 (en) 2008-12-09 2012-06-12 Nvidia Corporation Policy based allocation of register file cache to threads in multi-threaded processor
US8312268B2 (en) 2008-12-12 2012-11-13 International Business Machines Corporation Virtual machine
US8099586B2 (en) 2008-12-30 2012-01-17 Oracle America, Inc. Branch misprediction recovery mechanism for microprocessors
US20100169578A1 (en) 2008-12-31 2010-07-01 Texas Instruments Incorporated Cache tag memory
US20100205603A1 (en) 2009-02-09 2010-08-12 Unisys Corporation Scheduling and dispatching tasks in an emulated operating system
JP5417879B2 (ja) 2009-02-17 2014-02-19 富士通セミコンダクター株式会社 キャッシュ装置
US8505013B2 (en) 2010-03-12 2013-08-06 Lsi Corporation Reducing data read latency in a network communications processor architecture
US8805788B2 (en) 2009-05-04 2014-08-12 Moka5, Inc. Transactional virtual disk with differential snapshots
US8332854B2 (en) 2009-05-19 2012-12-11 Microsoft Corporation Virtualized thread scheduling for hardware thread optimization based on hardware resource parameter summaries of instruction blocks in execution groups
US8533437B2 (en) 2009-06-01 2013-09-10 Via Technologies, Inc. Guaranteed prefetch instruction
GB2471067B (en) 2009-06-12 2011-11-30 Graeme Roy Smith Shared resource multi-thread array processor
US9122487B2 (en) 2009-06-23 2015-09-01 Oracle America, Inc. System and method for balancing instruction loads between multiple execution units using assignment history
CN101582025B (zh) 2009-06-25 2011-05-25 浙江大学 片上多处理器体系架构下全局寄存器重命名表的实现方法
US8397049B2 (en) 2009-07-13 2013-03-12 Apple Inc. TLB prefetching
US8539486B2 (en) 2009-07-17 2013-09-17 International Business Machines Corporation Transactional block conflict resolution based on the determination of executing threads in parallel or in serial mode
JP5423217B2 (ja) 2009-08-04 2014-02-19 富士通株式会社 演算処理装置、情報処理装置、および演算処理装置の制御方法
US8127078B2 (en) 2009-10-02 2012-02-28 International Business Machines Corporation High performance unaligned cache access
US20110082983A1 (en) 2009-10-06 2011-04-07 Alcatel-Lucent Canada, Inc. Cpu instruction and data cache corruption prevention system
US8695002B2 (en) 2009-10-20 2014-04-08 Lantiq Deutschland Gmbh Multi-threaded processors and multi-processor systems comprising shared resources
US8364933B2 (en) 2009-12-18 2013-01-29 International Business Machines Corporation Software assisted translation lookaside buffer search mechanism
JP2011150397A (ja) 2010-01-19 2011-08-04 Panasonic Corp バス調停装置
KR101699910B1 (ko) 2010-03-04 2017-01-26 삼성전자주식회사 재구성 가능 프로세서 및 그 제어 방법
US20120005462A1 (en) 2010-07-01 2012-01-05 International Business Machines Corporation Hardware Assist for Optimizing Code During Processing
US8312258B2 (en) 2010-07-22 2012-11-13 Intel Corporation Providing platform independent memory logic
CN101916180B (zh) 2010-08-11 2013-05-29 中国科学院计算技术研究所 Risc处理器中执行寄存器类型指令的方法和其系统
US8751745B2 (en) 2010-08-11 2014-06-10 Advanced Micro Devices, Inc. Method for concurrent flush of L1 and L2 caches
US8856460B2 (en) 2010-09-15 2014-10-07 Oracle International Corporation System and method for zero buffer copying in a middleware environment
US9201801B2 (en) 2010-09-15 2015-12-01 International Business Machines Corporation Computing device with asynchronous auxiliary execution unit
EP3156896B1 (en) 2010-09-17 2020-04-08 Soft Machines, Inc. Single cycle multi-branch prediction including shadow cache for early far branch prediction
US20120079212A1 (en) 2010-09-23 2012-03-29 International Business Machines Corporation Architecture for sharing caches among multiple processes
EP2628072B1 (en) 2010-10-12 2016-10-12 Soft Machines, Inc. An instruction sequence buffer to enhance branch prediction efficiency
US9733944B2 (en) 2010-10-12 2017-08-15 Intel Corporation Instruction sequence buffer to store branches having reliably predictable instruction sequences
US8370553B2 (en) 2010-10-18 2013-02-05 International Business Machines Corporation Formal verification of random priority-based arbiters using property strengthening and underapproximations
US9047178B2 (en) 2010-12-13 2015-06-02 SanDisk Technologies, Inc. Auto-commit memory synchronization
US8677355B2 (en) 2010-12-17 2014-03-18 Microsoft Corporation Virtual machine branching and parallel execution
EP2689327B1 (en) 2011-03-25 2021-07-28 Intel Corporation Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines
CN103635875B (zh) 2011-03-25 2018-02-16 英特尔公司 用于通过使用由可分区引擎实例化的虚拟核来支持代码块执行的存储器片段
WO2012135041A2 (en) 2011-03-25 2012-10-04 Soft Machines, Inc. Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines
US20120254592A1 (en) 2011-04-01 2012-10-04 Jesus Corbal San Adrian Systems, apparatuses, and methods for expanding a memory source into a destination register and compressing a source register into a destination memory location
US9740494B2 (en) 2011-04-29 2017-08-22 Arizona Board Of Regents For And On Behalf Of Arizona State University Low complexity out-of-order issue logic using static circuits
US8843690B2 (en) 2011-07-11 2014-09-23 Avago Technologies General Ip (Singapore) Pte. Ltd. Memory conflicts learning capability
US8930432B2 (en) 2011-08-04 2015-01-06 International Business Machines Corporation Floating point execution unit with fixed point functionality
US20130046934A1 (en) 2011-08-15 2013-02-21 Robert Nychka System caching using heterogenous memories
US8839025B2 (en) 2011-09-30 2014-09-16 Oracle International Corporation Systems and methods for retiring and unretiring cache lines
US20150039859A1 (en) 2011-11-22 2015-02-05 Soft Machines, Inc. Microprocessor accelerated code optimizer
WO2013077872A1 (en) 2011-11-22 2013-05-30 Soft Machines, Inc. A microprocessor accelerated code optimizer and dependency reordering method
KR101703401B1 (ko) 2011-11-22 2017-02-06 소프트 머신즈, 인크. 다중 엔진 마이크로프로세서용 가속 코드 최적화기
US8930674B2 (en) 2012-03-07 2015-01-06 Soft Machines, Inc. Systems and methods for accessing a unified translation lookaside buffer
KR20130119285A (ko) 2012-04-23 2013-10-31 한국전자통신연구원 클러스터 컴퓨팅 환경에서의 자원 할당 장치 및 그 방법
US9684601B2 (en) 2012-05-10 2017-06-20 Arm Limited Data processing apparatus having cache and translation lookaside buffer
US9940247B2 (en) 2012-06-26 2018-04-10 Advanced Micro Devices, Inc. Concurrent access to cache dirty bits
US9430410B2 (en) 2012-07-30 2016-08-30 Soft Machines, Inc. Systems and methods for supporting a plurality of load accesses of a cache in a single cycle
US9740612B2 (en) 2012-07-30 2017-08-22 Intel Corporation Systems and methods for maintaining the coherency of a store coalescing cache and a load cache
US9229873B2 (en) 2012-07-30 2016-01-05 Soft Machines, Inc. Systems and methods for supporting a plurality of load and store accesses of a cache
US9710399B2 (en) 2012-07-30 2017-07-18 Intel Corporation Systems and methods for flushing a cache with modified data
US9916253B2 (en) 2012-07-30 2018-03-13 Intel Corporation Method and apparatus for supporting a plurality of load accesses of a cache in a single cycle to maintain throughput
US9678882B2 (en) 2012-10-11 2017-06-13 Intel Corporation Systems and methods for non-blocking implementation of cache flush instructions
US10037228B2 (en) 2012-10-25 2018-07-31 Nvidia Corporation Efficient memory virtualization in multi-threaded processing units
US9195506B2 (en) 2012-12-21 2015-11-24 International Business Machines Corporation Processor provisioning by a middleware processing system for a plurality of logical processor partitions
US9811342B2 (en) 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
KR102083390B1 (ko) 2013-03-15 2020-03-02 인텔 코포레이션 네이티브 분산된 플래그 아키텍처를 이용하여 게스트 중앙 플래그 아키텍처를 에뮬레이션하는 방법
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
WO2014150971A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for dependency broadcasting through a block organized source view data structure
WO2014150991A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for implementing a reduced size register view data structure in a microprocessor
US9569216B2 (en) 2013-03-15 2017-02-14 Soft Machines, Inc. Method for populating a source view data structure by using register template snapshots
KR101708591B1 (ko) 2013-03-15 2017-02-20 소프트 머신즈, 인크. 블록들로 그룹화된 멀티스레드 명령어들을 실행하기 위한 방법
US9904625B2 (en) 2013-03-15 2018-02-27 Intel Corporation Methods, systems and apparatus for predicting the way of a set associative cache
US10275255B2 (en) 2013-03-15 2019-04-30 Intel Corporation Method for dependency broadcasting through a source organized source view data structure
US9886279B2 (en) 2013-03-15 2018-02-06 Intel Corporation Method for populating and instruction view data structure by using register template snapshots
WO2014150806A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for populating register view data structure by using register template snapshots
EP2972794A4 (en) 2013-03-15 2017-05-03 Soft Machines, Inc. A method for executing blocks of instructions using a microprocessor architecture having a register view, source view, instruction view, and a plurality of register templates
US9632825B2 (en) 2013-03-15 2017-04-25 Intel Corporation Method and apparatus for efficient scheduling for asymmetrical execution units

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7925869B2 (en) * 1999-12-22 2011-04-12 Ubicom, Inc. Instruction-level multithreading according to a predetermined fixed schedule in an embedded processor using zero-time context switching
US7213248B2 (en) * 2002-10-10 2007-05-01 International Business Machines Corporation High speed promotion mechanism suitable for lock acquisition in a multiprocessor data processing system
US20050044547A1 (en) * 2003-08-18 2005-02-24 Gipp Stephan Kurt System and method for allocating system resources
US20060143390A1 (en) * 2004-12-29 2006-06-29 Sailesh Kottapalli Fair sharing of a cache in a multi-core/multi-threaded processor by dynamically partitioning of the cache

Also Published As

Publication number Publication date
US9442772B2 (en) 2016-09-13
EP2710480B1 (en) 2018-06-20
EP2710480A4 (en) 2016-06-15
US10031784B2 (en) 2018-07-24
TW201314462A (zh) 2013-04-01
US20160335130A1 (en) 2016-11-17
WO2012162189A1 (en) 2012-11-29
CN103649931A (zh) 2014-03-19
EP2710480A1 (en) 2014-03-26
TWI548994B (zh) 2016-09-11
CN103649931B (zh) 2016-10-12
US20120297396A1 (en) 2012-11-22
KR101639854B1 (ko) 2016-07-14

Similar Documents

Publication Publication Date Title
KR101639853B1 (ko) 복수의 엔진에 의해 명령어 시퀀스들의 실행을 지원하기 위한 자원들 및 상호접속 구조들의 비집중 할당
US11734010B2 (en) Parallel slice processor having a recirculating load-store queue for fast deallocation of issue queue entries
KR101636602B1 (ko) 분할가능한 엔진에 의해 인스턴스화된 가상 코어를 이용한 코드 블록의 실행을 지원하는 메모리 프래그먼트
KR101620676B1 (ko) 분할가능한 엔진에 의해 인스턴스화된 가상 코어를 이용한 코드 블록의 실행을 지원하는 레지스터 파일 세그먼트
US8082420B2 (en) Method and apparatus for executing instructions
US20070150895A1 (en) Methods and apparatus for multi-core processing with dedicated thread management
TW201331837A (zh) 世代執行緒排程器
KR101639854B1 (ko) 복수의 엔진에 의해 명령어 시퀀스들의 실행을 지원하기 위한 상호접속 구조
Kakunoori et al. Hardware support for dynamic scheduling in multiprocessor Operating System

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant