JP3879812B2 - トラップ処理方法及びトラップ処理装置 - Google Patents

トラップ処理方法及びトラップ処理装置 Download PDF

Info

Publication number
JP3879812B2
JP3879812B2 JP2000175146A JP2000175146A JP3879812B2 JP 3879812 B2 JP3879812 B2 JP 3879812B2 JP 2000175146 A JP2000175146 A JP 2000175146A JP 2000175146 A JP2000175146 A JP 2000175146A JP 3879812 B2 JP3879812 B2 JP 3879812B2
Authority
JP
Japan
Prior art keywords
instruction
unit
register
address
trap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2000175146A
Other languages
English (en)
Other versions
JP2001022584A (ja
Inventor
トロン グエン,レ
デレク ジェイ. レンツ,
ヨシユキ ミヤヤマ,
サンジブ ガルク,
ヤスアキ ハギワラ,
ジョハネス ワン,
クワン エイチ. トラン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Publication of JP2001022584A publication Critical patent/JP2001022584A/ja
Application granted granted Critical
Publication of JP3879812B2 publication Critical patent/JP3879812B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • G06F9/3865Recovery, e.g. branch miss-prediction, exception handling using deferred exception handling, e.g. exception flags
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7839Architectures of general purpose stored program computers comprising a single central processing unit with memory
    • G06F15/7842Architectures of general purpose stored program computers comprising a single central processing unit with memory on one IC chip (single chip microcontrollers)
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/3017Runtime instruction translation, e.g. macros
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/32Address formation of the next instruction, e.g. by incrementing the instruction counter
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/32Address formation of the next instruction, e.g. by incrementing the instruction counter
    • G06F9/322Address formation of the next instruction, e.g. by incrementing the instruction counter for non-sequential address
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3804Instruction prefetching for branches, e.g. hedging, branch folding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3814Implementation provisions of instruction buffers, e.g. prefetch buffer; banks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • G06F9/384Register renaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/461Saving or restoring of program or task context
    • G06F9/462Saving or restoring of program or task context with multiple register sets
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/48Program initiating; Program switching, e.g. by interrupt
    • G06F9/4806Task transfer initiation or dispatching
    • G06F9/4812Task transfer initiation or dispatching by interrupt, e.g. masked

Description

【0001】
【発明の属する技術分野】
本発明は、マイクロプロセッサ・アーキテクチャに関し、さらに具体的には、マイクロプロセッサにおける割り込みと例外の処理に関する。
【0002】
なお、本明細書の記述は本件出願の優先権の基礎たる米国特許出願07/726,942号の明細書の記載に基づくものであって、当該米国特許出願の番号を参照することによって当該米国特許出願の明細書の記載内容が本明細書の一部分を構成するものとする。
【0003】
【従来の技術】
関連特許出願相互参照
以下に列挙した米国特許出願は本件特許出願と同時に米国特許出願され、係属中のものであるが、これらの米国特許出願に開示されており、かつそれぞれに対応して出願された日本での特許出願に開示されている事項は、その出願番号を本明細書で引用することにより本明細書の一部を構成するものとする。
1.発明の名称「高性能RISCマイクロプロセッサ・アーキテクチャ」(High−Performance RISC Microprocessor Architecture)SMOS 7984 MCF/GBR,米国特許出願第07/727,006号、1991年7月8日出願、発明者Le T.Nguyen他、およびこれに対応する特願平5−502150(特表平6−501122号公報)。
2.発明の名称「拡張可能RISCマイクロプロセッサ・アーキテクチヤ」(Extensible RISC Microprocessor Architecture)SMOS 7985 MCF/GBR,米国特許出願第07/727,058号、1991年7月8日出願、発明者Le T.Nguyen他、およびこれに対応する特願平5−502153(特表平6−501124号公報)。
3.「アーキテクチャ上の依存関係を隔離したRISCマイクロプロセッサ・アーキテクチャ」(RISC Microprocessor Architecture with isolated Architectural Dependencies)SMOS 7987 MCF/GBR,米国特許出願第07/726,744号、1991年7月8日出願、発明者Le T.Nguyen他、およびこれに対応する特願平5−502152(特表平6−502034号公報)。
4.発明の名称「複数型レジスタ・セットを採用したRISCマイクロプロセッサ・アーキテクチャ」(RISC Microprocessor Architecture Implementing Multiple Typed Register Sets)SMOS 7988 MCF/GBR/RCC,米国特許出願第07/726,773号、1991年7月8日出願、発明者Sanjiv Garg他、およびこれに対応する特願平5−502403(特表平6−501805号公報)。
5.発明の名称「シングル・チップ・ページ・プリンタ・コントローラ」(Single Chip Page Printer Controller)SMOS 7991 MCF/GBR,米国特許出願第07/726,929号、1991年7月8日出願、発明者Derek J.Lentze他、およびこれに対応する特願平5−502149(特表平6−501586号公報)。
6.発明の名称「複数の異種プロセッサをサポートすることのできるマイクロプロセッサ・アーキテクチャ」(Microprocessor Architecture Capable of Supporting MultipleHeterogeneous Processors)SMOS 7992 MCF/WMB,米国特許出願第07/726,893号、1991年7月8日出願、発明者Derek J.Lentze他、およびこれに対応する特願平5−502151(特表平6−501123号公報)。
【0004】
関連技術の説明
代表的なマイクロプロセッサでは、制御の流れ(フロー)を変える命令が現れるか、例外が発生するのでなければ、命令は順序通りに実行されるのが普通である。例外に関しては、特定の事象が発生したとき、その事象が命令ストリームの中の特定の命令に関連して起こったか否かに関係なく、制御の流れを変更する機構が組み込まれている。例えば、マイクロプロセッサは割込み要求(IRQ)リード(1ead)を含んでいる場合があり、このリードが外部デバイスによってアクティベート(活動化)されると、マイクロプロセッサは次に実行すべき命令のアドレスの表示を含めて、マシンの現在状態(ステート)に関するある種の情報をセーブしておき、そのあと、直ちに割込みハンドラ(1nterrupt handler)に制御権(コントロール)を渡すと、割込みハンドラはある所定のアドレスから開始するようになっている。別の例では、ある特定の命令の実行中に、ゼロによる除算(divide−by−zero)などの例外エラーが発生すると、マイクロプロセッサはマシンの現在状態に関する情報をセーブしてから、制御権を例外ハンドラに渡すようになっている。また、別の例では、ある種のマイクロプロセッサは、それぞれの命令セットに「ソフトウェア・トラップ」命令を用意している。この場合も、マイクロプロセッサはマシンの現在状態に関する情報をセーブしてから、制御権を例外ハンドラに渡すようになっている。本明細書で用いられている割込み、トラップ、障害および例外の用語は交換可能に使用されている。
【0005】
一部のマイクロプロセッサでは、割込みが外部で発生すると、マイクロプロセッサは制御権を同じ割込みハンドラの入口点(entry point)に渡すようになっている。複数の外部デバイスが存在し、それらのデバイスが割込み要求リードをアクティベートできる場合は、割込みハンドラはどのデバイスが割込みを引き起こしたかをまず判断してから、その特定デバイスを処理するコード部分に制御権を渡さなければならない。例えば、インテル8048マイクロプロセッサはINT入力を含んでおり、これがアクティベートされると、マイクロコントローラは制御権を絶対メモリ・ロケーション3へ渡すようになっている。また、8048はRESET入力も含んでおり、これがアクティベートされると、マイクロコントローラは制御権を絶対メモリ・ロケーション0へ渡すようになっている。また、内部タイマ/カウンタも含んでおり、これが割込みを引き起こすと制御権が絶対メモリ・ロケーション7へ渡されるようになっている。
【0006】
他のマイクロプロセッサは割込み要求リードの他に「割込みレベル」リードを含んでいる。これらのマイクロプロセッサでは、外部デバイスが割込み要求リードをアクティベートするとき、その特定のデバイスに固有のトラップ番号も割込みレベル・ライン上に送出する。これを受けて、マイクロプロセッサの内部ハードウェアは制御権、つまり、「ベクトル」を各々が異なるトラップ番号に対応している複数の割込みハンドラの1つに渡す。同様に、いくつかのマイクロプロセッサでは、内部で発生した例外を処理するように書かれたすべてのルーチンに対して1つだけの入口点があらかじめ定められており、他のマイクロプロセッサでは、発生する可能性のある内部例外の各特定のタイプに対して定義されたトラップ番号に依存するルーチンヘ自動的にベクトルを渡す機構が備わっている。
【0007】
従来は、割込みハンドラおよび例外ハンドラにベクトルが渡される場合、該当のハンドラの入口点を判断するために、いくつかの手法が用いられていた。ある手法では、特定のテーブル・ベース・アドレスを始点として、アドレス・テーブルが作成されており、そのベース・アドレスは固定しているか、ユーザが定義できるようになっている。テーブルの各エントリ(項目)はアドレスの長さと同じ長さに、例えば、2バイトまたは4バイト長になっており、対応するトラップ番号に対する入口点を収容していた。割込みまたは例外が発生すると、マイクロプロセッサはまずテーブルのベース・アドレスを判断してから、トラップ番号をm倍したものを加え(ただし、mは各エントリ内のバイト数である)、そのあと、求めたアドレスにストアされている情報をプログラム・カウンタ(PC)にロードし、テーブル・エントリに指定されているアドレスから始まるルーチンヘ制御権を渡していた。
【0008】
他のマイクロプロセッサでは、ハンドラのアドレスだけをストアするのではなく、ブランチ命令全体をテーブル内の各エントリにストアしていた。各エントリ内のバイト数はブランチ命令のバイト数と等しくなっていた。割込みまたは例外を受け取ると、マイクロプロセッサはまずテーブル・ベース・アドレスを判断し、トラップ番号をm倍したものを加え、その結果をプログラム・カウンタにロードするだけであった。そのあと最初に実行される命令はテーブル内のブランチ命令であり、最終的に制御権が該当の例外ハンドラに渡されていた。
【0009】
【発明が解決しようとする課題】
ハンドラにベクトルを渡す上述の手法のどちらも、予備的オペレーションを実行してからでなければ、ハンドラのオペレーション部分は実行を開始できないために遅延(待ち時間)が起こっている。最初に挙げた手法では、入口点アドレスを最初にテーブルから取り出してからでなければ、入口点アドレスをプログラム・カウンタにロードできなかった。2番目に挙げた手法では、予備的ブランチ命令を取り出して、実行させてからでなければ、ハンドラの重要部分は実行を開始できなかった。トラップ番号をm倍したものをテーブル・ベース・アドレスに加える計算時に起こる加算の遅延は、ベース・アドレスからの上位ビットを下位ビットとしてトラップ番号を連結し、そのあとにlog2 m個のゼロ・ビットを続けるだけで回避できるが、上述した予備的オペレーションが原因で起こる遅延はそのまま残っていた。このような遅延は、ある種の割込みを処理するためのレスポンス・タイム(応答時間)を重要とするシステムでは、支障となるおそれがある。
【0010】
従来のマイクロプロセッサにおける例外処理に関係するもう1つの問題は、トラップ・ハンドラがメイン命令フローに戻ったとき、「マシンの状態」(state of machine)を復元できるようにストアしておく必要がある情報量に関係するものである。できるだけ多くの情報をストアする必要性と、トラップ・ハンドラヘディスパッチするときの遅延を最小にする必要性とはトレードオフの関係にある。特に、オン・チップ・データ・レジスタについては、オン・チップ・データ・レジスタはどれもストアしておかないで、データを各レジスタに一時的にストアすることをハンドラに任せておき、そのあとでハンドラが独自の目的に使用できるようにする手法が用いられていた。この場合、ハンドラは戻る前にレジスタに入っているデータを置き換える必要があった。この場合には、これらのレジスタをストアし、復元する必要があるので、ハンドラのオペレーションが大幅に遅くなる可能性がある。別の手法では、ハードウェアがレジスタの内容をスタック上に自動的にストアしてから、制御権をハンドラに渡すようにしている。この手法も、ハードウェアを複雑化すると共に、ハンドラヘの制御権移動が大幅に遅延化する可能性があるので、不適切である。従って、上述したベクトル手法による場合は、トラップ・ハンドラの呼出し時にレジスタの内容を保護するために既存の手法で起こる遅延は、高性能マイクロプロセッサでは許容し得ないものである。
【0011】
【課題を解決するための手段】
本発明によれば、公知システムにおける上述した問題の多くを解消するマイクロプロセッサ・アーキテクチャが採用されている。特に、「高速トラップ」例外ディスパッチ手法が採用されている。この手法によれば、ハンドラ全体を単一のベクトル・アドレス・テーブル・エントリにストアしておくことができる。各テーブル・エントリには、少なくとも2個、好ましくはそれ以上の命令を収めておくだけのスペースがあるので、高速トラップが起こったとき、マイクロプロセッサはトラップ番号をm倍したものをベース・アドレスに連結することで求めたアドレスヘブランチするだけでよいようになっている。入口点アドレスをテーブルからフェッチしたり、予備的ブランチ命令をフェッチして実行するために必要な時間的遅れは、除去される。マイクロプロセッサには、重要度の低いタイプのトラップの場合には、時間効率の劣る他のベクトル手法を取り入れることも可能である。
【0012】
本発明の別の形態では、トラップが現れると、プロセッサは割込み状態に入り、いくつかのシャドウ・レジスタを自動的にフォアグランド(前景)にシフトし対応する前景レジスタ・セットをバックグランド(背景)にシフトする。レジスタ内容は転送されず、その代わりに、シャドウ・レジスタが通常のレジスタの代わりに使用可能にされるだけである。従って、ハンドラは、メイン命令ストリームのために必要なデータを壊すかどうかを気にすることなく即時に使用可能なレジスタ・セットをもつことになる。
【0013】
上掲の米国特許出願第07/727,006号(PCT/JP92/00868)、発明の名称「高性能RISCマイクロプロセッサ・アーキテクチャ」(High−Performance RISC Microprocessor Architecture)(特表平6−501122号公報)には、その実行時前に命令をプリフェッチする高度マイクロプロセッサが命令プリフェッチ要求の順序外の返却を処理できること、同一の実行時の間に2つ以上の命令を実行できること、および命令ストリームにおける命令の列に対して順序外で命令を実行することもできることが説明されている。本発明の別の形態では、命令の実行の前と実行時の間に命令に対して発生した同期例外の正確さを保つためのメカニズムが組み込まれている。
【0014】
上記特許出願に記載されているマイクロプロセッサ・アーキテクチャには、さらに、メイン命令フローの中のプロシージャ命令またはエミュレーション命令から呼び出された別のプロシーレジャ命令フローを処理するための機構が組み込まれている。プロシージャ命令フローヘの制御権の移動は、メイン命令フローの中ですでにプリフェッチされたどの命令もフラッシュすることなく、別に設けたエミュレーション・プリフェッチ待ち行列によって行われる。本発明の別の形態によれば、割込み状態は、プロセッサがメイン命令ストリームから実行されているか、またはプロシージャ命令ストリームから実行されているかに関係なく、使用可能のままになっており、プロセッサは、トラップから戻るときどちらの命令ストリームヘ戻るべきかを示した標識をもっている。さらに、メイン命令ストリーム用とエミュレーション命令ストリーム用に別個のプリフェッチ・プログラム・カウンタが維持されており、プロセッサはトラップ・ハンドラが呼び出されたとき現命令ストリームからプリフェッチPCだけをストアし、ハンドラが戻るときプリフェッチPCを正しいプリフェッチ・プログラム・カウンタに復元する。
【0015】
【発明の実施の形態】
以下、本発明の実施の形態を図面を参照しつつ説明する。
I.マイクロプロセッサ・アーキテクチャの概要
II.命令フェッチ・ユニット
A) IFUデータ経路
B) IFU制御経路
C) IFU/IEU制御インタフェース
D) PCロジック・ユニットの詳細
1)PFおよびExPC制御/データ・ユニットの詳細
2)PC制御アルゴリズムの詳細
E) 割込みおよび例外処理
1) 概要
2) 非同期割込み
3) 同期例外
4) ハンドラ・ディスパッチとリターン
5) ネスト
6) トラップー覧表
III. 命令実行ユニット
A) IEUデータ経路の詳細
1) レジスタ・アレイ・ユニットの詳細
2) 整数データ経路の詳細
3) 浮動小数点データ経路の詳細
4) ブール・レジスタ・データ経路の詳細
B) ロード/ストア制御ユニット
C) IEU 制御経路の詳細
1) Eデコード・ユニットの詳細
2) キャリー・チェッカ・ユニットの詳細
3) データ依存関係チェッカ・ユニットの詳細
4) レジスタ改名ユニットの詳細
5) 命令発行ユニットの詳細
6) 完了制御ユニットの詳細
7) 退避制御ユニットの詳細
8) 制御フロー制御ユニットの詳細
9) バイパス制御ユニットの詳細
IV.仮想メモリ制御ユニット
V. キャッシュ制御ユニット
VI.要約および結論 。
【0016】
I.マイクロプロセッサ・アーキテクチャの概要
図1は、本発明のアーキテクチャ100の概要を示すものである。命令フェッチ・ユニット(IFU)102と命令実行ユニット(IEU)104は、アーキテクチャ100の中心となる機能要素である。仮想メモリ・ユニット(VMU)108、キャッシュ制御ユニット(CCU)106、およびメモリ制御ユニット(MCU)110は、IFU102とIEU104の機能を直接にサポートするためのものである。また、メモリ・アレイ・ユニット(MAU)112は基本的要素として、アーキテクチャ100を動作させるためのものである。もっとも、MAU112はアーキテクチャ100の1つの一体的なコンポーネントとして直接的に存在しない。つまり、本発明の好適実施例では、IFU102、IEU104、VMU108、CCU106、およびMCU110は従来の0.8ミクロン設計ルールの低電力CMOSプロセスを利用してシングル・シリコン・チップ上に実装され、約1,200,000個のトランジスタから構成されている。アーキテクチャ100の標準プロセッサまたはシステムのクロック速度は40MHzである。しかし、本発明の好適実施例によれば、プロセッサの内部クロック速度は160MHzである。
【0017】
IFU102の基本的役割は命令をフェッチし、IEU104による実行が保留されている間命令をバッファに置いておき、一般的には、次の命令をフェッチするとき使用される次の仮想アドレスを計算することである。
【0018】
本発明の好適実施例では、各命令は長さが32ビットに固定されている。命令セッ卜、つまり、4個の命令からなる「バケット」(bucket)は、CCU106内の命令用キャッシュ132から128ビット幅の命令バス114を経由してIFU102によって同時にフェッチされる。命令セットの転送は、制御ライン116経由で送られてきた制御信号によって調整されて、IFU102とCCU106間で行われる。フェッチされる命令セットの仮想アドレスは、IFU仲裁、制御およびアドレス共用バス118経由でIFU102から出力され、さらにIEU104とVMU108間を結合する仲裁、制御およびアドレス共用バス120上に送出される。VMU108へのアクセスの仲裁(arbitration)は、IFU102とIEU104の両方がVMU108を共通の共用資源として利用することから行われる。本発明の好適実施例では、仮想アドレスの物理ページ内のアドレスを定義する下位ビットは、IFU102から制御ライン116を経由して直接にキャッシュ制御ユニット(CCU)106へ転送される。IFU102から与えられる仮想アドレスの仮想上位ビットは仲裁、制御およびアドレス共用バス118、120のアドレス部分によってVMU108へ送られ、そこで対応する物理ページ・アドレスに変換される。IFU102では、この物理ページ・アドレスは、変換要求がVMU108に出されたあと内部プロセッサ・クロック・サイクルの1/2の間に、VMU108から制御ライン122経由で直接にキャッシュ制御ユニット(CCU)106へ転送される。
【0019】
IFU102によってフェッチされた命令ストリームの方は命令ストリーム・バス124経由でIEU104に渡される。制御信号は、制御ライン126を介してIFU102とIEU104間でやりとりされる。さらに、ある種の命令フェッチ・アドレス、例えば、IEU104内に存在するレジスタ・アレイ・ユニットヘのアクセスを必要とするアドレスは、制御ライン126内のターゲット・アドレス・リターン・バスを経由してIFU102へ送り返される。
【0020】
IEU104は、CCU106内に設けられたデータ用キャッシュ134との間で80ビット幅双方向データ・バス130を通してデータをストアし、データを取り出す。IEU104がデータ・アクセスするときの物理アドレス全体は制御バス128のアドレス部分によってCCU106へ渡される。また、制御バス128を通して、データ転送を管理するための制御信号をIEU104とCCU106との間でやりとりすることもできる。IEU104は、仮想データ・アドレスをCCU106へ渡すのに適した物理データ・アドレスに変更するための資源としてVMU108を使用する。データ・アドレスの仮想化部分は、仲裁、制御およびアドレス共用バス120を経由してVMU108へ渡される。IFU102に対するオペレーションと異なり、VMU108は対応する物理アドレスを仲裁、制御およびアドレス共用バス120経由でIEU104へ返却する。アーキテクチャ100の好適実施例では、IEU104は物理アドレスを使用して、ロード/ストア・オペレーションが正しいプログラム・ストリーム順序で行われていることを確かめている。
【0021】
CCU106は、物理アドレスで定義したデータ要求を命令用キャッシユ132とデータ用キャッシュ134のどちらか該当する方から満足できるかどうかを判断する従来のハイレベル機能を備えている。アクセス要求が命令用キャッシュ132またはデータ用キャッシュ134ヘアクセスすることで正しく満足できる場合は、CCU106はバス114、130を経由するデータ転送を調整して、その転送を行う。
【0022】
物理アドレスで定義したデータ・アクセス要求が命令用キャッシュ132またはデータ用キャッシュ134のどちらでも満足できない場合、CCU106は、対応する物理アドレスをMCU110へ渡すと共に、MAU112が各要求ごとにCCU106のソースまたは宛先キャッシュ132、134に要求しているのは読取りアクセスであるか書込みアクセスであるかを識別するのに十分な制御情報、およびIFU102またはIEU104から出された最終的データ要求と要求オペレーションを関係づけるための追加識別情報を一緒に渡す。
【0023】
MCU110は、好ましくは、ポート・スイッチ・ユニット142を備えており、このユニットは単方向データ・バス136によってCCU106の命令用キャッシュ132に接続され、双方向データ・バス138によってデータ用キャッシュ134に接続されている。ポート・スイッチ・ユニット142は基本的には大きなマルチプレクサであり、制御バス140から得た物理アドレスを複数のポート(P0 −Pn )1460-n のいずれかへ送ることを可能にし、また、ポートからデータ・バス136、138へのデータの双方向転送を可能にする。MCU110によって処理される各メモリ・アクセス要求は、MAU112をアクセスするとき要求されるメイン・システム・メモリ・バス162へのアクセスを仲裁する目的でポート1460-n の1つと関連づけられる。データ転送の接続が確立されると、MCU110は制御情報を制御バス140経由でCCU106に渡して、ポート1460-n のうち対応する1つを経由して命令用キャッシュ132またはデータ用キャッシュ134とMAU112との間でデータを転送することを開始する。アーキテクチャ100の好適実施例では、MCU110は、実際には、CCU106とMAU112間を転送する途中にあるデータをストアまたはラッチしない。このようにしたのは、転送の待ち時間を最小にし、MCU110に1つだけ存在するデータを追跡または管理しないですむようにするためである。
【0024】
II.命令フェッチ・ユニット
命令フェッチ・ユニット102の主要エレメントを図2に示す。これらのエレメントのオペレーションおよび相互関係を理解しやすくするために、以下では、これらのエレメントがIFUデータ経路と制御経路に関与する場合を考慮して説明する。
【0025】
A)IFUデータ経路
IFUデータ経路は、命令セットを受け取ってプリフェッチ・バッファ・ユニット260に一時的にストアしておく命令バス114から始まる。プリフェッチ・バッファ・ユニット260からの命令セットはIデコード・ユニット262を通ってIFIFOユニット264へ渡される。IFIFOユニット264の最後の2ステージにストアされた命令セットは、出力バス278、280を通してIEU104に連続的に取り出して利用することができる。
【0026】
プリフェッチ・バッファ・ユニット260は、一度に1つの命令セットを命令バス114から受け取る。完全な128ビット幅命令セットは、一般に、プリフェッチ・バッファ・ユニット260のメイン・バッファ(MBUF)188部分の4つの128ビット幅プリフェッチ・バッファ・ロケーションの1つに並列に書き込まれる。追加の命令セットは最高4つまで同じように、2つの128ビット幅ターゲット・バッファ(TBUF)190のプリフェッチ・バッファ・ロケーションに、または2つの128ビット幅プロシージャ・バッファ(EBUF)192のプリフェッチ・バッファ・ロケーションに書き込むことが可能である。好適アーキテクチャ100では、MBUF188、TBUF190またはEBUF192内のプリフェッチ・バッファ・ロケーションのいずれかに置かれている命令セットは、プリフェッチ・バッファ出力バス196へ転送することが可能である。さらに、フロー・スルー・バス194は、命令バス114をプリフェッチ・バッファ出力バス196と直接に接続することによって、MBUF188、TBUF190およびEBUF192をバイパスするためのものである。
【0027】
好適アーキテクチャ100では、MBUF188は名目的またはメイン命令ストリーム中の命令セットをバッファするために利用される。TBUF190は、試行的なターゲット・ブランチ命令ストリームからプリフェッチした命令セットをバッファするために利用される。その結果、プリフェッチ・バッファ・ユニット260を通して、条件付きブランチ命令のあとに置かれている可能性のある両方の命令ストリームをプリフェッチすることができる。この機能により、MAU112の待ち時間は長くなるとしても、少なくともCCU106への以後のアクセス待ち時間がなくなるので、条件付きブランチ命令の解決時にどの命令ストリームが最終的に選択されるかに関係なく、条件付きブランチ命令のあとに置かれた正しい次の命令セットを得て、実行することができる。本発明の好適アーキテクチャ100では、MBUF188とTBUF190があるために、命令フェッチ・ユニット102は、現れる可能性のある両方の命令ストリームをプリフェッチすることができ、命令実行ユニット104に関連して以下に説明するように、正しいと想定された命令ストリームを引き続き実行することができる。条件付きブランチ命令が解決されたとき、正しい命令ストリームがプリフェッチされて、MBUF188に入れられた場合は、TBUF190に残っている命令セットは無効にされるだけである。他方、正しい命令ストリームの命令セットがTBUF190に存在する場合は、命令プリフェッチ・バッファ・ユニット260を通して、これらの命令セットがTBUF190から直接に、並行にMBUF188内のそれぞれのバッファ・ロケーションヘ転送される。それ以前にMBUF188にストアされた命令セットは、TBUF190から転送された命令セットを重ね書きすることによって、事実上無効にされる。MBUFロケーションヘ転送するTBUF命令セットがなければ、そのロケーションには無効の印が付けられるだけである。
【0028】
同様に、EBUF192は、プリフェッチ・バッファ・ユニット260を経由する別の代替プリフェッチ経路となるものである。EBUF192は、好ましくは、MBUF188命令ストリームに現れた単一の命令、つまり、「プロシージャ」命令で指定されたオペレーションを実現するために使用される代替命令ストリームをプリフェッチする際に利用される。このようにすると、複雑な命令や拡張された命令はソフトウェア・ルーチンまたはプロシージャを通して実現することができ、すでにプリフェッチされてMBUF188に入れられた命令ストリームを乱すことなくプリフェッチ・バッファ・ユニット260を通して処理することができる。一般的には、本発明によれば、最初にTBUF190に現れたプロシージャ命令を処理することができるが、プロシージャ命令ストリームのプリフェッチは保留され、以前に現れた保留中の条件付きブランチ命令ストリームがすべて解決される。これにより、プロシージャ命令ストリームに現れた条件付きブランチ命令は、TBUF190の使用を通して矛盾なく処理されることになる。従って、プロシージャ・ストリームでブランチが行われる場合は、ターゲット命令セットはすでにプリフェッチされてTBUF190に入れられているので、EBUF192へ並列に転送することができる。
【0029】
最後に、MBUF188、TBUF190およびEBUF192の各々はプリフェッチ・バッファ出力バス196に接続され、プリフェッチ・バッファ・ユニット260によってストアされた命令セットをプリフェッチ・バッファ出力バス196上に送出するようになっている。さらに、フロー・スルー・バス194は、命令セットを命令バス114から直接にプリフェッチ・バッファ出力バス196へ転送するためのものである。
【0030】
好適アーキテクチャ100では、MBUF188、TBUF190、EBUF192内のプリフェッチ・バッファは直接的にはFIFO構造を構成していない。その代わりに、どのバッファ・ロケーションもプリフェッチ・バッファ出力バス196に接続されているので、命令用キャッシュ132から取り出された命令セットのプリフェッチ順序に大幅な自由度をもたせることができる。つまり、命令フェッチ・ユニツト102は命令ストリームに一定順序で並んだ命令順に命令セットを判断して、要求するのが一般的になっている。しかし、命令セットがIFU102へ返されるときの順序は、要求したある命令セットが使用可能で、CCU106だけからアクセス可能であり、他の命令セットはMAU112のアクセスを必要とするような場合に合わせて、順序外に現れることも可能である。
【0031】
命令セットは一定順序でプリフェッチ・バッファ・ユニット260へ返されない場合があっても、プリフェッチ・バッファ出力バス196上に出力される命令セットの列は、一般的に、IFU102から出された命令セット要求の順序に従っていなければならない。順序内(in−order)の命令ストリーム列は、例えば、ターゲット・ブランチ・ストリームの試行的実行に影響されるためである。
【0032】
Iデコード・ユニット262は、IFIFOユニット264のスペースが許すかぎり、プリフェッチ・バッファ出力バス196から命令セットを、普通は1サイクルに1つの割合で受け取る。1つの命令セットを構成する4個の命令からなる各セットはIデコード・ユニット262によって並列にデコードされる。関係の制御フロー情報がIFU102の制御経路部分のためにライン318から抜き出されている間は、命令セットの内容はIデコード・ユニット262によって変更されない。
【0033】
Iデコード・ユニット262からの命令セットはIFIFOユニット264の128ビット幅入力バス198上に送出される。内部的には、IFIFOユニット264はマスタ/スレーブ・レジスタ200、204、208、212、216、220、224の列から構成されている。各レジスタはその後続レジスタに接続され、マスタ・レジスタ200、208、216の内容がFIFOオペレーションの内部プロセッサ・サイクルの前半時にスレーブ・レジスタ204、212、220へ転送され、そのあとオペレーションの後半サイクル時に次の後続マスタ・レジスタ208、216、224へ転送されるようになっている。入力バス198はマスタ・レジスタ200、208、216、224の各々の入力に接続され、FIFOオペレーションの後半サイクル時に命令セットがIデコード・ユニット262からマスタ・レジスタに直接にロードされるようになっている。しかし、マスタ・レジスタを入力バス198からロードすることは、IFIFOユニット264内でデータをFIFOシフトすることと同時に行う必要はない。その結果、IFIFOユニット264内にストアされた命令セットの現在の深さに関係なく、さらに、IFIFOユニット264内でデータをFIFOシフトすることから独立して、入力バス198から連続的にIFIFOユニット264に入れていくことができる。
【0034】
マスタ/スレーブ・レジスタ200、204、208、212、216、220、224の各々は、128ビット幅命令セットの全ビットを並列にストアできるほかに、制御情報のいくつかのビットをそれぞれの制御レジスタ202、206、210、214、218、222、226にストアすることもできる。好ましくは、制御ビットのセットは、例外不一致(exception miss)と例外修正(exception modify)(VMU)、メモリなし(MCU)、ブランチ・バイアス、ストリーム、およびオフセット(IFU)からなっている。この制御情報は、IFIFOマスタ・レジスタに入力バス198から新しい命令セットをロードするのと同時に、IFU102の制御経路部分から発生する。そのあと、制御レジスタ情報は命令セットと並行してIFIFOユニット264内で並列にシフトされる。
【0035】
最後に、好適アーキテクチャ100では、IFIFOユニット264からの命令セットの出力は最後の2マスタ・レジスタ216、224から同時に得られて、I_Bucket 0とI Bucket 1命令セットの出力バス278、280上に送出される。さらに、対応する制御レジスタ情報がIBASV0とIBASV1制御フィールドの出力バス282、284上に送出される。これらの出力バス278、282、280、284はすべてIEU104へ通じる命令ストリーム・バス124となるものである。
【0036】
B)IFU制御経路
IFU102制御経路は、プリフェッチ・バッファ・ユニット260、Iデコード・ユニット262およびIFIFOユニット264のオペレーションを直接にサポートする。プリフェッチ制御ロジック・ユニット266は主にプリフェッチ・バッファ・ユニット260のオペレーションを管理する。プリフェッチ制御ロジック・ユニット266とIFU102は一般的には、クロック・ライン290からシステム・クロック信号を受信して、IFUのオペレーションとIEU104、CCU106およびVMU108のオペレーションとの同期をとるようにしている。命令セットを選択して、MBUF188、TBUF190およびEBUF192に書き込むための制御信号は制御ライン304上に送出される。
【0037】
多数の制御信号は、制御ライン316上に送出されて、プリフェッチ制御ロジック・ユニット266へ送られる。具体的には、フェッチ要求制御信号はプリフェッチ・オペレーションを開始するために送出される。制御ライン316上に送出される他の制御信号は要求したプリフェッチ・オペレーションが目標とする宛先がMBUF188であるか、TBUF190であるか、EBUF192であるかを指定している。プリフェッチ要求を受けて、プリフェッチ制御ロジック・ユニット266はID値を生成し、プリフェッチ要求をCCU106に通知できるかどうかを判断する。ID値の生成は、循環4ビット・カウンタを使用して行われる。
【0038】
4ビット・カウンタの使用は、次の3つの点で重要である。第1は、最大9個までの命令セットをプリフェッチ・バッファ・ユニット260で一度にアクテイブにできることである。すなわち、MBUF188での4命令セット、TBUF190での2命令セット、EBUF192での2命令セット、およびフロー・スルー・バス194経由で直接にIデコード・ユニット262に渡される1命令セットである。第2は、命令セットが各々4バイトの4個の命令からなることである。その結果、フェッチする命令を選択するどのアドレスも、その最下位4ビットは余分になっている。最後は、プリフェッチ要求アドレスの最下位4ビットとして挿入することで、プリフェッチ要求IDをプリフェッチ要求と容易に関連づけることができることである。これにより、CCU106とのインタフェースとなるために必要な総アドレス数が減少することになる。
【0039】
IFU102から出されたプリフェッチ要求の順序に対して順序外で命令セットがCCU106から返却されるようにするために、アーキテクチャ100では、CCU106からの命令セットの返却と一緒にID要求値が返されるようになっている。しかし、順序外の命令セット返却機能によると、16個の固有IDが使いつくされるおそれがある。条件付き命令の組合せが順序外で実行されると、要求されたが、まだ返却されていない追加のプリフェッチと命令セットがあるので、ID値を再使用することが可能になる。従って、4ビット・カウンタは保持しておくのが好ましく、それ以降の命令セットのプリフェッチ要求が出されないことになり、その場合には、次のID値は、未処理のまま残っているフェッチ要求やそのときプリフェッチ・バッファ・ユニット260に保留されている別の命令セットに関連づけられたものとなる。
【0040】
プリフェッチ制御ロジック・ユニット266は状況レジスタ配列(アレイ)268を直接に管理し、この配列はMBUF188、TBUF190およびEBUF192内の各命令セット・プリフェッチ・バッファ・ロケーションに論理的に対応する状況記憶ロケーションからなっている。プリフェッチ制御ロジック・ユニット266は、選択およびデータ・ライン306を通して、データをスキャンし、読み取って、状況レジスタ配列268に書き込むことができる。状況レジスタ配列268内では、メイン・バッファ・レジスタ308は、4個の4ビットID値(MB ID)、4個の1ビット予約フラグ(MB RES)および4個の1ビット有効フラグ(MB VAL)をストアしておくためのものであり、これらの各々は論理ビット位置別にMBUF180内のそれぞれの命令セット記憶ロケーションに対応づけられている。同様に、ターゲット・バッファ・レジスタ310と拡張バッファ・レジスタ312は、それぞれ2個の4ビットID値(TBID、EB ID)、2個の1ビット予約フラグ(TB RES、EB RES)および2個の1ビット有効フラグ(TB VAL、EB VAL)をストアしておくためのものである。最後に、フロー・スルー状況レジスタ314は1個の4ビットID値(FT TD)、1個の予約フラグ・ビット(FT RES)および1個の有効フラグ・ビット(FT VAL)をストアしておくためのものである。
【0041】
状況レジスタ配列268が最初にスキャンされ、該当するときは、プリフェッチ要求がCCU106に出されるたびにプリフェッチ制御ロジック・ユニット266によって更新され、そのあとは、命令セットが返されるたびにスキャンされ、更新される。具体的に説明すると、制御ライン316からプリフェッチ要求信号を受け取ると、プリフェッチ制御ロジック・ユニット266は現在の循環カウンタ生成ID値をインクリメントし、状況レジスタ配列268をスキャンして、使用可能なID値があるかどうか、プリフェッチ要求信号で指定されたタイプのプリフェッチ・バッファ・ロケーションが使用可能であるかどうかを判断し、CCU IBUSYの制御ライン300の状態を調べてCCU106がプリフェッチ要求を受け付けることができるかどうかを判断し、受付け可能ならば、制御ライン298上のCCU IREAD制御信号を肯定し、インクリメントされたID値をCCU106と結ばれたCCU IDの出力ライン294上に送出する。プリフェッチ記憶ロケーションは、対応する予約状況フラグと有効状況フラグが共に偽である場合に使用が可能である。プリフェッチIDは、要求がCCU106に出されるのと並行して、MBUF188、TBUF190、またはEBUF192内の目標とする記憶ロケーションに対応する、状況レジスタ配列268内のID記憶ロケーションに書き込まれる。さらに、対応する予約状況フラグが真にセットされる。
【0042】
CCU106が以前に要求された命令セットをIFU102へ返却できるときは、CCU IREADY信号が制御ライン302上で肯定され、対応する命令セットIDがCCU IDの出力ライン296上に送出される。プリフェッチ制御ロジック・ユニット266は状況レジスタ配列268内のID値と予約フラグをスキャンして、プリフェッチ・バッファ・ユニット260内の命令セットの目標とする宛先を判別する。一致するものは1つだけが可能である。判別されると、命令セットは命令バス114を経由してプリフェッチ・バッファ・ユニット260内の該当ロケーションに書き込まれ、フロー・スルー要求と判別されたときは、直接にIデコード・ユニット262に渡される。どちらの場合も、対応する状況レジスタ配列268に入っている有効状況フラグは真にセットされる。
【0043】
PCロジック・ユニット270は、以下で詳しく説明するように、IFU102全体を調べて、MBUF188、TBUF190およびEBUF192命令ストリームの仮想アドレスを探し出す。この機能を実行する際、PCロジック・ユニット270はIデコード・ユニット262を制御すると同時に、そこから動作する。具体的には、Iデコード・ユニット262によってデコードされ、プログラムの命令ストリームのフローの変化と係わりがある可能性のある命令部分はバス318を経由して制御フロー検出ユニット274へ送られると共に、直接にPCロジック・ユニット270へ送られる。制御フロー検出ユニット274は、条件付きブランチ命令と無条件ブランチ命令、コール型命令、ソフトウェア・トラップ・プロシージャ命令および種々のリターン命令を含む制御フロー命令を構成する各命令を、デコードされた命令セットの中から判別する。制御フロー検出ユニット274は制御信号をライン322を経由してPCロジック・ユニット270へ送る。この制御信号は、Iデコード・ユニット262に存在する命令セット内の制御フロー命令のロケーションと種類を示している。これを受けて、PCロジック・ユニット270は、一般的には、命令に入れられて、ライン318経由でPCロジック・ユニット270ヘ転送されたデータから制御フロー命令のターゲット・アドレスを判断する。例えば、条件付きブランチ命令に対して先に実行するためにブランチ・ロジック・バイアスが選択された場合は、PCロジック・ユニット270は条件付きブランチ命令ターゲット・アドレスから命令セットをプリフェッチすることを指示し、別々に追跡することを開始する。従って、制御ライン316上のプリフェッチ要求を次に肯定すると、PCロジック・ユニット270はさらに制御ライン316を経由する制御信号を肯定し、先行するプリフェッチ命令セットがMBUF188またはEBUF192へ送られたものと想定すると、プリフェッチの宛先をTBUF190として選択する。プリフェッチ要求をCCU106へ渡すことができるとプリフェッチ制御ロジック・ユニット266が判断すると、プリフェッチ制御ロジック・ユニット266は、この場合も制御ライン316を経由してイネーブル(許可)信号をPCロジック・ユニット270へ送って、ターゲット・アドレスのページ・オフセット部分(CCU PADDR〔13:4〕)をアドレス・ライン324を経由して直接にCCU106へ渡すことを可能にする。これと同時に、PCロジック・ユニット270は、新しい仮想ページから物理ページヘの変換が必要な場合には、さらに、VMU要求信号を制御ライン328を経由して、ターゲット・アドレスの仮想化部分(VMU VADDR〔13:14〕)をアドレス・ライン326を経由してVMU108へ渡して、物理アドレスに変換する。ページ変換が必要でない場合は、VMU108によるオペレーションは必要でない。その代わりに、以前の変換結果が制御ライン122に接続された出力ラッチに保存されているので、CCU106によって即時に使用される。
【0044】
PCロジック・ユニット270が要求した仮想から物理への変換時にVMU108にオペレーション・エラーが起こると、VMU例外およびVMU不一致制御(miss control)ライン332、334を通して報告される。VMU不一致制御ライン334は変換索引緩衝機構(trans1ation lookaside buffer:TLB)の不一致を報告する。VMU例外ライン332上のVMU例外制御信号は、他の例外が起こると発生する。いずれの場合も、PCロジック・ユニット270は、命令ストリーム中の現在の実行個所をストアしておき、そのあと無条件ブランチが行われたのと同じように、それを受けて、エラー条件を診断し処理するための専用例外処理ルーチン命令ストリームをプリフェッチすることによって、エラー条件を処理する。VMU例外および不一致制御信号は、発生した例外の種類を示しているので、PCロジック・ユニット270は対応する例外処理ルーチンのプリフェッチ・アドレスを判別することができる。
【0045】
IFIFO制御ロジック・ユニット272はIFIFOユニット264を直接にサポートするためのものである。具体的には、PCロジック・ユニット270は制御ライン336を経由して制御信号を出力し、命令セットがIデコード・ユニット262から入力バス198経由で使用可能であることをIFIFO制御ロジック・ユニット272に通知する。IFIFO制御ロジック・ユニット272は命令セットを受け取るために、最も奥の使用可能なマスタ・レジスタ200、208、216、224を選択する役割をもっている。マスタ制御レジスタ202、210、218、226の各々の出力は制御バス338を経由してIFIFO制御ロジック・ユニット272へ渡される。各マスタ制御レジスタによってストアされる制御ビットは2ビット・バッファ・アドレス(IF_Bx_ADR)、単一ストリーム・インジケータ・ビット(IF_Bx_STRM)、および単一有効ビット(IF_Bx_VLD)からなっている。2ビット・バッファ・アドレスは対応する命令セット内の最初の有効命令を指定している。つまり、CCU106から返された命令セットは、例えば、ブランチ・オペレーションのターゲット命令が命令セット内の最初の命令ロケーションに置かれるように境界合わせされていないことがある。従って、バッファ・アドレス値は、実行の対象として考慮される、命令セット内の最初の命令を一意的に示すために与えられる。
【0046】
ストリーム・ビットは、条件付き制御フロー命令を含んでいる命令セットのロケーションを示し、IFIFOユニット264を通る命令のストリームに潜在的制御フローの変更を引き起こすマーカとして使用されることを基本としている。メイン命令ストリームは一般にストリーム・ビット値が0のときMBUF188を通して処理される。例えば、相対条件付きブランチ命令が現れると、対応する命令セットはマークがつけられ、ストリーム・ビット値が1となる。条件付命令セットはIデコード・ユニット262によって検出される。条件付制御フロー命令は最高4つまで命令セットに存在することができる。そのあと、命令セット時IFIFOユニット264の最も奥の使用可能なマスタ・レジスタにストアされる。
【0047】
条件付ブランチ命令のターゲット・アドレスを判断するために、現在のIEU104の実行点アドレス(DPC)、ストリーム・ビットで指定された条件付命令が入っている命令セットの相対ロケーション、制御フロー検出ユニット274から得られた命令セット内の条件付命令ロケーション・オフセットは、制御ライン318を通して対応するブランチ命令フィールドから得た相対ブランチ・オフセット値と結合される。その結果はブランチ・ターゲットの仮想アドレスとなり、PCロジック・ユニット270によってストアされる。ターゲット命令ストリームの最初の命令セットは、このアドレスを使用してプリフェッチしてTBUF190に入れることができる。PCロジック・ユニット270のために事前に選択されたブランチ・バイアスに応じて、IFIFOユニット264はMBUF188またはTBUF190からロードが続けられる。1つまたは2つ以上の条件付フロー命令を含んでいる2番目の命令セットが現れると、その命令セットはストリーム・ビット値に0のマークが付けられる。2番目のターゲット・ストリームはフェッチできないので、ターゲット・アドレスはPCロジック・ユニット270によって計算されてストアされるが、プリフェッチは行われない。さらに、それ以降の命令セットはIデコード・ユニッ卜262を通して処理することができない。少なくとも、条件付きフロー制御命令を含んでいることが分かった命令セットは1つも処理されない。
【0048】
本発明の好適実施例では、PCロジック・ユニット270は、最高2個までの命令セットに現れた条件付きフロー命令を最高8個まで管理することができる。ストリーム・ビットの変化でマークが付けられた2命令セットの各々のターゲット・アドレスは4つのアドレス・レジスタの配列にストアされ、ターゲット・アドレスは命令セット内の対応する条件付きフロー命令のロケーションに対して論理的位置に置かれる。
【0049】
最初の順序内条件付きフロー命令のブランチ結果が解決されると、PCロジック・ユニット270はブランチが行われる場合は、TBUF190の内容をMBUF188に転送し、TBUF190の内容に無効のマークを付けるように、制御ライン316上の制御信号によってプリフェッチ制御ロジック・ユニット266に指示する。正しくない命令ストリーム、つまり、ブランチが行われない場合はターゲット・ストリームからの、ブランチが行われる場合はメイン命令ストリームからの命令セットがIFIFOユニット264にあると、IFIFOユニット264からクリアされる。2番目またはそれ以降の条件付きフロー制御命令が第1ストリーム・ビットのマークが付けられた命令セットに存在すると、その命令は統一された方法で処理される。すなわち、ターゲット・ストリームからの命令セットはプリフェッチされ、MBUF188またはTBUF190からの命令セットはブランチ・バイアスに応じてIデコード・ユニット262を通して処理され、条件付きフロー命令が最終的に解決されると、正しくないストリーム命令セットがIFIFOユニット264からクリアされる。
【0050】
IFIFOユニット264から正しくないストリーム命令がクリアされたとき、2番目の条件付きフロー命令がIFIFOユニット264に残っていて、最初の条件付きフロー命令セットにそれ以降の条件付きフロー命令が含まれていないと、第2ストリーム・ビットのマークが付いた命令セットのターゲット・アドレスはアドレス・レジスタの最初の配列にプロモートされる。いずれの場合も、条件付きフロー命令を含んでいる次の命令セットはIデコード・ユニット262を通して評価することが可能になる。従って、ストリーム・ビットをトグルとして使用すると、ブランチ・ターゲット・アドレスを計算する目的のために、また、ブランチ・バイアスが特定の条件付きフロー制御命令では正しくなかったとあとで判断された場合に、それより上をクリアすべき命令セット・ロケーションにマークを付ける目的のために、潜在的制御フローの変化にマークを付けておき、IFIFOユニット264を通して追跡することができる。
【0051】
命令セットをマスタ・レジスタから実際にクリアするのではなく、IFIFO制御ロジック・ユニット272はIFIFOユニット264の対応するマスタ・レジスタの制御レジスタに入っている有効ビット・フラグをリセットするだけである。このクリア・オペレーションはライン336に送出される制御信号でPCロジック・ユニット270によって開始される。マスタ制御レジスタ202、210、218、226の各々の入力は状況バス230を通してIFIFO制御ロジック・ユニット272が直接にアクセスすることができる。好適実施例のアーキテクチャ100では、これらのマスタ制御レジスタ202、210、218、226内のビットは、IFIFOユニット264によるデータ・シフト・オペレーションと並行してまたは独立してIFIFO制御ロジック・ユニット272によってセットすることが可能である。この機能により、IEU104のオペレーションと非同期に、命令セットをマスタ・レジスタ200、208、216、224のいずかに書き込み、対応する状況情報をマスタ制御レジスタ202、210、218、226に書き込むことができる。
【0052】
最後に、制御および状況バス230上の追加の制御ラインはIFIFOユニット264のIFIFOオペレーションを可能にし、指示する。IFIFOシフトは、制御ライン336を通してPCロジック・ユニット270から出力されたシフト要求制御信号を受けてIFIFO制御ロジック・ユニット272によって行われる。IFIFO制御ロジック・ユニット272は、命令セットを受け入れるマスタ・レジスタ200、208、216、224が使用可能であると、制御信号を制御ライン316を経由してプリフェッチ制御ロジック・ユニット266に送って、プリフェッチ・バッファ・ユニット260から次の該当命令セットを転送することを要求する。命令セットが転送されると、状況レジスタ配列268内の対応する有効ビットがリセットされる。
【0053】
C)IFU/IEU制御インタフェース
IFU102とIEU104とを結ぶ制御インタフェースは制御バス126によって提供される。この制御バス126はPCロジック・ユニット270に接続され、複数の制御、アドレスおよび特殊データ・ラインから構成されている。割込み要求と受信確認制御信号を制御ライン340を経由して渡すことにより、IFU102は割込みオペレーションを通知し、IEU104との同期をとることができる。外部で発生した割込み信号は割込みライン292経由でPCロジック・ユニット270へ送られる。これを受けて、割込み要求制御信号がライン340上に送出されると、IEU104は試行的に実行された命令をキャンセルする。割込みの内容に関する情報は、割込み情報ライン341を通してやりとりされる。IEU104がPCロジック・ユニット270によって判断された割込みサービス・ルーチンのアドレスからプリフェッチされた命令の受信を開始する準備状態になると、IEU104はライン340上の割込み受信確認制御信号を肯定する。IFU102によってプリフェッチされた割込みサービス・ルーチンがそのあと開始される。
【0054】
IFIFO読取り(IFIFO RD)制御信号はIEU104から制御ライン342上に出力され、最も奥のマスタ・レジスタ224に存在する命令セットが実行を完了したことおよび次の命令セットが必要であることを通知する。この制御信号を受けると、PCロジック・ユニット270はIFIFOユニット264でIFIFOシフト・オペレーションを実行するようにIFIFO制御ロジック・ユニット272に指示する。
【0055】
PCインクリメント要求とサイズ値(PC INC/SIZE)は制御ライン344上に送出されて、現在のプログラム・カウンタ値を命令の対応するサイズ数だけ更新するようにPCロジック・ユニット270に指示する。これにより、PCロジック・ユニット270は、現在のプログラム命令ストリーム中の最初の順序内実行命令のロケーションを正確に指した個所に実行プログラム・カウンタ(DPC)を維持することができる。
【0056】
ターゲット・アドレス(TARGET ADDR)はアドレス・ライン346を経由してPCロジック・ユニット270に返される。このターゲット・アドレスは、IEU104のレジスタ・アレイにストアされているデータによって決まるブランチ命令の仮想ターゲット・アドレスである。従って、ターゲット・アドレスを計算するためにIEU104のオペレーションが必要である。
【0057】
制御フロー結果(CF RESULT)制御信号は制御ライン348を経由してPCロジック・ユニット270へ送られて、現在保留されている条件付きブランチ命令が解決されたかどうか、その結果がブランチによるものなのか、ブランチによらないものなのかを示している。これらの制御信号に基づいて、PCロジック・ユニット270は、条件付きフロー命令の実行の結果として、プリフェッチ・バッファ・ユニット260とIFIFOユニット264に置かれている命令セットのどれをキャンセルする必要があるかを判断することができる。
【0058】
いくつかのIEU命令リターン型制御信号(IEUリターン)が制御ライン350上を送出されて、IEU104によってある命令が実行されたことをIFU102に通知する。これらの命令には、プロシージャ命令からのリターン、トラップからのリターンおよびサブルーチン・コールからのリターンがある。トラップからのリターン命令はハードウェア割込み処理ルーチンとソフトウェア・トラップ処理ルーチンで同じように使用される。サブルーチン・コールからのリターンもジャンプとリンク型コールと併用される。どの場合も、リターン制御信号は、以前に割込みがかけられた命令ストリームに対して命令フェッチ・オペレーションを再開するようにIFU102に通知するために送られる。これらの信号をIEU104から出すことにより、アーキテクチャ100の正確なオペレーションを維持することができる。「割込みがかけられた」命令ストリームの再開はリターン命令の実行個所から行われる。
【0059】
現命令実行PCアドレス(現IF_PC)はアドレス・バス352を経由してIEU104へ送られる。このアドレス値(DPC)はIEU104によって実行される正確な命令を指定している。つまり、IEU104が現在のIF PCアドレスを通過した命令を先に試行的に実行している間は、このアドレスは、割込み、例外、その他に正確なマシンの状態が分かっていることが必要な事象の発生に対してアーキテクチャ100を正確に制御するために保持されていなければならない。現在実行中の命令ストリームの中の正確なマシンの状態を進めることが可能であるとIEU104が判断すると、PC Inc/Size信号がIFU102に送られ、即時に現在のIF_PCアドレス値に反映される。
【0060】
最後に、アドレスおよび双方向データ・バス354は特殊レジスタのデータを転送するためのものである。このデータはIEU104によってIFU102内の特殊レジスタに入れられ、あるいはそこから読み取られるようにプログラムすることが可能である。特殊レジスタのデータは一般にIFU102が使用できるように、IEU104によってロードされ、あるいは計算される。
【0061】
D)PCロジック・ユニットの詳細
PC制御ユニット362、割込み制御ユニット363、プリフェッチPC制御ユニット364および実行PC制御ユニット366を含むPCロジック・ユニット270の詳細図は図3に示されている。PC制御ユニット362は、制御ライン316を通してプリフェッチ制御ロジック・ユニット266、及びIFIFO制御ロジック・ユニット272から、またインタフェース・バス126を通してIEU104から制御信号を受けて、プリフェッチおよび実行PC制御ユニット364、366に対してタイミング制御を行う。割込み制御ユニット363は、プリフェッチ・トラップ・アドレス・オフセットを判断してそれぞれのトラップ・タイプを処理する該当処理ルーチンを選択することを含めて、割込みと例外の正確な管理を担当する。プリフェッチ・PC制御ユニット364は、特に、トラップ処理とプロシージャ・ルーチン命令のフローのためのリターン・アドレスをストアすることを含めて、バッファ188、190、192をサポートするために必要なプログラム・カウンタの管理を担当する。このオペレーションをサポートするために、プリフェッチPC制御ユニット364は、物理アドレス・バス・ライン324上のCCU PADDERアドレスとアドレス・ライン326上のVMU VMADDRアドレスを含むプリフェッチ仮想アドレスを生成することを担当する。その結果、プリフェッチPC制御ユニット364は、現在のプリフェッチPC仮想アドレス値を保持することを担当する。
【0062】
プリフェッチ・オペレーションは一般に制御ライン316上を送出された制御信号を通してIFIFO制御ロジック・ユニット272によって開始される。これを受けて、PC制御ユニット362はいくつかの制御信号を生成して制御ライン372上に出力し、プリフェッチPC制御ユニット364を動作させて、アドレス・ライン324、326上にPADDRアドレスと、必要に応じてVMADDRアドレスを生成する。値が0から4までのインクリメント信号も制御ライン374上に送出される場合もあるが、これは、PC制御ユニット362が現在のプリフェッチ・アドレスから命令セットのフェッチを再実行しているか、一連のプリフェッチ要求の中の2番目の要求に対して位置合わせを行っているか、プリフェッチのために次の全順次命令セットを選択しているか、によって決まる。最後に、現在のプリフェッチ・アドレスPF_PCがバス370上に送出され、実行PC制御ユニット366へ渡される。
【0063】
新しいプリフェッチ・アドレスは、いくつかのソースから発生する。アドレスの主要なソースは、バス352経由で実行PC制御ユニット366から送出された現在のIF PCアドレスである。原理的には、IF_PCアドレスからはリターン・アドレスが得られ、これは、初期コール、トラップまたはプロシージャ命令が現れたとき、プリフェッチPC制御ユニット364によってあとで使用されるものである。IF_PCアドレスは、これらの命令が現れるたびに、プリフェッチPC制御ユニット364内のレジスタにストアされる。このようにして、PC制御ユニット362は制御ライン350を通してIEUリターン信号を受けたとき、プリフェッチPC制御ユニット364内のリターン・アドレス・レジスタを選択して新しいプリフェッチ仮想アドレスを取り出すだけでよく、これによって元のプログラム命令ストリームを再開する。
【0064】
プリフェッチ・アドレスのもう1つのソースは、実行PC制御ユニット366から相対ターゲット・アドレス・バス382を経由して、あるいはIEU104から絶対ターゲット・アドレス・バス346を経由して送出されたターゲット・アドレス値である。相対ターゲット・アドレスとは、実行PC制御ユニット366によって直接に計算できるアドレスである。絶対ターゲット・アドレスは、これらのターゲット・アドレスがIEUレジスタ・アレイに入っているデータに依存するので、IEU104に生成させる必要がある。ターゲット・アドレスはターゲット・アドレス・バス384を通ってプリフェッチPC制御ユニット364へ送られ、プリフェッチ仮想アドレスとして使用される。相対ターゲット・アドレスを計算する際、対応するブランチ命令のオペランド部分もIデコード・ユニット262からバス318のオペランド変位部分を経由して送られる。
【0065】
プリフェッチ仮想アドレスのもう1つのソースは、実行PC制御ユニット366である。リターン・アドレス・バス352’は、現在のIF_PC値(DPC)をプリフェッチPC制御ユニット364へ転送するためのものである。このアドレスは、割込み、トラップ、その他にコールなどの制御フロー命令が命令ストリーム内に現れた個所でリターン・アドレスとして使用される。プリフェッチPC制御ユニット364は、新しい命令ストリームをプリフェッチするために解放される。PC制御ユニット362は、対応する割込みまたはトラップ処理ルーチンまたはサブルーチンが実行されると、IEU104からライン350を経由してIEUリターン信号を受け取る。他方、PC制御ユニット362はライン372上のPFPC信号の1つを通して、およびライン350経由で送られてきて実行されたリターン命令のIDに基づいて、現在のリターン仮想アドレスを収めているレジスタを選択する。そのあと、このアドレスが使用されて、PCロジック・ユニット270によるプリフェッチ・オペレーションを続行する。
【0066】
最後に、プリフェッチ仮想アドレスが取り出されるもう1つのソースは、特殊レジスタ・アドレスおよびデータ・バス354である。IEU104によって計算またはロードされたアドレス値、または少なくともベース・アドレス値は、データとしてバス354を経由しでプリフェッチPC制御ユニット364へ転送される。ベース・アドレスは、トラップ・アドレス・テーブル、高速トラップ・テーブル、およびベース・プロシージャ命令ディスパッチ・テーブルのアドレスを含んでいる。バス354を通して、プリフェッチおよび実行PC制御ユニット364、366内のレジスタの多くを読み取ることもできるので、マシンの状態の対応する側面をIEU104を通して処理することが可能である。
【0067】
実行PC制御ユニット366は、PC制御ユニット362の制御を受けて、現在のIF PCアドレス値を計算することを主な役割としている。この役割において、実行PC制御ユニット366はPC制御ユニット362からExPC制御ライン378を経由して送られてきた制御信号と、制御ライン380を経由して送られてきたインクリメント/サイズ制御信号を受けて、IF_PCアドレスを調整する。これらの制御信号は、主に、ライン342経由で送られてきたIFIFO読取り制御信号とIEU104から制御ライン344経由で送られてきたPCインクリメント/サイズ値を受けると生成される。
【0068】
1)PFおよびExPC制御/データ・ユニットの詳細
図4は、プリフェッチおよび実行PC制御ユニット364、366の詳細ブロック図である。これらのユニットは主に、レジスタ、インクリメンタ(増分器)その他の類似部品、セレクタおよび加算器ブロックから構成されている。これらのブロック間のデータ転送を管理する制御は、PFPC制御ライン372、ExPC制御ライン378およびインクリメント制御ライン374、380を通してPC制御ユニット362によって行われる。説明を分かりやすくするために、図4のブロック図には、これらの個々の制御ラインは示されていない。しかし、これらの制御信号が以下に説明するように、これらのブロックヘ送られることは勿論である。
【0069】
プリフェッチPC制御ユニット364の中心となるものはプリフェッチ・セレクタ(PF_PC SEL)390であり、これは現プリフェッチ仮想アドレスの中央セレクタとして動作する。この現プリフェッチ・アドレスはプリフェッチ・セレクタ390から出力バス392を通ってインクリメンタ・ユニット394へ送られて、次のプリフェッチ・アドレスを生成する。この次のプリフェッチ・アドレスはインクリメンタ出力バス396を通ってレジスタMBUF PFnPC398、TBUF PFnPC400、およびEBUF PFnPC402の並列配列へ送られる。これらのレジスタ398、400、402は実効的には次の命令プリフェッチ・アドレスをストアしているが、本発明の好適実施例によれば、別々のプリフェッチ・アドレスがMBUF188、TBUF190、およびEBUF192に保持されている。MBUF、TBUFおよびEBUF PFnPCレジスタ398、400、402にストアされたプリフェッチ・アドレスは、アドレス・バス404、408、410からプリフェッチ・セレクタ390へ渡される。従って、PC制御ユニット362はプリフェッチ・レジスタ398、400、402の別の1つをプリフェッチ・セレクタ390が選択することを指示することだけでプリフェッチ命令ストリームの即時切替えを指示することができる。ストリームの中の次の命令セットをプリフェッチするために、そのアドレス値がインクリメンタ394によってインクリメントされると、その値がプリフェッチ・アドレス398、400、402のうち該当するレジスタヘ返却される。もう1つの並列レジスタ配列は簡略化のため単一の特殊レジスタ・ブロック412として示されているが、この配列はいくつかの特殊アドレスをストアするためのものである。レジスタ・ブロック412はトラップ・リターン・アドレス・レジスタ、プロシージャ命令リターン・アドレス・レジスタ、プロシージャ命令ディスパッチ・テーブル・ベース・アドレス・レジスタ、トラップ・ルーチン・ディスパッチ・テーブル・ベース・アドレス・レジスタ、および高速トラップ・ルーチン・ベース・アドレス・レジスタから構成されている。PC制御ユニット362の制御を受けて、これらのリターン・アドレス・レジスタはバス352を通して現IF_PC実行アドレスを受け入れることができる。レジスタ・ブロック412内のリターンおよびベース・アドレス・レジスタにストアされたアドレス値はIEU104から独立して読み書きすることができる。レジスタが選択され、値が特殊レジスタ・アドレスおよびデータ・バス354を経由して転送される。
【0070】
特殊レジスタ・ブロック412内のセレクタはPC制御ユニット362によって制御され、レジスタ・ブロック412のレジスタにストアされたアドレスを特殊レジスタ出力バス416上に送出して、プリフェッチ・セレクタ390へ渡すことができる。リターン・アドレスは直接にプリフェッチ・セレクタ390へ渡される。ベース・アドレス値は割込み制御ユニット363から割込みオフセット・バス373経由で送られてきたオフセット値と結合される。ソースからバス373経由でプリフェッチ・セレクタ390へ渡された特殊アドレスは、新しいプリフェッチ命令ストリームの初期アドレスとして使用され、そのあとインクリメンタ394とプリフェッチ・レジスタ398、400、402の1つを通るアドレスのインクリメント・ループを続行することができる。
【0071】
プリフェッチ・セレクタ390へ送られるアドレスのもう1つのソースは、ターゲット・アドレス・レジスタ・ブロック414内のレジスタ配列である。ブロック414内のターゲット・レジスタには、好適実施例によれば、8つの潜在的ブランチ・ターゲット・アドレスがストアされる。これらの8つの記憶ロケーションはIFIFOユニット264の最下位の2マスタ・レジスタ216、224に保持されている8つの潜在的に実行可能な命令に論理的に対応している。これらの命令のどれでもが、および潜在的にはすべてが条件付きブランチ命令となり得るので、ターゲット・レジスタ・ブロック414は、あらかじめ計算されたターゲット・アドレスをストアしておくので、TBUF190を通してターゲット命令ストリームをプリフェッチするために使用するのを待たせることができる。特に、PC制御ユニット362がターゲット命令ストリームのプリフェッチを即時に開始するように条件付きブランチ・バイアスがセットされると、ターゲット・アドレスはターゲット・レジスタ・ブロック414からアドレス・バス418を経由してプリフェッチ・セレクタ390へ送られる。インクリメンタ394によってインクリメントされたあと、アドレスはTBUF PFnPC400へ戻されてストアされ、ターゲット命令ストリームをあとでプリフェッチするオペレーションで使用される。別のブランチ命令がターゲット命令ストリームに現れると、その2番目のブランチのターゲット・アドレスが計算され、最初の条件付きブランチ命令が解決されて使用されるまでの間、ターゲット・レジスタ配列414にストアされている。
【0072】
ターゲット・レジスタ・ブロック414にストアされた、計算で求めたターゲット・アドレスは、実行PC制御ユニット366内のターゲット・アドレス計算ユニットからアドレス・ライン382を経由して、あるいはIEU104から絶対ターゲット・アドレス・バス346を経由して転送される。
【0073】
プリフェッチ・セレクタ(PF_PC SEL)390を通って転送されるアドレス値は、完全な32ビット仮想アドレス値である。ページ・サイズは本発明の好適実施例では、16Kバイトに固定されており、最大ページ・オフセット・アドレス値〔13:0〕に対応している。従って、現プリフェッチ仮想ページ・アドレス〔27:14〕に変化がなければ、VMUページの変換は不要である。プリフェッチ・セレクタ390内のコンパレータはそのことを検出する。VMU変換要求信号(VMXLAT)は、インクリメントがページ境界をこえて行われたか、制御のフローが別のページ・アドレスヘブランチしたために、仮想ページ・アドレスが変化したとき、ライン372を経由してPC制御ユニット362へ送られる。他方、PCロジック・ユニット270はライン324上のCCU PADDRのほかに、VM VADDRアドレスをバッファ・ユニット420からアドレス・ライン326上に送出し、該当の制御信号をVMU制御ライン328上に送出して、VMU仮想ページから物理ページヘの変換を得るように指示する。ページ変換が必要でない場合は、現物理ページ・アドレス〔31:14〕は制御ライン122上のVMU108の出力側のラッチによって保持される。
【0074】
バス370上に送出された仮想アドレスはインクリメント制御ライン374から送られてきた信号を受けて、インクリメンタ394によってインクリメントされる。インクリメンタ394は、次の命令セットを選択するために、命令セットを表す値(4命令または16バイト)だけインクリメントする。CCU106へ渡されるプリフェッチ・アドレスの下位4ビットはゼロになっている。従って、最初のブランチ・ターゲット命令セット内の実際のターゲット・アドレス命令は最初の命令ロケーションに置かれていない場合がある。しかし、アドレスの下位4ビットはPC制御ユニット362へ送られるので、最初のブランチ命令のロケーションをIFU102が判別することができる。ターゲット・アドレスの下位ビット〔3:2〕を2ビット・バッファ・アドレスとして返して、位置合わせされていないターゲット命令セットから実行すべき正しい最初の命令を選択するための検出と処理は、新しい命令ストリーム、つまり、命令ストリームの中の最初の非順次命令セット・アドレスの最初のプリフェッチのときだけ行われる。命令セットの最初の命令のアドレスと命令セットをプリフェッチする際に使用されるプリフェッチ・アドレスとの間の非位置合わせの関係は、現順次命令ストリームが存続している間無視することができ、そのあとも無視される。
【0075】
図4に示した機能ブロックの残り部分は実行PC制御ユニット366を構成している。本発明の好適実施例によれば、実行PC制御ユニット366は独立に機能するプログラム・カウンタ・インクリメンタを独自に備えている。この機能の中心となるのは実行セレクタ(DPC SEL)430である。実行セレクタ430からアドレス・バス352’上に出力されるアドレスはアーキテクチャ100の現在の実行アドレス(DPC)である。この実行アドレスは加算ユニツト434へ送られる。ライン380上に送出されたインクリメント/サイズ制御信号は1から4までの命令インクリメント値を指定しており、この値は加算ユニット434によって実行セレクタ430から得たアドレスに加えられる。加算ユニツト434が出力ラッチ機能を実行するたびに、インクリメントされた次の実行アドレスがアドレス・ライン436を経て直接に実行セレクタ430に返され、次の命令インクリメント・サイクルで使用される。
【0076】
初期実行アドレスとその後のすべての新しいストリーム・アドレスは、アドレス・ライン440を経由して新ストリーム・レジスタ・ユニット438から得られる。新ストリーム・レジスタ・ユニット438は、プリフェッチ・セレクタ390からPFPCアドレス・バス370を経由して送られきた新しい現プリフェッチ・アドレスを直接にアドレス・バス440に渡すことも、あとで使用するためにストアしておくこともできる。つまり、プリフェッチPC制御ユニット364が新しい仮想アドレスからプリフェッチを開始することを判断した場合は、新しいストリーム・アドレスは新ストリーム・レジスタ・ユニット438によって一時的にストアされる。PC制御ユニット362は、プリフェッチと実行インクリメントの両サイクルに関与することによって、実行アドレスが新命令ストリームを開始した制御フロー命令に対応するプログラム実行個所までに達するまで新ストリーム・アドレスを新ストリーム・レジスタ438に置いておく。新ストリーム・アドレスはそのあと新ストリーム・レジスタ・ユニット438から出力されて実行セレクタ430へ送られ、新命令ストリーム内の実行アドレスを独立して生成することを開始する。
【0077】
本発明の好適実施例によれば、新ストリーム・レジスタ・ユニット438は2つの制御フロー命令ターゲット・アドレスをバッファリングする機能を備えている。新ストリーム・アドレスを即時に取り出すことにより、殆ど待ち時間がなく実行PC制御ユニット366を現実行アドレス列の生成から新実行アドレス・ストリーム列の生成に切り替えることができる。
【0078】
最後に、IF_PCセレクタ(IF_PC SEL)442は最終的に現IF_PCアドレスをアドレス・バス352上に送出してIEU104へ送るためのものである。IF_PCセレクタ442への入力は実行セレクタ430または新ストリーム・レジスタ・ユニット438から得た出力アドレスである。殆どの場合、IF_PCセレクタ442はPC制御ユニット362の指示を受けて、実行セレクタ430から出力された実行アドレスを選択する。しかし、新命令ストリームの実行開始のために使用される新仮想アドレスヘ切り替える際の待ち時間をさらに短縮するために、新ストリーム・レジスタ・ユニット438からの選択したアドレスをバイパスして、バス440経由で直接にIF_PCセレクタ442へ送り、現IF_PC実行アドレスとして得ることができる。
【0079】
実行PC制御ユニット366は、すべての相対ブランチ・ターゲット・アドレスを計算する機能を備えている。現実行点アドレスと新ストリーム・レジスタ・ユニット438から得たアドレスは、アドレス・バス352’、440を経由して制御フロー・セレクタ(CF_PC)446に渡される。その結果、PC制御ユニット362は大幅な柔軟性をもって、ターゲット・アドレス計算の基となる正確な初期アドレスを選択することができる。この初期アドレス、つまり、ベース・アドレスはアドレス・バス454を経由してターゲット・アドレスALU450へ送られる。ターゲットALU450への入力となるもう1つの値は、制御フロー変位計算ユニット452からバス458経由で送られてくる。相対ブランチ命令は、アーキテクチャ100の好適実施例によれば、新相対ターゲット・アドレスを指定した即値モード定数の形態をした変位値を含んでいる。制御フロー変位計算ユニット452はIデコード・ユニットのオペランド出力バス318から初めて得たオペランド変位値を受け取る。最後に、オフセット・レジスタ値はライン456を経由してターゲット・アドレスALU450へ送られる。オフセット・レジスタ448はPC制御ユニット362から制御ライン378’を経由してオフセット値を受け取る。オフセット値の大きさはアドレス・ライン454上を送られるベース・アドレスから相対ターゲット・アドレスを計算するときの現ブランチ命令のアドレスまでのアドレス・オフセットに基づいてPC制御ユニット362によって判断される。つまり、PC制御ユニット362は、IFIFO制御ロジック・ユニット272を制御することによって、現実行点アドレスの命令(CP_PCによって要求された)とIデコード・ユニット262によって現在処理中の、従ってPCロジック・ユニット270によって処理中の命令を分離している命令の個数を追跡して、その命令のターゲット・アドレスを判断する。
【0080】
相対ターゲット・アドレスがターゲット・アドレスALU450によって計算されると、そのターゲット・アドレスはアドレス・バス382を通して対応するターゲット・レジスタ414に書き込まれる。
【0081】
2)PC制御アルゴリズムの詳細
1.メイン命令ストリームの処理:MBUF PFnPC
l.1 次のメイン・フロー・プリフェッチ命令のアドレスはMBUF PFnPCにストアされる。
1.2 制御フロー命令がないときは、32ビット・インクリメンタはMBUF PFnPCに入っているアドレス値を、各プリフェッチ・サイクルごとに16バイト(x16)だけ調整する。
1.3 無条件制御フロー命令がIデコードされると、命令セットに続いてフェッチされたすべてのプリフェッチ・データはフラッシュされ、MBUF PFnPCには、ターゲット・レジスタ・ユニット、PF PCセレクタおよびインクリメンタを通して、新しいメイン命令ストリーム・アドレスがロードされる。新しいアドレスは新ストリーム・レジスタにもストアされる。
1.3.1 相対無条件制御フローのターゲット・アドレスはIFUが保持しているレジスタ・データからと制御フロー命令の後に置かれたオペランド・データからIFUによって計算される。
1.3.2 絶対無条件制御フローのターゲット・アドレスはレジスタ基準値、ベース・レジスタ値、およびインデックス・レジスタ値からIEUによって最終的に計算される。
1.3.2.1 命令プリフェッチ・サイクルは絶対アドレス制御フロー命令に対してターゲット・アドレスがIEUから返されるまで停止する。命令実行サイクルは続行される。
1.4 無条件制御フロー命令から得た次のメイン・フロー・プリフェッチ命令のアドレスはバイパスされて、ターゲット・アドレス・レジスタ・ユニット、PF_PCセレクタおよびインクリメンタを経由して送られ、最終的にMBUFPFnPCにストアされ、プリフェッチは1.2から続けられる。
【0082】
2.プロシージャ命令ストリームの処理:EBUF PFnPC
2.1 プロシージャ命令はメインまたはブランチ・ターゲット命令ストリームの中でプリフェッチされる。ターゲット・ストリームの中でフェッチされた場合は、条件付き制御フロー命令が解決され、プロシージャ命令がMBUFへ転送されるまでプロシージャ・ストリームのプリフェッチを停止する。これにより、プロシージャ命令ストリームに現れた条件付き制御フローを処理する際にTBUFを使用できる。
2.1.1 プロシージャ命令はプロシージャ命令ストリームの中に置いてはならない。つまり、プロシージャ命令はネストしてはならない。プロシージャ命令からリターンすると、実行はメイン命令ストリームに戻る。ネストを可能にするためには、ネストしたプロシージャ命令から別の専用リターンが必要である。アーキテクチャはこの種の命令を容易にサポートできるが、プロシージャ命令をネストする機能があっても、アーキテクチャの性能が向上する見込みはない。2.1.2 メイン命令ストリームにおいては、第1および第2条件付き制御フロー命令を含む命令セットを含んでいるプロシージャ命令ストリームは、第1命令セットの中の条件付き制御フロー命令が解決し、第2条件付き制御フロー命令セットがMBUFへ転送されるまで第2条件付き制御フロー命令セットに対してプリフェッチを停止する。
2.2 プロシージャ命令は、命令の即値モード・オペランド・フィールドとして含まれている相対オフセットによって、プロシージャ・ルーチンの開始アドレスを示している。
2.2.1 プロシージャ命令から得られたオフセット値はIFUに維持されているプロシージャ・ベース・アドレス(PBR)レジスタに入っている値と結合される。このPBRレジスタは、特殊レジスタの移動命令が実行されると、特殊アドレスおよびデータ・バスを通して読み書き可能である。
2.3 プロシージャ命令が現れると、次のメイン命令ストリームIF PCアドレスはDPCリターン・アドレス・レジスタにストアされ、プロセッサ・ステータス・レジスタ(PSR)内のプロシージャ進行中ビット(procedure−in−progress bit)がセットされる。
2.4 プロシージャ・ストリームの開始アドレスはPBRレジスタ(プロシージャ命令オペランド・オフセット値を加えて)からPF_PCセレクタヘ送られる。
2.5 プロシージャ・ストリームの開始アドレスは新ストリーム・レジスタ・ユニットとインクリメントへ同時に送られ、(x16)だけインクリメントする。インクリメントされたアドレスはそのあとEBUF PFnPCにストアされる。
2.6 制御フロー命令がないと、32ビット・インクリメンタは各プロシージャ命令プリフェッチ・サイクルごとにEBUF PFnPCに入っているアドレス値を(x16)だけ調整する。
2.7 無条件制御フロー命令がIデコードされると、ブランチ命令のあとにフェツチされたすべてのプリフェッチ・データはフラッシュされ、EBUF PFnPCには新しいプロシージャ命令ストリーム・アドレスがロードされる。
2.7.1 相対無条件制御フロー命令のターゲット・アドレスはIFUに保持されているレジスタ・データからと制御フロー命令の即値モード・オペランド・フィールド内に入っているオペランド・データからIFUによって計算される。
2.7.2 絶対無条件ブランチのターゲット・アドレスはレジスタ基準値、ベース・レジスタ値およびインデックス・レジスタ値からIEUによって計算される。
2.7.2.1 命令プリフェッチ・サイクルは絶対アドレス・ブランチに対してターゲット・アドレスがIEUから返されるまで停止する。実行サイクルは続行される。
2.8 次のプロシージャ・プリフェッチ命令セットのアドレスはEBUF PFnPCにストアされ、プリフェッチは1.2から続けられる。
2.9 プロシージャ命令からのリターンがIデコードされると、プリフェッチがuPCレジスタにストアされているアドレスから続けられ、そのあと(x16)だけインクリメントされ、あとでプリフェッチするためにMBUF PFnPCレジスタに返される。
【0083】
3.ブランチ命令ストリームの処理:TBUF PFnPC
3.1 MBUF命令ストリームの中の最初の命令セットに現れた条件付き制御フロー命令がIデコードされると、ターゲット・アドレスはそのターゲット・アドレスが現アドレスに対する相対アドレスならばIFUによって、絶対アドレスならばIEUによって判断される。
3.2 「ブランチを行うバイアス」の場合:
3.2.1 ブランチが絶対アドレスに行われる場合は、ターゲット・アドレスがIEUから返されるまで命令プリフェッチ・サイクルを停止する。実行サイクルは続行される。
3.2.2 PF PCセレクタとインクリメンタを経由して転送することによってブランチ・ターゲット・アドレスをTBUF PFnPCにロードする。
3.2.3 ターゲット命令ストリームがプリフェッチされてTBUFに入れられたあとで実行するためにIFIFOに送られる。IFIFOとTBUFが一杯になると、プリフェッチを停止する。
3.2.4 32ビット・インクリメンタ各プリフェッチ・サイクルごとにTBUF PFnPCに入っているアドレス値を(x16)だけ調整する。
3.2.5 ターゲット命令ストリーム内の2番目の命令セットに現れた条件付き制御フロー命令がIデコードされるとプリフェッチ・オペレーションを、第1の(主)セット内のすべての条件付きブランチ命令が解決されるまで停止する(しかし、先に進んで、相対ターゲット・アドレスを計算しターゲット・レジスタにストアする)。
3.2.6 最初の命令セット内の条件付きブランチを「行う」と解釈された場合:
3.2.6.1 ブランチのソースがプロシージャ進行中ビットから判断されたEBUF命令セットであったときはMBUFまたはEBUFに入っている最初の条件付きフロー命令セットのあとに置かれた命令セットをフラッシュする。
3.2.6.2 プロシージャ進行中ビットの状態に基づいて、TBUFPFnPC値をMBUF PFnPCまたはEBUFへ転送する。
3.2.6.3 プロシージャ進行中ビットの状態に基づいて、プリフェッチしたTBUF命令をMBUFまたはEBUFへ転送する。
3.2.6.4 2番目の条件付きブランチ命令セットがIデコードされていなければ、プロシージャ進行中ビットの状態に基づいて、MBUFまたはEBUFプリフェッチ・オペレーションを続行する。
3.2.6.5 2番目の条件付きブランチ命令がIデコードされていれば、その命令の処理を開始する(ステップ3.3.1へ進む)。
3.2.7 最初の条件付き命令セットの中の命令に対する条件付き制御を「行わない」と解釈された場合:
3.2.7.1 ターゲット命令ストリームからの命令セットと命令のIFIFOとIEUをフラッシュする。
3.2.7.2 MBUFまたはEBUFプリフェッチ・オペレーションを続行する。
3.3 「ブランチが行われないバイアス」の場合:
3.3.1 命令をプリフェッチしてMBUFに入れることを停止する。実行サイクルを続ける。
3.3.1.1 最初の条件付き命令セットの中の条件付き制御フロー命令が相対ならば、ターゲット・アドレスを計算し、ターゲット・レジスタにストアする。
3.3.1.2 最初の条件付き命令セットの中の条件付き制御フロー命令が絶対ならば、IEUがターゲット・アドレスを計算して、そのアドレスをターゲット・レジスタに返すまで待つ。
3.3.1.3 2番目の命令セットの中の条件付き制御フロー命令のIデコードが行われると、最初の条件付き命令セットの中の条件付き制御フロー命令が解決されるまでプリフェッチ・オペレーションを停止する。
3.3.2 最初の条件付きブランチのターゲット・アドレスが計算されると、TBUF PFnPCにロードし、メイン命令ストリームの実行と並行して命令をプリフェッチしてTBUFに入れることを開始する。ターゲット命令セットはロードされない(従って、ブランチ・ターゲット命令は最初の命令セットの中の各条件付き制御フロー命令が解決されたとき用意されている)。
3.3.3 最初のセットの中の条件付き制御フロー命令が「行われる」と解釈された場合:
3.3.3.1 ブランチのソースがEBUF命令ストリームであるとプロシージャ進行中ビットの状態から判断されると、MBUFまたはEBUFをフラッシュし、最初の条件付きブランチ命令セットのあとに置かれたメイン命令ストリームからの命令のIFIFOとIEUをフラッシュする。
3.3.3.2 プロシージャ進行中ビットの状態から判断した通りに、TBUF PFnPC値をMBUFまたはEBUFへ転送する。
3.3.3.3 プロシージャ進行中ビットの状態から判断した通りに、プリフェッチしたTBUF命令をMBUFまたはEBUFへ転送する。
3.3.3.4 プロシージャ進行中ビットの状態から判断した通りに、MBUFまたはEBUFプリフェッチ・オペレーションを続行する。
3.3.4 最初のセット内の条件付き制御フロー命令が「行われない」と解析された場合:
3.3.4.1 ターゲット命令ストリームからの命令セットのTBUFをフラッシュする。
3.3.4.2 2番目の条件付きブランチ命令がIデコードされなかった場合は、プロシージャ進行中ビットの状態から判断した通りに、MBUFまたはEBUFプリフェッチ・オペレーションを続ける。
3.3.4.3 2番目の条件付きブランチ命令がIデコードされた場合は、その命令の処理を開始する(ステップ3.4.1へ進む)。
【0084】
4.割込み、例外およびトラップ命令
4.1 トラップは広義には次のものからなる。
4.1.1 ハードウェア割込み
4.1.1.1 非同期(外部)発生事象、内部または外部。
4.1.1.2 いつでも発生し、持続する。
4.1.1.3 アトミック(通常)命令間で優先順にサービスを受け、プロシージャ命令を一時中止する。
4.1.1.4 割込みハンドラの開始アドレスはトラップ・ハンドラ入口点の事前定義テーブルまでのベクトル番号オフセットとして判断される。
4.1.2 ソフトウェア・トラップ命令
4.1.2.1 非同期(外部)発生命令。
4.1.2.2 例外として実行されるソフトウェア命令。
4.1.2.3 トラップ・ハンドラの開始アドレスは、TBRまたはFTBレジスタにストアされたベース・アドレス値と結合されたトラップ番号オフセットから判断される。
4.1.3 例外
4.1.3.1 命令と同期して発生する事象。
4.1.3.2 命令の実行時に処理される。
4.1.3.3 例外の結果により期待された命令とすべての後続実行命令はキャンセルされる。
4.1.3.4 例外ハンドラの開始アドレスは、トラップ・ハンドラ入口点の事前定義テーブルまでのトラップ番号オフセットから判断される。
4.2 トラップ命令ストリーム・オペレーションはそのとき実行中の命令ストリームとインラインで実行される。
4.3 トラップ処理ルーチンが次の割込み可能トラップの前にxPCアドレスをセーブすることを条件に、トラップはネストが可能である。そうしないと、現トラップ・オペレーションの完了前にトラップが現れると、マシンの状態が壊れることになる。
【0085】
5.トラップ命令ストリームの処理:xPC
5.1 トラップが現れたとき:
5.1.1 非同期割込みが起こると、そのとき実行中の命令の実行は一時中止される。
5.1.2 同期例外が起こると、例外を起こした命令が実行されるとトラップが処理される。
5.2 トラップが処理されたとき:
5.2.1 割込みは禁止される。
5.2.2 現在のIF PCアドレスはxPCトラップ状態リターン・アドレス・レジスタにストアされる。
5.2.3 IF_PCアドレスとそのあとのアドレスにあるIFIFOとMBUFプリフェッチ・バッファはフラッシュされる。
5.2.4 アドレスIF_PCとそのあとのアドレスの実行された命令とその命令の結果はIEUからフラッシュされる。
5.2.5 MBUF PFnPCに、トラップ・ハンドラ・ルーチンのアドレスがロードされる。
5.2.5.1 トラップのソースは、特殊レジスタ群に入っているトラップ番号によって判断されたトラップ・タイプに応じて、TBRまたはFTBレジスタをアドレス指定している。
5.2.6 命令がプリフェッチされ、通常通りに実行するためにIFIFOに入れられる。
5.2.7 トラップ・ルーチンの命令がそのあと実行される。
5.2.7.1 トラップ処理ルーチンはxPCアドレスを所定のロケーションにセーブする機能を備え、割込みを再び可能にする。xPCレジスタは特殊レジスタ移動命令で、および特殊レジスタ・アドレスとデータ・バスを通して読み書きされる。
5.2.8 トラップ命令からのリターンを実行することによってトラップ状態から抜け出る必要がある。
5.2.8.1 以前にセーブしていたときは、xPCアドレスをその事前定義ロケーションから復元してから、トラップ命令からのリターンを実行する必要がある。
5.3 トラップ命令からのリターンが実行されたとき:
5.3.1 割込みが可能にされる。
5.3.2 プロシージャ進行中ビットの状態から判断したとおりに、xPCアドレスが現在の命令ストリーム・レジスタMBUFまたはEBUF PFnPCに戻され、プリフェッチがそのアドレスから続行される。
5.3.3 xPCアドレスが新ストリーム・レジスタを通してIF_PCレジスタに復元される。
【0086】
E)割り込みおよび例外の処理
1)概要
割込みと例外は、それらが可能にされている限り、プロセッサがメイン命令ストリームから実行されているか、プロシージャ命令ストリームから実行されているかに関係なく処理される。割込みと例外は優先順にサービスが受けられ、クリアされるまで持続している。トラップ・ハンドラの開始アドレスは、下述するように、トラップ・ハンドラの事前定義テーブルまでのベクトル番号オフセットとして判断される。
【0087】
割込みと例外は、本実施例では、基本的に2つのタイプがある。すなわち、命令ストリームの中の特定の命令と同期して引き起こされるものと、命令ストリームの中の特定の命令と非同期に引き起こされるものである。割込み、例外、トラップおよびフォールト(fault)の用語は、本明細書では相互に使い分けて用いられている。非同期割込みは、命令ストリームと同期して動作していない、オン・チップまたはオフ・チップのハードウェアによって引き起こされる。例えば、オン・チップ・タイマ/カウンタによって引き起こされる割込みは、オフ・チップから引き起こされるハードウェア割込みやマスク不能割込み(non−maskable interrupt)(NMI)と同じように、非同期である。非同期割込みが引き起こされると、プロセッサ・コンテキストが凍結され(frozen)、すべてのトラップが割込み禁止され、ある種のプロセッサ状況情報がストアされ、プロセッサは受け取った特定の割込みに対応する割込みハンドラにベクトルを向ける。割込みハンドラがその処理を完了すると、プログラム実行は割込み発生時に実行中であったストリームの中の最後に完了した命令のあとに置かれた命令から続けられる。
【0088】
同期例外とは、命令ストリームの中の命令と同期して引き起こされる例外である。これらの例外は特定の命令に関連して引き起こされ、問題の命令が実行されるまで保留される。好適実施例では、同期例外はプリフェッチ時、命令デコード時、または命令実行時に引き起こされる。プリフェッチ例外には、例えば、TLB不一致、その他のVMU例外がある。デコード例外は、例えば、デコード中の命令が違法命令であるか、プロセッサの現特権レベル(privilege level)に一致していないと、引き起こされる。実行例外は、例えば、ゼロによる除算といった算術演算エラーが原因で引き起こされる。これらの例外が起こると、好適実施例では、例外を引き起こした特定命令と例外とを対応づけ、その命令が退避(retire)されるときまでその状態が維持される。その時点で、以前に完了した命令がすべて退避され、例外を引き起こした命令からの試行的結果があれば、試行的に実行された後続の命令の試行的結果と同じように、フラッシュされる。そのあと、その命令で引き起こされた最高優先度例外に対応する例外ハンドラに制御が渡される。
【0089】
ソフトウェア・トラップ命令は制御フロー検出ユニット(CF_DET)274(図2)によってIデコード・ステージで検出され、無条件コール命令その他の同期トラップと同じように処理される。つまり、ターゲット・アドレスが計算され、プリフェッチはそのときのプリフェッチ待ち行列(EBUFまたはMBUF)まで続けられる。これと同時に、その例外は命令と対応づけられて記録され、命令が退避されるとき処理される。他のタイプの同期例外はすべて、例外を引き起こした特定命令と対応づけられて記録され、累積されるだけで、実行時に処理される。
【0090】
2)非同期割り込み
非同期割込みは、割込みライン292を通してPCロジック・ユニット270に通知される。図3に示すように、これらのラインはPCロジック・ユニット270内の割込み制御ユニット363に通知するためのもので、NMIライン、IRQラインおよび1組の割込みレベル・ライン(LVL)からなっている。NMIラインはマスク不能割込みを通知し、外部ソースを起点としている。これは、ハードウェアリセットを除き最高優先度割込みである。IRQラインも外部ソースを起点としており、外部デバイスがハードウェア割込みをいつ要求したかを通知する。好適実施例では、外部から起こされるハードウェア割込みは最高32個までユーザが定義することができ、割込みを要求した特定外部デバイスは割込みレベル・ライン(LVL)上に割込み番号(0−31)を送出する。メモリ・エラー・ラインはMCU110によってアクティベート(活動化)され、様々な種類のメモリ・エラーを通知する。その他の非同期割込みライン(図示せず)も割込み制御ユニット363に通知するために設けられている。これらには、タイマ/カウンタ割込み、メモリ入出力(I/O)エラー割込み、マシン・チェック割込み、およびパフォーマンス・モニタ割込みを要求するためのラインがある。非同期割込みの各々は、下述する同期例外と同様に、対応する事前定義トラップ番号が関連づけられている。これらのトラップ番号は32個が32個のハードウェア割込みレベルと関連づけられている。これらのトラップ番号のテーブルは割込み制御ユニット363に維持されている。一般に、トラップ番号が大きくなると、トラップの優先度が高くなる。
【0091】
非同期割込みの1つが割込み制御ユニット363に通知されると、割込み制御ユニット363は割込み要求をINT REQ/ACKライン340を経由してIEU104へ送出する。また、割込み制御ユニット363はプリフェッチ一時中止信号をライン343を経由してPC制御ユニット362へ送信し、PC制御ユニット362に命令をプリフェッチすることを中止させる。IEU104はそのとき実行中の命令をすべてキャンセルし、すべての試行的結果を中止するか、一部またはすべての命令を完了させる。好適実施例では、そのとき実行中の命令をすべてキャンセルすることによって、非同期割込みに対する応答を高速化している。いずれの場合も、実行PC制御ユニット366内のDPCは、IEU104が割込みの受信を確認する前に、最後に完了し、退避された命令に対応するように更新される。プリフェッチされてMBUF、EBUF、TBUFおよびIFIFOユニット264に置かれている他の命令もすべてキャンセルされる。
【0092】
IEU104は、割込みハンドラから割込みを受け取る準備状態にあるときだけ、割込み受信確認信号をINT REQ/ACKライン340を経由して割込み制御ユニット363へ送り返す。この信号を受け取ると、割込み制御ユニット363は、以下で説明するように、該当するトラップ・ハンドラにディスパッチする。
【0093】
3)同期例外
同期例外の場合は、割込み制御ユニット363は各命令セットごとに4個が1組の内部例外標識ビット(図示せず)をもっており、各ビットはセット内の各命令に対応づけられている。割込み制御ユニット363は各命令で見つかったとき、通知するトラップ番号も維持している。
【0094】
特定の命令セットがプリフェッチされている途中で、VMUがTLB不一致または別のVMU例外を通知すると、この情報はPCロジック・ユニット270へ、特に割込み制御ユニット363へVMU制御ライン332、334を経由して送られる。割込み制御ユニット363は、この信号を受信すると、以後のプリフェッチを一時中止するようにライン343を経由してPC制御ユニット362に通知する。これと同時に、割込み制御ユニット363は、命令セットが送られる先のプリフェッチ・バッファに関連するVM MissまたはVM_Excpビットのどちらか該当する方をセットする。そのあと、割込み制御ユニット363は、命令セットの中の命令のどれも有効でないので、その命令セットに対応する4個の内部例外標識ビット全部をセットし、問題を起こした命令セットの中の4命令の各々に対応して受信した特定例外のトラップ番号をストアする。問題のある命令より前の命令のシフトと実行は、問題の命令セットがIFIFOユニット264内で最低レベルに達するまで通常通りに続行される。
【0095】
同様に、プリフェッチ・バッファ・ユニット260、Iデコード・ユニット262またはIFIFOユニット264を通して命令をシフトしている途中で他の同期例外が検出されると、この情報も割込み制御ユニット363へ送られ、割込み制御ユニット363は、例外を引き起こした命令に対応する内部例外標識ビットをセットし、その例外に対応するトラップ番号をストアする。プリフェッチ同期例外の場合と同じように、問題を起こした命令より前の命令のシフトと実行は、問題の命令セットがIFIFOユニット264内で最低レベルに達するまで、通常通りに続行される。
【0096】
好適実施例では、プリフェッチ・バッファ・ユニット260、Iデコード・ユニット262またはIFIFOユニット264を通して命令をシフトしている途中で検出される例外は、ソフトウェア・トラップ命令の1タイプだけである。ソフトウェア・トラップ命令は、制御フロー検出ユニット(CF_DET)274によってIデコード・ステージで検出される。一部の実施例では、他の形態の同期例外がIデコード・ステージで検出されるが、他の同期例外の検出は、命令が命令実行ユニット104に到着するまで待つようにするのが好ましい。このようにすれば、特権命令を処理すると起こるようなある種の例外が、命令が実効的に順序内で実行される前に変化するおそれのあるプロセッサ状態に基づいて通知されるのが防止される。違法命令のように、プロセッサ状態に左右されない例外はIデコード・ステージで検出可能であるが、すべての実行前同期例外(VMU例外は別として)を同じロジックで検出するようにすれば、最低限のハードウェアですむことになる。また、そのような例外の処理は時間を重視することがめったにないので、命令が命令実行ユニット104に届くまでの待ちによる時間浪費もない。
【0097】
上述したように、ソフトウェア・トラップ命令は制御フロー検出ユニット(CF_DET)274によってIデコード・ステージで検出される。割込み制御ユニット363内のその命令に対応する内部例外標識ビットはセットされ、0から127までの番号で、ソフトウェア・トラップ命令の即値モード・フィールドに指定できるソフトウェア・トラップ番号はトラップ命令に対応づけられてストアされる。しかし、プリフェッチ同期例外と異なり、ソフトウェア・トラップは制御フロー命令だけでなく、同期例外としても扱われるので、割込み制御ユニット363は、ソフトウェア・トラップ命令が検出されたときプリフェッチを一時中止するようにPC制御ユニット362に通知しない。その代わりに、命令がIFIFOユニット264を通してシフトされるのと同時に、IFU102はトラップ・ハンドラをプリフェッチしてMBUF命令ストリーム・バッファに入れる。
【0098】
命令セットがIFIFOユニット264の最低レベルまで達すると、割込み制御ユニット363はその命令セットの例外標識ビットを4ビット・ベクトルとしてSYNCH INT INFOライン341経由でIEU104へ送り、命令セットの中で同期例外の発生源とすでに判断されていた命令があれば、どの命令であるかを通知する。IEU104は即時に応答しないで、命令セットの中のすべての命令が通常の方法でスケジュールされるようにする。整数算術演算例外といった別の例外は、実行時に引き起こされる場合がある。特権命令が実行されたために起こる例外のように、マシンの現在状態に左右される例外もこの時点で検出され、マシンの状態が命令ストリーム内の以前のすべての命令に対して最新となるようにするために、PSRに影響を与える可能性のあるすべての命令(特殊な移動やトラップ命令からのリターンなど)は強制的に順序内で実行される。なんらかの同期命令の発生源となった命令が退避される直前にあるときだけ、例外が起こったことが割込み制御ユニット363に通知される。
【0099】
IEU104は、試行的に実行されて同期例外を引き起こした最初の命令に先行する命令ストリームに現れたすべての命令を退避し、命令ストリームにそのあとに現れ試行的に実行された命令からの試行的結果をフラッシュする。例外を引き起こした特定の命令は、トラップから戻ると再実行されるのが普通であるので、この命令もフラッシュされる。そのあと、実行PC制御ユニット366内のIF_PCは実際に退避された最後の命令に対応するように更新され、例外が割込み制御ユニット363に通知される。
【0100】
例外の発生源である命令が退避されると、IEU104は、退避される命令セット(レジスタ224)の中に同期例外を起こした命令があれば、どの命令であるかを示した新しい4ビット・ベクトルを、命令セット内の最初の例外の発生源を示した情報と一緒に、SYNCH_INT_INFOライン341を経由して割込み制御ユニット363に返却する。IEU104から返却される4ビット例外ベクトルに入っている情報は、割込み制御ユニット363からIEU104に渡された4ビット例外ベクトルとIEU104で引き起こされた例外を累積したものである。プリフェッチまたはIデコード時に検出された例外が原因で割込み制御ユニット363にすでにストアされている情報があれば、その情報と一緒にIEU104から割込み制御ユニット363に返却される情報の残余部分は、割込み制御ユニット363が最高優先度同期例外の内容とそのトラップ番号を判断するのに十分である。
【0101】
4)ハンドラ・ディスパッチとリターン
割込み受信確認信号がライン340経由でIEU104から受信されるか、あるいはゼロ以外の例外ベクトルがライン341経由で受信された後、現DPCがリターン・アドレスとして、特殊レジスタ・ブロック412(図4)の1つであるxPCレジスタに一時的にストアされる。現プロセッサ状態レジスタ(PSR)は先のPSR(PPSR)レジスタにもストアされ、そして現状態比較レジスタ(CSR)が特殊レジスタ・ブロック412の中の旧状態比較レジスタ(PCSR)にセーブされる。
【0102】
トラップ・ハンドラのアドレスはトラップ・ベース・レジスタ・アドレスにオフセットを加えたものとして計算される。PCロジック・ユニット270はトラップ用に2つのベース・レジスタをもち、これらは共に特殊レジスタ・ブロック412(図4)の一部であり、以前に実行された特殊移動命令によって初期化される。大部分のトラップでは、ハンドラのアドレスを計算するために使用されるベース・レジスタはトラップ・ベース・レジスタTBRである。
【0103】
割込み制御ユニット363は現在保留中の最高優先度割込みまたは例外を判断し、索引(look−up)テーブルを通して、それに関連づけられたトラップ番号を判断する。これは、選択したベース・レジスタまでのオフセットとして、1組のINT_OFFSETライン373を経由してプリフェッチPC制御ユニット364へ渡される。ベクトル・アドレスは、オフセット・ビットを下位ビットとしてTBRレジスタから得た上位ビットに連結するだけで求められるという利点がある。このため、加算器の遅延が防止される。(本明細書では、2’ビットとはi’番目のビットのことである。)例えば、トラップの番号が0から255までで、これを8ビット値で表すと、ハンドラ・アドレスは8ビット・トラップ番号を22ビットのTBRストア値の最後に連結すると求められる。トラップ番号に2桁の下位ビットを付加すると、トラップ・ハンドラ・アドレスは常にワード境界上に置かれることになる。このようにして作られた連結ハンドラ・アドレスは入力373の1つとしてプリフェッチ・セレクタ(PF PC SEL)390(図4)へ送られ、次のアドレスとして選択されて、そこから命令がプリフェッチされる。TBRレジスタを使用したトラップのベクトル・ハンドラ・アドレスはすべて1ワードだけ離れている。従って、トラップ・ハンドラ・アドレスにある命令は、長くなったトラップ処理ルーチンヘの予備的ブランチ命令でなければならない。しかし、トラップには、システム・パフォーマンスの低下を防止するために、その扱いに注意が必要なものがいくつかある。例えば、TLBトラップは高速に実行させる必要がある。そのような理由から、好適実施例では、予備的ブランチの費用を払わないで、小型のトラップ・ハンドラを呼び出せるようにした高速トラップ・メカニズムが組み込まれている。さらに、高速トラップ・ハンドラはメモリにも、例えば、オン・チップROMにも独立に配置させることができるので、RAMの位置(ロケーション)に関連するメモリ・システム問題がなくなる。
【0104】
好適実施例では、高速トラップとなるトラップは上述したVMU例外だけである。高速トラップの番号は他のトラップと区別され、0〜7の範囲になっている。しかし、優先度はMMU例外と同じである。割込み制御ユニット363は、高速トラップがそのとき保留中の最高優先度であると認めると、特殊レジスタ(FTB)から高速トラップ・ベース・レジスタ(FTB)を選択し、トラップ・オフセットと結合するためにライン416上に送出する。ライン373’経由でプリフェッチ・セレクタ(PF PC SEL)390へ送られた結果のベクトル・アドレスは、FTBレジスタからの上位22ビットを連結したもので、そのあとに高速トラップ番号を表した3ビットが続き、そのあとに7個のゼロ・ビットが続いている。従って、各高速トラップ・アドレスは128バイト、つまり、32ワードだけ離れている。呼び出されると、プロセッサは開始ワードヘブランチし、ブロックまたはそこから出たブランチ内でプログラムを実行させる。32個またはそれ以下の命令で実現できる標準的なTLB処理ルーチンのような、小さなプログラムの実行は、実際の実行処理ルーチンヘの予備的ブランチが回避されるので、通常のトラップよりも高速化される。
【0105】
好適実施例では、すべての命令は同じ4バイト長になっているが(つまり、4つのアドレス・ロケーションを占有する)、注目すべきことは、命令が可変長になったマイクロプロセッサでも高速トラップ・メカニズムが利用できることである。この場合、高速トラップ・ベクトル・アドレス間には、マイクロプロセッサで使用できる最短長の命令を少なくとも2つ、好ましくは32個の平均サイズ命令を受け入れるだけの十分なスペースが設けられることは勿論である。勿論、マイクロプロセッサがトラップからのリターン命令を備えている場合には、ベクトル・アドレス間には、ハンドラ内の少なくとも1つの他の令令をその命令に置けるだけの十分なスペースを設けておく必要がある。
【0106】
また、トラップ・ハンドラヘディスパッチすると、プロセッサはカーネル・モードと割込み状態に入る。これと並行して、状態比較レジスタ(CSR)のコピーが以前のキャリー状態レジスタ(PCSR)に置かれ、PSRのコピーが以前のPSR(PPSR)にストアされる。カーネルと割込み状態モードはプロセッサ状況レジスタ(PSR)内のビットで表される。現PSRの割込み状態ビットがセットされると、シャドウ・レジスタまたはトラップ・レジスタRT〔24〕〜RT〔31〕が上述および図7(B)に示すように、見えるようになる。割込みハンドラは新しいモードをPSRに書き込むだけでカーネル・モードから出ることができるが、割込み状態から出るためには、トラップからのリターン(RTT)命令を実行する方法だけが唯一の方法である。
【0107】
IEU104がRTT命令を実行すると、PCSRはCSRレジスタに復元され、PPSRレジスタはPSRレジスタに復元されるので、PSR内の割込み状態ビットは自動的にクリアされる。プリフェッチ・セレクタ(PF_PC SEL)390は特殊レジスタ・ブロック412の中の特殊レジスタxPCにストアされたアドレスを次にそこからプリフェッチするアドレスとして選択する。xPCはインクリメンタ394とバス396を通して、MBUF PFnPCまたはEBUF PFnPCのどちらか該当する方に復元される。xPCをEBUF PFnPCに復元すべきか、またはMBUF PFnPCに復元すべきかの判断は、復元されたあとのPSRの「プロシージャ進行中」ビットに従って行われる。
【0108】
注目すべきことは、プロセッサはトラップとプロシージャ命令の両方のリターン・アドレスをストアするのに同じ特殊レジスタxPCを使用しないことである。トラップのリターン・アドレスは上述したように特殊レジスタxPCにストアされるが、プロシージャ命令のあとリータンする先のアドレスは別の特殊レジスタuPCにストアされる。従って、割込み状態は、プロセッサがプロシージャ命令で呼び出されたエミュレーション・ストリームを実行している間でも、使用可能のままになっている。他方、例外処理ルーチンは、エミュレーション・ストリームが完了したあと例外ハンドラヘ戻るためのアドレスをストアする特殊レジスタがないので、いずれのプロシージャ命令をも含んでいてはならない。
【0109】
5)ネスト
ある種のプロセッサ状況情報は、トラップ・ハンドラ、特に、CSR、PSR、リタンPC、およびある意味では“A”レジスタ・セットra〔64〕〜ra〔31〕ヘディスパッチするとき自動的にバックアップがとられるが、他のコンテキスト情報は保護されていない。例えば、浮動小数点状況レジスタ(FSR)の内容は自動的にバックアップがとられない。トラップ・ハンドラがこれらのレジスタを変更するためには、独自のバック・アップを実行しなければならない。
【0110】
トラップ・ハンドラヘディスパッチするとき自動的に行われるバックアップが制限されているために、トラップのネストは自動的に行われない。トラップ・ハンドラは必要とするレジスタのバックアップをとり、割込み条件をクリアし、トラップ処理のために必要な情報をシステム・レジスタから読み取り、その情報を適当に処理する必要がある。割込みは、トラップ・ハンドラヘディスパッチすると自動的に禁止される。処理を終えると、ハンドラはバックアップをとったレジスタを復元し、再び割込みを可能にし、RTT命令を実行して割込みから戻ることができる。
【0111】
ネストされたトラップを可能にするには、トラップ・ハンドラを第1部分と第2部分に分割する必要がある。第1部分では、割込みが禁止されている間に、特殊レジスタ移動命令を使用してxPCをコピーし、トラップ・ハンドラが維持しているスタック上にプッシュしておく必要がある。次に、特殊レジスタ移動命令を使用して、トラップ・ハンドラの第2部分の先頭のアドレスをxPCに移し、トラップからのリターン命令(RTT)を実行する必要がある。RTTは割込み状態を取り除き(PPSRをPSRに復元することによって)、制御をxPC内のアドレスを移す。xPCには、ハンドラの第2部分のアドレスが入っている。第2部分はこの時点で割込みを可能にして、割り込み可能モードで例外の処理を続けることができる。注目すべきことは、シャドウ・レジスタRT〔24〕〜RT〔31〕はこのハンドラの第1部分でのみ見ることができ、第2部分では見えないことである。従って、第2部分では、ハンドラは、“A”レジスタ値がハンドラによって変更される可能性がある場合には、その値を予約しておく必要がある。トラップ処理ルーチンは終わったときは、バックアップにとったレジスタをすべて復元し、元のxPCをトラップ・ハンドラ・スタップからポップし、それを特殊レジスタ移動命令を使用してxPC特殊レジスタに戻して、別のRTTを実行する必要がある。これにより、制御はメインまたはエミュレーション命令ストリームの中の該当命令に返される。
【0112】
6)トラップ一覧表
次の〔表1〕は、好適実施例で認識されるトラップのトラップ番号、優先度および処理モードを示すものである。
【0113】
Figure 0003879812
【0114】
III. 命令実行ユニット
図5は、IEU104の制御経路部分とデータ経路部分を示したものである。主要データ経路は、IFU102からの命令/オペランド・データ・バスを始端としている。データ・バスとして、即値オペランドはオペランド位置合わせユニット470へ送られ、レジスタ・アレイ(REG ARRAY)・ユニット472に渡される。レジスタ・データはレジスタ・アレイ・ユニット472からレジスタ・アレイ出力バス476を経由し、バイパス・ユニット474を通って、機能ユニット分配バス480を経由して機能計算エレメント(FU0-n )である機能ユニット4780-n の並列配列へ送られる。機能ユニット4780-n によって生成されたデータは、出力バス482を経由してバイパス・ユニット474またはレジスタ・アレイ・ユニット472または両方へ送り返される。
【0115】
ロード/ストア・ユニット484によってIEU104のデータ経路部分が完成される。ロード/ストア・ユニット484はIEU104とCCU106間のデータ転送の管理を担当する。具体的には、CCU106のデータ用キャッシュ134から取り出したロード・データはロード/ストア・ユニット484によってロード・データ・バス486を経由してレジスタ・アレイ・ユニット472へ転送される。CCU106のデータ用キャッシュにストアされるデータは機能ユニット分配バス480から受信される。
【0116】
IEU104の制御経路部分はIEUデータ経路を通る情報の送出、管理、およびその処理を行うことを担当する。本発明の好適実施例では、IEU制御経路は複数の命令の並行実行を管理する機能を備え、IEUデータ経路は、IEU104のほぼすべてのデータ経路エレメント間の複数のデータ転送を独立して行う機能を備えている。IEU制御経路は命令ストリーム・バス124を経由して命令を受信すると、それに応じて動作する。具体的には、命令セットはEデコード・ユニット490によって受信される。本発明の好適実施例では、Eデコード・ユニット490はIFIFOマスタ・レジスタ216、224に保持されている両方の命令セットを受信して、デコードする。8命令すべてのデコードの結果は、キャリー・チェッカ(CRY CHKR)ユニット492、依存性チェッカ(DEP CHKR)ユニット494、レジスタ改名ユニット(REG RENAME)496、命令発行(ISSUER)ユニット498および退避制御ユニット(RETIRE CLT)500へ送られる。
【0117】
キャリー・チェッカ・ユニット492はEデコード・ユニット490から制御ライン502を経由して、係属中の保留されている8命令に関するデコード化情報を受信する。キャリー・チェッカ・ユニット492の機能は、保留されている命令のうち、プロセッサ状況ワードのキャリー・ビットに影響を与える、あるいはキャリー・ビットの状態に左右される命令を識別することである。この制御情報は制御ライン504を経由して命令発行ユニット498へ送られる。
【0118】
保留状態の8命令によって使用されているレジスタ・アレイ・ユニット472のレジスタを示しているデコード化情報は、制御ライン506を経由して直接にレジスタ改名ユニット496へ送られる。この情報は、依存性チェッカ・ユニット494へも送られる。依存性チェッカ・ユニット494の機能は、保留状態の命令のどれがレジスタをデータの宛先として参照しているか、もしあれば、どの命令がこれらの宛先レジスタのいずれかに依存しているかを判断することである。レジスタに依存する命令は、制御ライン506を経由してレジスタ改名ユニット496へ送られる制御信号によって識別される。
【0119】
最後に、Eデコード・ユニット490は保留状態の8命令の各々の特定の内容と機能を識別した制御情報を制御ライン510を経由して命令発行ユニット498へ送る。命令発行ユニット498はデータ経路資源、特に、保留状態の命令の実行のためにどの機能ユニットが使用できるかを判断することを担当する。アーキテクチャ100の好適実施例によれば、命令発行ユニット498はデータ経路資源が使用可能であること、キャリーとレジスタ依存関係の制約を条件として、8個の保留状態命令のいずれかを順序外で実行できるようにする。レジスタ改名ユニット496は、実行できるように適当に制約が解除された命令のビット・マップを制御ライン512を経由して命令発行ユニット498へ送る。すでに実行された(完了した)命令およびレジスタまたはキャリーに依存する命令は論理的にビット・マップから除かれる。
【0120】
必要とする機能ユニット4780-n が使用可能であるかどうかに応じて、命令発行ユニット498は各システム・クロック・サイクルに複数の命令の実行を開始することができる。機能ユニット4780-n の状況は状況バス514を経由して命令発行ユニット498へ送られる。命令の実行を開始し、開始後の実行管理を行うための制御信号は命令発行ユニット498から制御ライン516を経由してレジスタ改名ユニット496へ送られ、また選択的に機能ユニット4780-n へ送られる。制御信号を受けると、レジスタ改名ユニット496はレジスタ選択信号をレジスタ・アレイ・アクセス制御バス518上に送出する。バス518上に送出された制御信号でどのレジスタが割込み可能にされたかは、実行中の命令を選択することによって、およびレジスタ改名ユニット496がその特定命令によって参照されたレジスタを判断することによって判断される。
【0121】
バイパス制御ユニット(BYPASS CTL)520は、一般的には、制御ライン524上の制御信号を通してバイパス・ユニット474の動作を制御する。バイパス制御ユニット520は機能ユニット4780-n の各々の状況をモニタし、制御ライン522を経由してレジスタ改名ユニット496から送られてきたレジスタ参照に関連して、データをレジスタ・アレイ・ユニット472から機能ユニット4780-n へ送るべきかどうか、あるいは機能ユニット4780-n から出力されるデータをバイパス・ユニツト474経由で機能ユニット分配バス480へ即時に送って、命令発行ユニット498によって選択された新発行の命令の実行のために使用できるかどうかを判断する。どちらの場合も、命令発行ユニット498は機能ユニット4780-n の各々への特定レジスタ・データを選択的に使用可能にすることによって、機能ユニット分配バス480から機能ユニット4780-n ヘデータを送ることを直接に制御する。
【0122】
IEU制御経路の残りのユニットには、退避制御ユニット500、制御フロー制御(CF CTL)ユニット528、および完了制御(DONE CTL)ユニット540がある。退避制御ユニット500は順序外で実行された命令の実行を無効または確認するように動作する。ある命令が順序外で実行されると、先行命令もすべて退避されたならば、その命令は、確認または退避されることができる。現セット中の保留状態の8命令のどれが実行されたかの識別情報が制御ライン542上に送出されると、その識別情報に基づいて、退避制御ユニット500はバス518に接続された制御ライン534上に制御御信号を送出して、レジスタ・アレイ・ユニット472にストアされた結果データを順序外で実行された命令の先行実行の結果として実効的に確認する。
【0123】
退避制御ユニット500は、各命令を退避するとき、PCインクリメント/サイズ制御信号を制御ライン344を経由してIFU102へ送る。複数の命令を順序外で実行でき、従って、同時に退避する準備状態に置くことができるので、退避制御ユニット500は同時に退避された命令数に基づいてサイズ値を判断する。最後に、IFIFOマスタ・レジスタ224のすべての命令が実行され、退避された場合は、退避制御ユニット500はIFIFO読取り制御信号を制御ライン342を経由してIFU102へ送って、IFIFOユニット264のシフト・オペレーションを開始することにより、Eデコード・ユニット490に追加の4命令を実行保留命令として与える。
【0124】
制御フロー制御ユニット528は各条件付きブランチ命令の論理的ブランチ結果を検出するという、特定化された機能を備えている。制御フロー制御ユニット528は現在保留中の条件付きブランチ命令の8ビット・ベクトルIDをEデコード・ユニット490から制御ライン510を経由して受信する。8ビット・ベクトル命令完了制御信号は、同じように完了制御ユニット540から制御ライン542を経由して受信される。この完了制御信号によって、制御フロー制御ユニット528は、条件付きブランチ命令が、条件付き制御フロー状況を判断するのに十分な個所まで完了すると、それを判別することができる。保留中の条件付きブランチ命令の制御フロー状況結果は、その実行時に制御フロー制御ユニット528によってストアされる。条件付き制御フロー命令の結果を判断するために必要なデータは、レジスタ・アレイ・ユニット472内の一時状況レジスタから制御ライン530を経由して得られる。各条件付き制御フロー命令が実行されると、制御フロー制御ユニット528は新しい制御フロー結果信号を制御ライン348を経由してIFU102へ送る。好適実施例では、この制御フロー結果信号は2個の8ビット・ベクトルを含んでおり、このベクトルは、保留されている可能性のある8個の制御フロー命令のそれぞれのビット位置別の状況結果が分かっているかどうか、また、ビット位置の対応づけによって得られる対応する状況結果状態を定義している。
【0125】
最後に、完了制御ユニット540は機能ユニット4780-n の各々のオペレーションに関する実行状況をモニタするためのものである。機能ユニット4780-n のいずれかが命令実行オペレーションの完了を通知すると、完了制御ユニット540は対応する完了制御信号を制御ライン542上に送出して、レジスタ改名ユニット496、命令発行ユニット498、退避制御ユニット500およびバイパス制御ユニット520にアラート(警告)する。
【0126】
機能ユニット478o-n を並列配列構成にすることにより、IEU104の制御の一貫性を向上している。命令を正しく認識して、実行のためのスケジュールするためには、個々の機能ユニット478o-n の特性を命令発行ユニット498に知らせる必要がある。機能ユニット478o-n は、必要とする機能を実行するために必要な特定制御フロー・オペレーションを判別し、実行することを担当する。従って、命令発行ユニット498以外は、IEU制御ユニットには、命令の制御フロー処理を独立して知らせる必要はない。命令発行ユニット498と機能ユニット478o-n は共同して、残りの制御フロー管理ユニット496、500、520、528、540に実行させる機能を必要な制御信号のプロンプトで知らせる。従って、機能ユニット478o-n の特定の制御フロー・オペレーションの変更は、IEU104の制御オペレーションに影響しない。さらに、既存の機能ユニット478o-n の機能を強化する場合や、拡張精度浮動小数点乗算ユニットや拡張精度浮動小数点ALU、高速フーリエ計算機能ユニット、三角関数計算ユニットなどの、別の機能ユニット478o-n を1つまたは2つ以上を追加する場合でも、命令発行ユニット498を若干変更するだけですむ。必要なる変更を行うには、Eデコード・ユニット490によって隔離された対応する命令フィールドに基づいて、特定の命令を認識し、その命令と必要とする機能ユニット478o-n とを関係づける必要がある。レジスタ・データの選択の制御、データのルーチング、命令完了と退避は、機能ユニット478o-n の他の機能ユニットすべてに対して実行される他のすべての命令の処理と矛盾がないようになっている。
【0127】
A)IEUデータ経路の詳細
IEUデータ経路の中心となるエレメントはレジスタ・アレイ・ユニット472である。しかし、本発明によれば、IEUデータ経路内には、個々の機能用に最適化された並列データ経路がいくつか用意されている。主要データ経路は整数と浮動小数点の2つである。各並列データ経路内では、レジスタ・アレイ・ユニット472の一部がそのデータ経路内で行われるデータ操作をサポートするようになっている。
【0128】
1)レジスタ・アレイ・ユニットの詳細
図6(A)は、レジスタ・アレイ・ユニット472におけるデータ経路レジスタ・ファイル550の好適アーキテクチャの概要図である。データ経路レジスタ・ファイル550は一時バッファ552、レジスタ・アレイ554、入力セレクタ559、および出力セレクタ556を含んでいる。最終的にレジスタ・アレイ554へ送られるデータは、結合データ入力バス558’を経由して一時バッファ552によって最初に受信されるのが代表例である。つまり、データ経路レジスタ・ファイル550へ送られるデータはすべて入力セレクタ559によって多重化されて、複数の入力バス558(好ましくは2つの)から入力バス558’上に送出される。制御バス518上に送出されたレジスタ選択およびイネーブル制御信号は一時バッファ552内の受信データのレジスタ・ロケーションを選択する。一時バッファ552にストアされるデータを生成した命令が退避されると、再び制御バス518上に送出された制御信号は一時バッファ552からレジスタ・アレイ554内の論理的に対応づけられたレジスタヘデータ・バス560を経由してデータを転送することを許可する。しかし、命令が退避される前は、一時バッファ552にストアされたデータは一時バッファにストアされたデータをデータ・バス560のバイパス部分を経由して出力セレクタ556へ送ることにより、後続の命令の実行時に使用することが可能である。制御バス518経由で送られる制御信号によって制御される出力セレクタ556は、一時バッファ552のレジスタからのデータとレジスタ・アレイ554のレジスタからのデータのどちらかを選択する。結果のデータはレジスタ・アレイ出力バス564上に送出される。また、実行中の命令が完了と同時に退避される場合は、つまり、その命令が順序内で実行された場合は、結果データをバイパス延長部分558”を経由して直接にレジスタ・アレイ554へ送るように指示することができる。
【0129】
本発明の好適実施例によれば、各データ経路レジスタ・ファイル550は2つのレジスタ操作を同時に行えるようになっている。従って、入力バス558を通して2つの全レジスタ幅データ値を一時バッファ552に書き込むことができる。内部的には、一時バッファ552はマルチプレクサ配列になっているので、入力データを一時バッファ552内の任意の2レジスタヘ同時に送ることができる。同様に、内部マルチプレクサにより一時バッファ552の任意の5レジスタを選択して、データをバス560上に出力することができる。レジスタ・アレイ554は同じように人出力マルチプレクサを備えているので、2つのレジスタを選択して、それぞれのデータを同時にバス560から受信することも、5つのレジスタを選択してバス562経由で送ることもできる。最後に、出力セレクタ556は、バス560、562から受信した10レジスタ・データ値のうち任意の5つがレジスタ・アレイ出力バス564上に同時に出力されるように実現するのが好ましい。
【0130】
一時バッファ552内のレジスタ・セットは図6(B)にその概要が示されている。レジスタ・セット552’は8個のシングル・ワード(32ビット)レジスタI0RD、I1RD...I7RDから構成されている。レジスタ・セット552’は4個のダブル・ワード・レジスタI0RD、I0RD+1(I0RD4)、I1RD、I1RD+1(ISRD...I3RD、I3RD+1(I7RD)のセットとして使用することも可能である。
【0131】
本発明の好適実施例によれば、レジスタ・アレイ554内の各レジスタを重複して設ける代わりに、一時バッファ・レジスタ・セット552内のレジスタは2個のIFIFOマスタ・レジスタ216、224内のそれぞれの命令の相対ロケーションに基づいて、レジスタ改名ユニット496によって参照される。本アーキテクチャ100で実現される各命令は、最高2つまでのレジスタまたは1つのダブル・ワード・レジスタを出力として参照して、命令の実行によって生成されたデータの宛先とすることができる。代表例として、命令は1つの出力レジスタだけを参照する。従って、その位置を図6(C)に示しているように、8個の保留中命令のうち1つの出力レジスタを参照する命令2(I2 )の場合は、データ宛先レジスタI2RDが選択されて、命令の実行によって生成されたデータを受け入れる。命令I2 によって生成されたデータが後続の命令、例えば、I5 によって使用される場合は、I2RDレジスタにストアされたデータはバス560を経由して転送され、結果のデータは一時バッファ552に送り返されて、I5RDで示したレジスタにストアされる。特に、命令I5 は命令I2 によって決まるので、命令I5 は、I2 からの結果データが得られるまでは実行することができない。しかし、理解されるように、命令I5 は必要とする入力データをレジスタ・セット552’の命令I2 のデータ・ロケーションから得れば、命令I2 の退避前に実行することが可能である。
【0132】
最後に、命令I2 が退避されると、レジスタI2RDからのデータは、退避個所の命令の論理位置から判断されて、レジスタ・アレイ554内のレジスタ・ロケーションに書かれる。すなわち、退避制御ユニット500は、制御ライン510経由でEデコード・ユニット490から与えれたレジスタ参照フィールド・データからレジスタ・アレイ内の宛先レジスタのアドレスを判断する。命令I0-3 が退避されると、I4RD−I7RDに入っている値は、IFIFOユニット264のシフトと同時にシフトされて、I0RD−I3RDに移される。
【0133】
命令I2 からダブル・ワード結果値が得られる場合は、さらに複雑になる。本発明の好適実施例によれば、ロケーションI2RDとI6RDの組合わせが、命令I2 が退避されるか、さもなければキャンセルまで、その命令から得た結果データをストアしておくために使用される。好適実施例では、命令I4-7 の実行は、命令I0-3 のいずれかによるダブル・ワード出力の参照がレジスタ改名ユニット496によって検出された場合には、保留される。これにより、レジスタ・セット552’全体をダブル・ワード・レジスタのシングル・ランクとして使用することが可能になる。命令I0-3 が退避されると、レジスタ・セット552’はシングル・ワード・レジスタの2ランクとして再び使用するこができる。さらに、いずれかの命令I4-7 の実行は、ダブル・ワード出力レジスタが必要な場合には、命令が対応するI0-3 にシフトされるまで保留される。
【0134】
レジスタ・アレイ554の論理的編成は図7(A)〜図7(B)に示されている。本発明の好適実施例によれば、整数データ経路用のレジスタ・アレイ554は40個の32ビット幅レジスタから構成されている。このレジスタ・セットはレジスタ・セット“A”を構成し、ベース・レジスタ・セットra〔0..23〕565、汎用レジスタra〔24..31〕566からなるトップ・セット、および8個の汎用トラップ・レジスタrt〔24..31〕567からなるシャドウ・レジスタ・セットとして編成されている。通常のオペレーションでは、レジスタra〔0..31〕565、566は整数データ経路用のレジスタ・アレイのアクティブ“A”レジスタ・セットを構成している。
【0135】
図7(B)に示すように、トラップ・レジスタrt〔24..31〕567をスワップしてアクティブ・レジスタ・セット“A”に移しておけば、レジスタra〔0..23〕565のアクティブ・ベース・セットと一緒にアクセスすることが可能である。“A”レジスタ・セットのこの構成は、割込みの受信が確認されるか、例外トラップ処理ルーチンが実行されると、選択される。レジスタ・セット“A”のこの状態は、割込み許可命令の実行またはトラップからのリターン命令の実行によって図7(A)に示す状態に明示によって戻るまで維持される。
【0136】
アーキテクチャ100によって実現された本発明の好適実施例では、浮動小数点データ経路は図8にその概要を示すように浮動小数点レジスタ・セット572を使用する。浮動小数点レジスタ・セット572は、各々が64ビット幅の32個のレジスタrf〔0..31〕から構成されている。浮動小数点レジスタ・セット572は整数レジスタrb〔0..31〕の“B”セットとして論理的に参照することも可能である。アーキテクチャ100では、この“B”セットのレジスタは浮動小数点レジスタrf〔0..31〕の各々の下位32ビットに相当している。
【0137】
第3のデータ経路を表すものとして、ブール・レジスタ・セット574が図9に示すように設けられている。これは、ブール演算の論理結果をストアする。このブール・レジスタ・セット574は32個の1ビット・レジスタrc〔0..31〕から構成されている。ブール・レジスタ・セット574のオペレーションは、ブール演算の結果をブール・レジスタ・セット574の任意の命令選択レジスタヘ送ることができる点でユニークである。これは、等しい、等しくない、より大、その他単純なブール状況値などの条件を表す1ビット・フラグをストアするシングル・プロセッサ状況ワード・レジスタを使用するのと対照的である。
【0138】
浮動小数点レジスタ・セット572とブール・レジスタ・セット574は、双方共、図6(B)に示す一時バッファ552’と同じアーキテクチャの一時バッファによって補数がとられる。基本的違いは、一時バッファのレジスタの幅が補数をとるレジスタ・セット572、574の幅と同じなるように定義されていることである。好適実施例では、幅はそれぞれ64ビットと1ビットになっている。
【0139】
多数の追加の特殊レジスタが、レジスタ・アレイ・ユニット472に少なくとも論理的に存在している。図7(C)に示すように、レジスタ・アレイ・ユニット472に物理的に存在するレジスタはカーネル・スタック・ポインタ(kernelstackpointer)568、プロセッサ状態レジスタ(PSR)569、旧プロセッサ状態レジスタ(PPSR)570および8個の一時プロセッサ状態レジスタの配列(tPSR〔0..7〕)571からなっている。残りの特殊レジスタはアーキテクチャ100の各所に分散している。特殊アドレスおよびデータ・バス354はデータを選択して、特殊レジスタおよび“A”と“B”レジスタ・セット間で転送するためのものである。特殊レジスタ移動命令は“A”または“B”レジスタ・セットからレジスタを選択し、転送の方向を選択し、特殊レジスタのアドレスIDを指定するためのものである。
【0140】
カーネル・スタック・ポインタ・レジスタとプロセッサ状態レジスタは、他の特殊レジスタとは異なっている。カーネル・スタック・ポインタは、カーネル状態にあるとき、標準のレジスタ間移動命令を実行することによってアクセス可能である。一時プロセッサ状態レジスタは直接にアクセスすることはできない。その代わりに、このレジスタ配列はプロセッサ状態レジスタの値を伝播して、順序外で実行される命令で使用できるようにする縦承メカニズム(inheritance mechanism)を実現するために使用される。初期伝播値はプロセッサ状態レジスタの値である。つまり、最後に退避された命令から得た値である。この初期値は一時プロセッサ状態レジスタから前方向に伝播され、順序外で実行される命令が対応する位置にある一時プロセッサ状態レジスタ内の値をアクセスできるようにする。命令が依存し、変更できる条件コード・ビットは、その命令がもつ特性によって定義される。命令が依存関係、レジスタまたは条件コードによって制約されないことが、依存性チェッカ・ユニット494とキャリー・チェッカ・チェッカ492によって判断された場合は、命令は順序外で実行することができる。プロセッサ状態レジスタの条件コード・ビットの変更は論理的に対応する一時プロセッサ状態レジスタに指示される。具体的には、変更の可能性があるビットだけが一時プロセッサ状態レジスタに入っている値に適用され、上位のすべての一時プロセッサ状態レジスタに伝播される。その結果、順序外で実行されるすべての命令は介在するPSR変更命令によって適切に変更されたプロセッサ状態レジスタ値から実行される。命令が退避されたときは、対応する一時プロセッサ状態レジスタ値だけがPSRレジスタ569に転送される。
その他の特殊レジスタは〔表2〕に説明されている。
【0141】
Figure 0003879812
Figure 0003879812
【0142】
2)整数データ経路の詳細
本発明の好適実施例に従って構築されるIEU104の整数データ経路は図10に示されている。説明の便宜上、整数データ経路580と結ばれる多数の制御経路は図には示していない。これらの接続関係は図5を参照して説明したとおりである。
【0143】
データ経路580の入力データは位置合わせユニット582、584および整数ロード/ストア・ユニット586から得られる。整数即値(integer immediate)データ値は、最初は命令埋込み(embedded)データ・フィールドとして与えられ、バス588経由でオペランド・ユニット470から得られる。位置合わせユニット582は整数データ値を隔離し、その結果値を出力バス590を経由してマルチプレクサ592へ送られる。マルチプレクサ592への別の入力は特殊レジスタ・アドレスとデータ・バス354である。
【0144】
命令ストリームから得られる即値(immediate)オペランドも、データ・バス594経由でオペランド・ユニット570から得られる。これらの値は、出力バス596上に送出される前に、位置合わせユニット584によって再度右寄せされる。
【0145】
整数ロード/ストア・ユニット586は外部データ・バス598を通してCCU106と双方向でやりとりする。IEU104へのインバウンド・データは整数ロード/ストア・ユニット586から入力データ・バス600を経由して入力ラッチ602へ転送される。マルチプレクサ592とラッチ602からの出力データは、マルチプレクサ608のマルチプレクサ入力バス604、606上に送出される。機能ユニット出力バス482’からのデータもマルチプレクサ608に送られる。このマルチプレクサ608はアーキテクチャ100の好適実施例では、データを同時に出力マルチプレクサ・バス610へ送る2つの通路を備えている。さらに、マルチプレクサ608を通るデータ転送は、システム・クロックの各半サイクル以内に完了することができる。本アーキテクチャ100で実現される大部分の命令は、1つの宛先レジスタを利用するので、最大4つまでの命令によって各システム・クロック・サイクルの間データを一時バッファ612へ送ることができる。
【0146】
一時バッファ612からのデータは一時レジスタ出力バス616を経由して整数レジスタ・アレイ614へ、あるいは代替一時バッファ・レジスタ・バス618を経由して出力マルチプレクサ620へ転送することができる。整数レジスタ配列出力バス622は整数レジスタ・データをマルチプレクサ620へ転送することができる。一時バッファ612と整数レジスタ・アレイ614に接続された出力バスは、それぞれ5個のレジスタ値を同時に出力することを可能にする。つまり、合計5個までのソース・レジスタを参照する2つの命令を同時に出すことができる。一時バッファ612、整数レジスタ・アレイ614およびマルチプレクサ620は、アウトバウンド・レジスタ・データの転送を半システム・クロック・サイクルごとに行うことを可能にする。従って、最高4個までの整数および浮動小数点命令を各クロック・サイクルの間に出すことができる。
【0147】
マルチプレクサ620はアウトバウンド・レジスタ・データ値を整数レジスタ・アレイ614から、あるいは一時バッファ612から直接に選択する働きをする。これにより、以前に順序外で実行された命令に依存する順序外実行命令をIEU104によって実行させることができる。これにより、保留状態の命令を順序外で実行することによってIEU整数データ経路の実行スループット能力を最大化すると共に、順序外のデータ結果を、実行され退避された命令から得たデータ結果から正確に分離するという2目標を容易に達成することができる。マシンの正確な状態を復元する必要のあるような割込みや他の例外条件が起こると、本発明によれば、一時バッファ612に存在するデータ値を簡単にクリアすることができる。従って、整数レジスタ・アレイ614は、割込みまたは他の例外条件が発生する以前に完了し、退避された命令の実行によってのみ得られたデータ値を正確に収めたままになっている。
【0148】
マルチプレクサ620の各半システム・サイクル・オペレーション時に選択されたレジスタ・データ値は最高5つまでがマルチプレクサ出力バス624を経由してバイパス・ユニット626へ送られる。このバイパス・ユニット626は、基本的に、マルチプレクサが並列の配列からなり、その入力のいずれかに現れたデータをその出力のいずれかへ送ることができる。バイパス・ユニット626の入力は、マルチプレクサ592から出力バス604を経由する特殊レジスタ・アドレス指定データ値または即値の整数値、バス624上に送出される最高5つまでのレジスタ・データ値、整数ロード/ストア・ユニット586からダブル整数バス600を経由するロード・オペランド・データ、その出力バス596を経由して位置合わせユニット584から得た即値オペランド値、最後に、機能ユニット出力バス482からのバイパス・データ経路からなっている。このバイパス経路とデータ・バス482はシステム・クロック・サイクルごとに4個のレジスタ値を同時に転送することができる。
【0149】
データはバイパス・ユニット626から浮動小数点データ・バスに接続された整数バイパス・バス628上に出力されて、最高5つまでのレジスタ・データ値を同時に転送する機能をもつ2つのオペランド・データ・バスと、整数ロード/ストア・ユニット586ヘデータを送るために使用されるストア・データ・バス632へ送られる。
【0150】
ルータ・ユニット634はその入力から受信された5個のレジスタ値を整数データ通路に設けられた機能ユニットヘ送ることを可能にする並列のマルチプレクサ配列によって実現される。具体的には、ルータ・ユニット634はバイパス・ユニット626からバス630を経由して送られてきた5個のレジスタ・データ値、アドレス・バス352を経由して送られてきた現IF PCアドレス値、PC制御ユニット362によって判断され、ライン378’上に送出された制御フロー・オフセット値を受信する。ルータ・ユニット634は、浮動小数点データ経路内に設けられたバイパス・ユニット626から取り出されたオペランド・データ値をデータ・バス636を経由して受信することもできる(オプション)。
【0151】
ルータ・ユニット634によって受信されたレジスタ・データ値は、特殊レジスタ・アドレスおよびデータ・バス354上を転送されて、機能ユニット640、642、644へ送られる。具体的には、ルータ・ユニット634は最高3つまでのレジスタ・オペランド値をルータ出力バス646、648、650を経由して機能ユニット640、642、644の各々へ送る機能を備えている。本アーキテクチャ100の一般的アーキテクチャによれば、最高2つまでの命令を同時に機能ユニット640、642、644に対して出すことが可能である。本発明の好適実施例によれば、3つの専用整数機能ユニットに、それぞれプログラマブル・シフト機能と2つの算術演算ロジック・ユニット機能をもたせることができる。
【0152】
ALU0機能ユニット644、ALU1機能ユニット642およびシフタ機能ユニット640はそれぞれの出力レジスタ・データを機能ユニット・バス482I上に送出する。ALU0とシフタ機能ユニット644、640から得た出力データも浮動小数点データ経路に接続された共用整数機能ユニット・バス650上に送出される。類似の浮動小数点機能ユニット出力値データ・バス652が浮動小数点データ経路から機能ユニット出力バス482’へ設けられている。
【0153】
ALU0機能ユニット644はIFU102のプリフェッチ操作と整数ロード/ストア・ユニット586のデータ操作の両方をサポートするために仮想アドレス値を生成する場合にも使用される。ALU0機能ユニット644によって計算された仮想アドレス値はIFU102のターゲット・アドレス・バス346とCCU106の両方に接続された出力バス654上に送出され、実行ユニットの物理アドレス(EX PADDR)が得られる。ラッチ656は、ALU0機能ユニット644によって生成されたアドレスの仮想化部分をストアするためのものである。アドレスのこの仮想化部分は出力バス658上に送出されて、VMU108へ送られる。
【0154】
3)浮動小数点データ経路の詳細
次に、図11は浮動小数点データ経路を示したものである。初期データは、この場合も、即値整数オペランド・バス588、即値オペランド・バス594および特殊レジスタ・アドレス・データ・バス354を含む、複数のソースから受信される。外部データの最終的ソースは外部データ・バス598を通してCCU106に接続された浮動小数点ロード/ストア・ユニット662である。
【0155】
即値整数オペランドは、位置合わせ出力データ・バス668を経由してマルチプレクサ666に渡す前に整数データ・フィールドを右寄せする働きをする位置合わせユニット664によって受信される。マルチプレクサ666は特殊レジスタ・アドレス・データ・バス354も受信する。即値オペランドは第2の位置合わせユニット670へ送られ、右寄せされてから出力バス672上に送出される。浮動小数点ロード/ストア・ユニット662からのインバウンド・データ(inbound data)は、ロード・データ・バス676からラッチ674によって受信される。マルチプレクサ666、ラッチ674および機能ユニット・データ・リターン・バス482”からのデータはマルチプレクサ678の入力から受信される。マルチプレクサ678は選択可能なデータ経路を備え、2つのレジスタ・データ値がシステム・クロックの半サイクルごとに、マルチプレクサ出力バス682を経由して一時バッファ680に書き込まれることを可能にする。一時バッファ680は図6(B)に示す一時バッファ552と論理的に同じレジスタ・セットを備えている。一時バッファ680はさらに、最高5個までのレジスタ・データ値を一時バッファ680から読み取って、データ・バス686を経由して浮動小数点レジスタ・アレイ684と、出力データ・バス690を経由して出力マルチプレクサ688へ送ることができる。マルチプレクサ688は、データ・バス692を経由して、浮動小数点レジスタ・アレイ684から最高5個までのレジスタ・データ値も同時に受信する。マルチプレクサ688は最高5個までのレジスタ・データ値を選択して、データ・バス696を経由してバイパス・ユニット694へ同時に転送する働きをする。バイパス・ユニット694は、データ・バス672、マルチプレクサ666からの出力データ・バス698、ロード・データ・バス676および機能ユニット・データ・リターン・バス482”のバイパス延長部分を経由して、位置合わせユニット670から与えられた即値オペランド値も受信する。バイパス・ユニット694は最高5個までのレジスタ・オペランド・データ値を同時に選択して、バイパス・ユニット出力バス700、浮動小数点ロード/ストア・ユニット662に接続されたストア・データ・バス702、および整数データ経路580のルータ・ユニット634に接続された浮動小数点バイパス・バス636上に出力するように働く。
【0156】
浮動小数点ルータ・ユニット704は、バイパス・ユニット出力バス700と整数データ経路バイパス・バス628とそれぞれの機能ユニット712、714、716に接続された機能ユニット入力バス706、708、710との間で同時にデータ経路を選択できる機能を備えている。アーキテクチャ100の好適実施例による入力バス706、708、710の各々は、最高3個までのレジスタ・オペランド・データ値を機能ユニット712、714、716の各々へ同時に転送することが可能である。これらの機能ユニット712、714、716の出力バスは機能ユニット・データ・リターン・バス482”に結合され、データをレジスタ・アレイ入力マルチプレクサ678へ戻すようになっている。整数データ経路機能ユニット出力バス650を、機能ユニット・データ・リターン・バス482”に接続するために設けることも可能である。本発明のアーキテクチャ100によれば、マルチプレクサ機能ユニット712と浮動小数点ALU714の機能ユニット出力バスを浮動小数点データ経路機能ユニット・バス652を経由して整数データ経路500の機能ユニット・データ・リターン・バス482”に接続することが可能である。
【0157】
4) ブール・レジスタ・データ経路の詳細
ブール演算データ経路720は図12に示されている。このデータ経路720は基本的に2種類の命令の実行をサポートするために利用される。最初のタイプは、オペランド比較命令であり、この命令では、整数レジスタ・セットと浮動小数点レジスタ・セットから選択された、あるいは即値オペランドとして与えられた2つのオペランドが、ALU機能ユニットの1つで整数と浮動小数点データ経路を減算することによって比較される。この比較は、ALU機能ユニット642、644、714、716のいずかによる減算によって行われ、その結果の符号とゼロ状況ビットは入力セレクタと比較演算子結合ユニット722へ送られる。このユニット722は、制御信号を指定した命令をEデコード・ユニット490から受け取ると、ALU機能ユニット642、644、714、716の出力を選択し、符号およびゼロ・ビットを結合し、ブール比較結果値を抽出する。出力バス723を通して比較演算の結果を入力マルチプレクサ726とバイパス・ユニット742へ同時に転送することができる。整数および浮動小数点データ経路と同じように、バイパス・ユニット742は並列のマルチプレクサ配列として実現され、バイパス・ユニット742の入力間で複数のデータ経路を遇択して、複数の出力と結ぶことができる。バイパス・ユニット742の他の人力はブール演算結果リターン・データ・バス724とデータ・バス744上の2つのブール・オペランドからなっている。バイパス・ユニット742は、最高2つまでの同時に実行中のブール命令を表したブール・オペランドを、オペランド・バス748を経由してブール演算機能ユニット746へ転送することができる。また、バイパス・ユニット742は最高2個までのシングル・ビット・ブール・オペランド・ビット(CF0、CF1)を制御フロー結果制御ライン750、752を経由して同時に転送することができる。
【0158】
ブール演算データ経路の残り部分は、比較結果バス723とブール結果バス724上に送出された比較およびブール演算結果値を、その入力として受信する入力マルチプレクサ726を含んでいる。このバス724は最高2個までのブール結果ビットを同時にマルチプレクサ726へ転送することができる。さらに、最高2個までの比較結果ビットをバス723を経由してマルチプレクサ726へ転送することができる。マルチプレクサ726はマルチプレクサの入力端に現れた任意の2個の信号ビットをマルチプレクサの出力端を経由して、システム・クロックの各半サイクル時にブール演算一時バッファ728へ転送することができる。一時バッファ728は、2つの重要な点が異なることを除けば、図6(B)に示したレジスタ・セット552’と論理的に同じである。第1の相違点は、一時バッファ728内の各レジスタ・エントリがシングル・ビットからなることである。第2の相違点は、8個の保留中命令スロットの各々に1つのレジスタだけが設けられていることである。これは、ブール演算の結果全部が定義によって1つの結果ビットによって定義されるためである。
【0159】
一時バッファ728は最高4個までの出力オペランド値を同時に出力する。これにより、各々2つのソース・レジスタヘのアクセスを必要とする2個のブール命令を同時に実行させることができる。4個のブール・レジスタ値はシステム・クロックの各半サイクルごとにオペランド・バス736上に送出し、マルチプレクサ738へあるいはブール・オペランド・データ・バス734を経由してブール・レジスタ・アレイ732へ転送することができる。ブール・レジスタ・アレイ732は、図9に論理的に示すように、1個の32ビット幅データ・レジスタであり、任意に組み合わせた最高4個までのシングル・ビット・ロケーションを、一時バッファ728からのデータで修正し、システム・クロックの各半サイクルごとにブール・レジスタ・アレイ732から読み取って出力バス740上に送出することができる。マルチプレクサ738はバス736、740経由でその出力端から受信したブール・オペランドの任意のペアを、オペランド出力バス744上に送出してバイパス・ユニット742へ転送する。
【0160】
ブール演算機能ユニット746は2個のソース値についてブール演算を幅広く実行する機能を備えている。比較命令の場合には、ソース値は整数および浮動小数点レジスタ・セットのいずれかから得たペアのオペランドとIEU104へ送られる任意の即値オペランドであり、ブール命令の場合は、ブール・レジスタ・オペランドの任意の2つである。〔表3〕と〔表4〕は、本発明のアーキテクチャ100の好適実施例における論理比較演算を示すものである。〔表5〕は本発明のアーキテクチャ100の好適実施例における直接ブール演算を示すものである。〔表2〕ー〔表5〕に示されている命令条件コードと機能コードは対応する命令のセグメントを表している。また、命令はペアのソース・オペランド・レジスタと、対応するブール演算結果をストアするための宛先ブール・レジスタを指定する。
【0161】
Figure 0003879812
【0162】
Figure 0003879812
【0163】
Figure 0003879812
【0164】
B)ロード/ストア制御ユニット
図13はロード/ストア・ユニット760の例を示したものである。データ経路580、660に別々に示されているが、ロード/ストア・ユニット586、662は1つの共用ロード/ストア・ユニット760として実現するのが好ましい。それぞれのデータ経路580、660からのインタフェースはアドレス・バス762およびロードとストア・データ・バス764(600、676)、766(632、702)を経由している。
【0165】
ロード/ストア・ユニット760によって使用されるアドレスは、IFU102およびIEU104の残り部分で使用される仮想アドレスとは対照的に、物理アドレスである。IFU102は仮想アドレスで動作し、CCU106とVMU108間の調整に依存して物理アドレスを生成するのに対し、IEU104ではロード/ストア・ユニット760を物理アドレス・モードで直接に動作させる必要がある。この要件が必要になるのは、順序外で実行されるために、物理アドレス・データとストア・オペレーションがオーバラップするような命令が存在する場合、およびCCU106からロード/ストア・ユニット760への順序外のデータ・リターンが存在する場合に、データ保全性を保つためである。データ保全性を保つために、ロード/ストア・ユニット760はストア命令がIEU104によって退避されるまで、ストア命令から得たデータをバッファに置いておく。その結果、ロード/ストア・ユニット760によってバッファに置かれたストア・データはロード/ストア・ユニット760に1つだけ存在することができる。実行されたが退避されていないストア命令と同じ物理アドレスを参照するロード命令は、ストア命令が実際に退避されるまで実行が遅延される。その時点で、ストア・データをロード/ストア・ユニット760からCCU106へ転送し、CCUデータ・ロード・オペレーションの実行によって即時にロード・バックすることができる。
【0166】
具体的には、物理アドレス全体がVMU108からロード/ストア・アドレス・バス762上に送出される。ロード・アドレスは、一般的には、ロード・アドレス・レジスタ7683-0 にストアされる。ストア・アドレスはストア・アドレス・レジスタ7703-0 にラッチされる。ロード/ストア制御ユニット774は命令発行ユニット498から受信した制御信号を受けて動作し、ロード・アドレスとストア・アドレスをレジスタ7683-0 、7703-0 にラッチすることを調整する。ロード/ストア制御ユニット774はロード・アドレスをラッチするための制御信号を制御ライン778上に送出し、ストア・アドレスをラッチするための制御信号を制御ライン780上に送出する。ストア・データはストア・データ・レジスタ・セット7823-0 の論理的に対応するスロットにストア・アドレスをラッチするのと同時にラッチされる。4x4x32ビット幅アドレス比較ユニット772には、ロードおよびストア・アドレス・レジスタ7683-0 、7703-0 に入っているアドレスの各々が同時に入力される。システム・クロックの各半サイクル時の完全マトリックス・アドレス比較の実行は、制御ライン776を介してロード/ストア制御ユニット774によって制御される。ストア・アドレスに一致するロード・アドレスの存在と論理ロケーションは、制御ライン776を経由してロード/ストア制御ユニット774へ送られる。
【0167】
ロード・アドレスがVMU108から与えられ、保留中のストアがない場合は、ロード・アドレスは、CCUロード・オペレーションの開始と同時に、バス762から直接にアドレス・セレクタ786ヘバイパスされる。しかし、ストア・データが保留されている場合は、ロード・アドレスは使用可能なロード・アドレス・ラッチ7683-0 にラッチされる。対応するストア・データ命令が退避されるとの制御信号を退避制御ユニット500から受信すると、ロード/ストア制御ユニット774はCCUデータ転送操作を開始し、制御ライン784を通してCCU106へのアクセスの仲裁を行う。CCU106がレディ(ready)を通知すると、ロード/ストア制御ユニット774はCCU物理アドレスをCCUPADDRアドレス・バス788上に送出するようにアドレス・セレクタ786に指示する。このアドレスはアドレス・バス790を経由して対応するストア・レジスタ7703-0 から得られる。対応するストア・データ・レジスタ7823-0 からのデータはCCUデータ・バス792上に送出される。
【0168】
ロード命令が命令発行ユニット498から出されると、ロード/ストア制御ユニット774はロード・アドレス・ラッチ7683-0 の1つが要求されたロード・アドレスをラッチすることを許可する。選択された特定のラッチ7683-0 は関係命令セット内のロード命令の位置に論理的に対応している。命令発行ユニット498は保留中の可能性のある2命令セットのどちらかの中のロード命令を示している5ビット・ベクトルをロード/ストア制御ユニット774へ渡す。コンパレータ772が一致するストア・アドレスを示していない場合は、ロード・アドレスはアドレス・バス794を経由してアドレス・セレクタ786へ送られ、CCU PADDRアドレス・バス788上に出力される。アドレスの提供は、ロード/ストア制御ユニット774とCCU106間でやりとりされるCCU要求とレディ制御信号に従って行われる。実行ID値(ExID値)もロード/ストア制御ユニット774によって準備されてCCU106に対して出され、CCU106がExID値を含む要求データをそのあとで返却するときロード要求を識別する。このID値は4ビット・ベクトルからなり、現ロード要求を出したそれぞれのロード・アドレス・ラッチ7683-0 を固有ビットで指定している。5番目のビットはロード命令を収めている命令セットを識別するために使用される。このID値は、従って、命令発行ユニット498からロード要求と一緒に送られるビット・ベクトルと同じである。
【0169】
先行する要求ロード・データが使用可能であることがCCU106からロード/ストア制御ユニット774へ通知されると、ロード/ストア制御ユニット774は、位置合わせユニット798がデータを受信し、それをロード・データ・バス764上に送出することを許可する。位置合わせユニット798はロード・データを右寄せする働きをする。
【0170】
CCU106からデータが返却されると同時に、ロード/ストア制御ユニット774はCCU106からExID値を受信する。他方、ロード/ストア制御ユニット774はロード・データがロード・データ・バス764上に送出されることを知らせる制御信号を命令発行ユニット498へ送り、さらに、どのロード命令に対してロード・データが返却されるのかを示したビット・ベクトルを返却する。
【0171】
C)IEU制御経路の詳細
再び図5を参照して、IEU制御経路のオペレーションを、図14に示したタイミング図と関連づけて説明する。図14に示した命令の実行タイミングは本発明のオペレーションを例示したもので、種々態様に変更可能であることは勿論である。
【0172】
図14のタイミング図はプロセッサ・システム・クロック・サイクルP0-6 のシーケンスを示している。各プロセッサ・サイクルは内部TサイクルT。から始まる。本発明の好適実施例によるアーキテクチャ100では、各プロセッサ・サイクルは2つのTサイクルからなっている。
【0173】
プロセッサ・サイクル0のときは、IFU102とVMU108は物理アドレスを生成するように動作する。この物理アドレスはCCU106へ送られ、命令用キャッシュ・アクセス・オペレーションが開始される。要求された命令セットが命令用キャッシュ132にあると、命令セットはプロセッサ・サイクル1のほぼ中間でIFU102へ戻される。そのあと、IFU102はプリフェッチ・バッファ・ユニット260とIFIFOユニット264を経由する命令セットの転送を管理し、転送された命令セットはまずIEU104へ実行のために渡される。
【0174】
1)Eデコード・ユニットの詳細
Eデコード・ユニット490は全命令セットを並列に受け取って、プロセッサ・サイクル1が完了する前にデコードする。Eデコード・ユニット490は、好適アーキテクチャ100では、命令ストリーム・バス124を経由して受け取ったすべての有効命令を並列に直接デコードする機能を備えた順列組合せ理論に基づくロジック・ブロックとして実現されている。アーキテクチヤ100によって認識される命令は、各タイプ別に、命令、レジスタ要件および必要な資源の仕様と共に〔表6〕に示されてる。
【0175】
Figure 0003879812
Figure 0003879812
【0176】
Eデコード・ユニット490は命令セットの各命令を並列にデコードする。その結果の命令の識別、命令機能、レジスタ参照および機能要件はEデコード・ユニット490の出力から得られる。この情報は再生成され、命令セット内のすべての命令が退避されるまで、プロセッサ・サイクルの各半サイクル期間、Eデコード・ユニット490によってラッチされる。従って、保留状態の8命令すべてに関する情報が、Eデコード・ユニット490の出力から絶えず得られるようになっている。この情報は、8エレメント・ビット・ベクトルの形式で表示され、各ベクトルのビットまたはサブフィールドは2つの保留中命令セット内の対応する命令の物理ロケーションに論理的に対応している。従って、8個のベクトルが制御ライン502を経由してキャリー・チェッカ・ユニット492へ送られる。この場合、各ベクトルは、対応する命令がプロセッサ状況ワードのキャリー・ビットに作用を及ぼしているか、あるいはそれに依存しているかを指定している。8個のベクトルが各命令の特定の内容と機能ユニット要件を示すために制御ライン510を経由して送られる。8個のベクトルが制御ライン506を経由して送られ、8個の保留中命令の各々によって使用されたレジスタ参照を指定している。これらのベクトルはプロセッサ・サイクル1が終了する前に送られる。
【0177】
2)キャリー・チェッカ・ユニットの詳細
キャリー・チェッカ・ユニット492は図14に示すオペレーションのデータ依存関係フェーズ期間の間に依存性チェッカ・ユニット494と並列に動作する。キャリー・チェッカ・ユニット492は好適アーキテクチャ100では順列組合せ理論に基づくロジックとして実現されている。従って、キャリー・チェッカ・ユニット492によるオペレーションの各繰返し時に、命令がプロセッサ状態レジスタのキヤリー・フラグを変更したかどうかについて8個の命令すべてが考慮される。これが必要とされるのは、その前の命令によって設定されたキャリー・ビットの状況に依存する命令を順序外で実行することを可能にするためである。制御ライン504上に送出された制御信号により、キャリー・チェッカ・ユニット492は、キャリー・フラグに対する先行命令の実行に依存する特定の命令を識別することができる。
【0178】
さらに、キャリー・チェッカ・ユニット492は8個の保留中命令の各々についてキャリー・ビットの一時的コピーをもっている。キャリー・ビットを変更していない命令については、キャリー・チェッカ・ユニット492はプログラム命令ストリームの順序でキャリー・ビットを次の命令に伝える。従って、順序外で実行され、キャリー・ビットを変更する命令を実行させることが可能であり、さらに、その順序外で実行される命令に依存する後続の命令も、キャリー・ビットを変更する命令のあとに置かれていても、実行することが可能である。さらに、キャリー・ビットがキャリー・チェッカ・ユニット492によって維持されているので、これらの命令の退避以前に例外が起こったとき、キャリー・チェッカ・ユニットは内部一時キャリー・ビット・レジスタをクリアするだけでよいことから、順序外で実行することが容易になる。その結果、プロセッサ状況レジスタは、順序外で実行される命令の実行による影響を受けない。キャリー・チェッカ・ユニット492が維持している一時キャリー・ビット・レジスタは、順序外で実行される各命令が完了すると更新される。順序外で実行される命令が退避されると、プログラム命令ストリームの中で最後に退避された命令に対応するキャリー・ビットはプロセッサ状況レジスタのキャリー・ビット・ロケーションヘ転送される。
【0179】
3)データ依存関係チェッカ・ユニットの詳細
依存性チェッカ・ユニット494はEデコード・ユニット490から制御ライン506を経由して8個のレジスタ参照識別ベクトルを受け取る。各レジスタの参照は32個のレジスタを一度に1つを識別するのに適した5ビット値と、“A”、“B”またはブール・レジスタ・セット内に置かれているレジスタ・バンクを識別する2ビット値によって示されている。浮動小数点レジスタ・セットは“B”レジスタ・セットとも呼ばれる。各命令は最高3つまでのレジスタ参照フィールドをもつことができる。2つのソース・レジスタ・フィールドと1つの宛先レジスタ・フィールドである。ある種の命令、特にレジスタ間移動命令は、宛先レジスタを指定している場合があっても、Eデコード・ユニット490によって認識される命令ビット・フィールドは、実際に作成される出力データがないことを意味している場合がある。むしろ、命令の実行は、プロセツサ状況レジスタの値の変更を判断することだけを目的としている。
【0180】
依存性チェッカ・ユニット494も好適アーキテクチャ100において純然たる組合せロジック(purecombinatorial logic)で実現されているが、これはプログラム命令ストリーム内に後に現れる命令のソース・レジスタ参照と相対的に前に置かれた命令の宛先レジスタ参照との間の依存関係を同時に判断するように動作する。ビット配列は、どの命令が他の命令に依存するかを識別するだけでなく、各依存関係がどのレジスタに基づいて生じたかを識別する依存性チェッカ・ユニット494によって作られる。
キャリーとレジスタ・データの依存関係は、第2プロセッサ・サイクルの開始直後に判別される。
【0181】
4)レジスタ改名ユニットの詳細
レジスタ改名ユニット496は8個の保留中の命令すべてのレジスタ参照のIDを制御ライン506を経由して、レジスタ依存関係を制御ライン536を経由して受け取る。8個のエレメントからのマトリックスも制御ライン542を経由して受け取る。これらのエレメントは、保留中命令の現セットの中でどの命令が実行されたか(完了したか)を示している。この情報から、レジスタ改名ユニット496は制御信号の8エレメント配列を制御ライン512を経由して命令発行ユニット498へ送る。このようにして送られた制御情報は、現セットのデータ依存関係が判別された場合に、まだ実行されていない現在保留中の命令のうちどの命令の実行が可能になったかについてレジスタ改名ユニット496が行った判断を反映している。レジスタ改名ユニット496は実行のために同時に出される最高6個までの命令を識別した選択制御信号をライン516を経由して受信する。つまり、2個の整数命令、2個の浮動小数点命令および2個のブール命令である。
【0182】
レジスタ改名ユニット496はバス518を経由してレジスタ・アレイ・ユニット472へ送られた制御信号を通して、識別された命令を実行する際にアクセスするソース・レジスタを選択するという、もう1つの機能を備えている。順序外で実行される命令の宛先レジスタは対応するデータ経路の一時バッファ612、680、728に置かれているものとして選択される。順序内で実行される命令は完了すると退避され、その結果データはレジスタ・アレイ614、684、732にストアされていく。ソース・レジスタの選択は、レジスタが以前に宛先として選択され、対応する以前の命令がまだ退避されていないかどうかによって決まる。そのような場合には、ソース・レジスタは対応する一時バッファ612、680、728から選択される。以前の命令が退避されていた場合は、対応するレジスタ・アレイ614、684、732のレジスタが選択される。その結果、レジスタ改名ユニット496は、順序外で実行される命令の場合には、レジスタ・アレイの参照を一時バッフア・レジスタの参照に実効的に置き換えるように動作する。
【0183】
アーキテクチャ100によれば、一時バッファ612、680、728は対応するレジスタ・アレイのレジスタ構造と重複していない。むしろ、8個の保留命令の各々に対して1つの宛先レジスタ・スロットが用意されている。その結果、一時バッファ宛先レジスタ参照の置換は、保留レジスタ・セット内の対応する命令のロケーションによって判断される。そのあとのソース・レジスタ参照はソース依存関係が発生した命令に対して依存性チェッカ・ユニット494によって識別される。従って、一時バッファ・レジスタ内の宛先スロットはレジスタ改名ユニット496によって容易に判断することが可能である。
【0184】
5)命令発行ユニットの詳細
命令発行ユニット498は発行できる命令のセットをレジスタ改名ユニット496の出力とEデコード・ユニット490によって識別された命令の機能要件に基づいて判断する。命令発行ユニット498は制御ライン514を経由して報告された機能ユニット4780-n の各々の状況に基づいてこの判断を行う。従って、命令発行ユニット498は発行すべき使用可能な命令セットをレジスタ改名ユニット496から受信すると、オペレーションを開始する。各命令を実行するためにレジスタ・アレイヘのアクセスが必要であるとすると、命令発行ユニット498は現在命令を実行中の機能ユニット4780-n が使用可能であることを予想する。レジスタ改名ユニット496へ発行すべき命令を判別する際の遅延を最小にするために、命令発行ユニット498は専用の組合せロジックで実現されている。
【0185】
発行すべき命令を判別すると、レジスタ改名ユニット496はレジスタ・アレイヘのアクセスを開始し、このアクセスは第3プロセッサ・サイクルP2 が終了するまで続けられる。プロセッサ・サイクルP3 が開始すると、命令発行ユニット498は、「Execute 0」で示すように1つまたは2つ以上の機能ユニット4780-n によるオペレーションを開始し、レジスタ・アレイ・ユニット472から送られてきたソース・データを受信して処理する。
【0186】
代表例として、アーキテクチャ100で処理される大部分の命令は1プロセッサ・サイクルで機能ユニットを通して実行される。しかし、一部の命令は、「Execute 1」で示すように、同時に出された命令を完了するのに複数のプロセッサ・サイクルを必要とする。Execute 0命令とExecute 1命令は、例えば、それぞれALUと浮動小数点乗算機能ユニットに実行させることができる。ALU機能ユニットは、図14に示すように、1プロセッサ・サイクル内で出力データを発生し、この出力データはラッチしておくだけで、第5プロセッサ・サイクルP4 時に別の命令を実行する際に使用することができる。浮動小数点乗算機能ユニットは内部パイプライン化機能ユニットにすることが好ましい。従って、次のプロセッサ・サイクルで別の浮動小数点命令を出すことができる。しかし、最初の命令の結果はデータに依存するプロセッサ・サイクル数の間使用することができない。図14に示す命令は、機能ユニットでの処理を完了するためには、3プロセッサ・サイクルを必要とする。
【0187】
各プロセッサ・サイクルの間に、命令発行ユニット498の機能は繰り返される。その結果、現在の保留中の命令セットの状況と機能ユニット4780-n の全セットの使用可能状況は各プロセッサ・サイクルの間に再評価される。従って、最適条件のとき、好適アーキテクチャ100はプロセッサ・サイクルごとに最高6個までの命令を実行することができる。しかし、代表的な命令ミックスから得られる総平均実行命令数は、1プロセッサ・サイクル当たり1.5個ないし2.0個である。
【0188】
命令発行ユニット498の機能で最後に考慮すべきことは、このユニットがトラップ条件の処理と特定命令の実行に関与することである。トラップ条件を発生するためには、まだ退避されていないすペての命令をIEU104からクリアする必要がある。このような事態は、算術演算エラーに応答して機能ユニット4780-n のいずれからか、あるいは例えば、違法命令をデコードしたときにEデコード・ユニット490から、外部割込みを受信し、それが割込み要求/受信確認制御ライン340を経由してIEU104へ中継されたのに応答して、起こることがある。トラップ条件が発生したとき、命令発行ユニット498は現在IEU104で保留されているすべての非退避命令を中止または無効にすることを受け持つ。同時に退避できない命令はすべて無効にされる。この結果は、プログラム命令ストリームを順序内で実行する従来の方式に対して割込みを正確に発生させるために不可欠である。IEU104がトラップ処理プログラム・ルーチンの実行を開始する準備状態になると、命令発行ユニット498は制御ライン340を経由するリターン制御信号によって割込みの受信を確認する。また、従来の純然たる順序内ルーチンにおいてある命令が実行される前に変更されたプロセッサ状態ビットに基づいて、その命令に対する例外条件が認識される可能性を防止するために、命令発行ユニット498はPSRを変更する可能性のあるすべての命令(特殊移動やトラップからのリターンなど)が厳格に順序内で実行されるようにすることを受け持つ。
【0189】
プログラム制御の流れを変更するある種の命令は、Iデコード・ユニット262によって判別されない。この種の命令には、サブルーチン・リターン、プロシージャ命令からのリターン、トラップからのリターンがある。命令発行ユニット498は判別制御信号をIEUリターン制御ライン350を経由してIFU102へ送る。特殊レジスタ・ブロック412のうち対応するものが選択されて、コール命令の実行時、トラップの発生時またはプロシージャ命令の出現時に存在していたIF_PC実行アドレスを出力する。
【0190】
6)完了制御ユニットの詳細
完了制御ユニット540は機能ユニット4780-n をモニタして、現在のオペレーションの完了状況を調べる。好適アーキテクチャ100では、完了制御ユニット540は各機能ユニットによるオペレーションの完了を予想して、現在保留中の命令セットの中の各命令の実行状況を示した完了ベクトルを、機能ユニット4780-n による命令の実行完了よりも約半プロセッサ・サイクル前にレジスタ改名ユニット496、バイパス制御ユニット520および退避制御ユニット500へ送る。これにより、命令発行ユニット498はレジスタ改名ユニット496を通して、実行を完了する機能ユニットを次の命令発行サイクルに対して使用可能な資源として考慮することができる。バイパス制御ユニット520は、機能ユニットから出力されたデータをバイパス・ユニット474を通るようにバイパスする準備を行うことができる。最後に、退避制御ユニット500は、機能ユニツト4780-n からレジスタ・アレイ・ユニット472ヘデータを転送するのと同時に対応する命令を退避するように動作する。
【0191】
7)退避制御ユニットの詳細
完了制御ユニット540から送られた命令完了ベクトルのほかに、退避制御ユニット500はEデコード・ユニット490から出力された最も古い命令セットをモニタする。命令ストリーム順序の中の各命令に完了制御ユニット540によって完了の印(マーク)がつけられると、退避制御ユニット500は、制御ライン534上に送出された制御信号を通して、一時バッファ・スロットからレジスタ・アレイ・ユニット472内の対応する命令が指定したレジスタ・ロケーションヘデータを転送することを指示する。1つまたは複数の命令が同時に退避されると、PC Inc/Size制御信号が制御ライン344上に送出される。各プロセッサ・サイクルごとに最高4個までの命令を退避することが可能である。命令セット全体が退避されると、IFIFO読取り制御信号が制御ライン342上に送出されてIFIFOユニット264を前進させる。
【0192】
8)制御フロー制御ユニットの詳細
制御フロー制御ユニット528は、現在の保留中命令セット内の制御フロー命令が解決されたかどうか、さらに、その結果ブランチが行われたかどうかを指定した情報をIFU102に絶えず与えるように動作する。制御フロー制御ユニット528は、Eデコード・ユニット490による制御フロー・ブランチ命令の識別情報を制御ライン510を経由して取得する。現在のレジスタ依存関係のセットは、制御ライン536を経由して依存性チェッカ・ユニット494から制御フロー制御ユニット528へ送られるので、制御フロー制御ユニット528はブランチ命令の結果が依存関係に拘束されているかどうか、あるいは判明しているかどうかを判断することができる。レジスタ改名ユニット496からバス518を経由して送られたレジスタの参照は制御フロー制御ユニット528によってモニタされ、ブランチ決定を定義するブール・レジスタが判別される。従って、ブランチ決定は、制御フロー命令の順序外の実行以前でも判断することが可能である。
【0193】
制御フロー命令の実行と同時に、レジスタ・アレイ・ユニット472は、バイパス制御ユニット520により制御フロー0と制御フロー1の制御ライン750、752からなる制御ライン530を経由して制御フローの結果を制御フロー制御ユニット528へ送るように指示される。最後に、制御フロー制御ユニット528は各々が8ビットの2個のベクトルを制御ライン348を経由してIFU102へ連続して送る。これらのベクトルは、ベクトル内のビットに対応する論理ロケーションに置かれた命令が解決されたか否か、およびその結果ブランチが行われたか否かを定義している。
【0194】
好適アーキテクチャ100では、制御フロー制御ユニット528は制御ユニット528への入力制御信号を受けて連続的に動作する組合せロジックとして実現されている。
【0195】
9)バイパス制御ユニットの詳細
命令発行ユニット498はバイパス制御ユニット520と緊密に協働して、レジスタ・アレイ・ユニット472と機能ユニット4780-n 間のデータのルーチング(経路指定)を制御する。バイパス制御ユニット520は、図14に示すオペレーションのレジスタ・アレイ・アクセス、出力およびストア・フェーズと関連して動作する。レジスタ・アレイ・アクセスの間には、バイパス制御ユニット520は命令の実行の出力フェーズの間に書き込まれている途中にあるレジスタ・アレイ・ユニット472内の宛先レジスタのアクセスを、制御ライン522を通して認識することができる。この場合、バイパス制御ユニット520は、バイパスして機能ユニット分配バス480に返すように、機能ユニット出力バス482上に送出されたデータを選択することを指示する。バイパス制御ユニット520に対する制御は、制御ライン542を通して命令発行ユニット498によって行われる。
【0196】
IV.仮想メモリ制御ユニット
VMU108のインタフェース定義は図15に示されている。VMU108は主にVMU制御ロジック・ユニット800と内容アドレス(content addressable)メモリ(CAM)802から構成されている。VMU108の一般的機能は図16にブロック図で示してある。同図において、仮想アドレスの表現は、スペースID(sID〔31:28〕)、仮想ページ番号(VADDR〔27:14〕)、ページ・オフセット(PADDR〔13:4〕)、および要求ID(rID〔3:0〕)に分割されている。物理アドレスを生成するためのアルゴリズムでは、スペースIDを使用して、スペース・テーブル842内の16個のレジスタから1つを選択するようになっている。選択したスペース・レジスタの内容と仮想ページ番号とを組み合わせて、テーブル索引バッファ(TLB)844をアクセスするときのアドレスとして使用される。34ビット・アドレスは内容アドレス・タグの働きをし、バッファ844内の対応するバッファ・レジスタを指定するために使用される。タグに一致するものが見つかると、18ビット幅レジスタ値が物理アドレス846の上位18ビットとして得られる。ページ・オフセットと要求IDは物理アドレス846の下位14ビットとして得られる。
【0197】
タグに一致するものがテーブル索引バッファ844に見つからないとVMU不一致が通知される。この場合は、MAU112に維持されている完全ページ・テーブル・データ構造をアクセスする従来のハッシュ・アルゴリズム848を採用したVMU高速トラップ処理ルーチンを実行させる必要がある。このページ・テーブル850はアーキテクチャ100によって現在使用中のすべてのメモリ・ページのエントリを含んでいる。ハッシュ・アルゴリズム848は、現在の仮想ページ変換操作を満たすために必要なページ・テーブル・エントリを判別する。これらのページ・テーブル・エントリはMAU112からレジスタ・セット“A”のトラップ・レジスタヘロードされ、そのあと特殊レジスタ移動命令によってテーブル索引バッファ844へ転送される。例外処理ルーチンから戻ると、VMU不一致例外を引き起こした命令はIEU104によって再実行される。仮想アドレスから物理アドレスヘの変換操作は例外を引き起こさないで完了するはずである。
【0198】
VMU制御ロジック800はIFU102およびIEU104とのデュアル・インタフェースとなる。準備信号は制御ライン822を経由してIEU104へ送られ、VMU108がアドレス変換のために使用可能であることを通知する。好適実施例では、VMU108は常にIFU102の変換要求を受け付ける準備状態にある。IFU102およびIEU104は共に、制御ライン328および804を経由して要求を提示することができる。好適アーキテクチャ100では、IFUは優先してVMU108をアクセスすることができる。その結果、ビジー(使用中)制御ライン820は1つだけがIEU104に出力される。
【0199】
IFU102およびIEU104は共に、スペースIDと仮想ページ番号フィールドを、それぞれ、アドレス・ライン326および808を経由してVMU制御ロジック800へ送る。さらに、IEU104は読み書き制御信号を制御ライン806で出力する。この制御信号は、参照された仮想メモリのメモリ・アクセス保護属性を変更するために、そのアドレスをロード・オペレーションに使用すべきか、ストア・オペレーションに使用すべきを必要に応じて定義している。仮想アドレスのスペースIDと仮想ページ・フィールドはCAMユニット802に渡されて、実際の変換操作が行われる。ページ・オフセットとExIDフィールドは最終的にIEU104から直接にCCU106へ送られる。物理ページと要求IDフィールドはアドレス・ライン836を経由してCAMユニット802へ送られる。テーブル索引バッファに一致するものが見つかると、ヒット・ラインと制御出力ライン930を経由してVMU制御ロジック・ユニット800に通知される。その結果の18ビット長の物理アドレスはアドレス出力ライン824上に出力される。
【0200】
VMU制御ロジック・ユニット800は、出力ライン930からヒットおよび制御出力制御信号を受けると、仮想メモリ不一致と仮想メモリ例外制御信号をライン334、332上に出力する。仮想メモリ変換不一致とは、テーブル索引バッファ844内のページ・テーブルIDと一致しなかったことを意味する。その他の変換エラーはすべて仮想メモリ例外として報告される。
【0201】
最後に、CAMユニット802内のデータ・テーブルは特殊レジスタ間移動命令をIEU104が実行することによって変更することができる。読み書き、レジスタ選択、リセット、ロードおよびクリア制御信号はIEU104から制御ライン810、812、814、816、818を経由して出力される。CAMユニット・レジスタに書くべきデータは特殊アドレス・データ・バス354に接続されたアドレス・バス808を経由してIEU104からVMU制御ロジック・ユニット800によって受信される。このデータは初期設定、レジスタ選択、および読み書き制御信号を制御する制御信号と同時にバス836を経由してCAMユニット802へ転送される。その結果、CAMユニット802内のデータ・レジスタは、より高レベルのオペレーティング・システムで定義されているコンテキスト・スイッチを処理するとき必要になるストアのための読出しを含めて、アーキテクチャ100の動的オペレーションの間に必要に応じて即座に書き出すことができる。
【0202】
V.キャッシュ制御ユニット
CCU106のデータ・インタフェースに対する制御は図17に示されている。この場合も、IFU102とIEU104用に別々のインタフェースが設けられている。さらに、論理的に別個のインタフェースがCCU106に用意され、命令とデータ転送のためにMCU110と結ばれている。
【0203】
IFUインタフェースはアドレス・ライン324上に送出される物理ページ・アドレス、アドレス・ライン824上に送出されるVMU変換ページ・アドレス、およびIDの出力ライン294、296上を別々に転送される要求IDからなっている。単方向データ転送バス(命令バス)114は命令セット全体をIFU102と並列に転送するためのものである。最後に、読取り/使用中および準備制御信号は制御ライン298、300、302を経由してCCU106へ送られる。
【0204】
同様に、物理アドレス全体は物理アドレス・バス788を経由してIEU104へ送られる。要求ExIDは制御ライン796を経由してIEU104のロード/ストア・ユニットとの間で別々に受渡される。80ビット幅単方向データ・バスはCCU106からIEU104に出力される。しかし、アーキテクチャ100の好適実施例では、下位の64ビットだけがIEU104によって使用される。全80ビット・データ転送バスをCCU106内で使用できるようにし、かつサポートしているのは、本アーキテクチャ100の引き続いての実行をサポートするためであり、浮動小数点データ経路660を変更することによって、IEEE標準754に準拠する浮動小数点のオペレーションをサポートする。
【0205】
IEU制御インタフェースは要求、使用中、準備、読み書きを通して、および制御信号784を通して確立され、実質的には、IFU102によって使用される対応する制御信号と同じである。例外は、ロード・オペレーションとストア・オペレーションを区別するための読み書き制御信号が設けられていることである。幅制御信号はIEU104による各CCU106へのアクセス時に転送されるバイト数を指定している。これに対して、命令用キャッシュ132のすべてのアクセスは固定した128ビット幅データ・フェッチ・オペレーションになっている。
【0206】
CCU106は命令用キャッシュ132とデータ用キャッシュ134に対して従来とほぼ同じキャッシュ制御機能を備えている。好適アーキテクチャ100では、命令用キャッシュ132は256個の128ビット幅命令セットをストアする機能を備えた高速メモリになっている。データ用キャッシュ134は1024個の32ビット幅ワードのデータをストアする機能を備えている。命令用キャッシュ132とデータ用キャッシュ134の内容から即時に満足できない命令要求とデータ要求はMCU110に渡される。命令用キャッシュがミスした場合は、28ビット幅物理アドレスがアドレス・バス860を経由してMCU110に渡される。要求IDおよびCCU106とMCU110のオペレーションを調整するための追加制御信号は制御ライン862上に送出される。MCU110がMAU112の必要な読取りアクセスを調整すると、2つの連続する64ビット幅データ転送が直接にMAU112から命令用キャッシュ132へ行われる。2つの転送が必要になるのは、データ・バス136が好適アーキテクチャ100では、64ビット幅バスになっているためである。要求したデータがMCU110を通して返却されると、要求オペレーションの保留中に維持されていた要求IDも制御ライン862を経由してCCU106へ返却される。
【0207】
データ用キャッシュ134とMCU110との間のデータ転送オペレーションは、命令用キャッシュの転送オペレーションとほぼ同じである。データ・ロードとストア・オペレーションは単一バイトを参照できるので、全32ビット幅の物理アドレスがアドレス・バス864を経由してMCU110へ送られる。インタフェース制御信号と要求ExIDは制御ライン866を経由して転送される。双方向の64ビット幅データ転送はデータ用キャッシュのデータ・バス138を経由して行われる。
【0208】
VI.要約および結論
高性能RISCをベースとしたマイクロプロセッサ・アーキテクチャは以上に説明したとおりである。本発明のアーキテクチャによれば、命令を順序外に実行することができ、メインとターゲット命令ストリームのプリフェッチ命令転送経路を別々に設け、およびプロシージャ命令認識と専用プリフェッチ経路を設けることができる。命令実行ユニットは最適化されているので、最適化された複数のデータ処理経路で整数、浮動小数点およびブール演算をサポートすることができ、またそれぞれの一時レジスタ・アレイが設けられているので、容易に設定されるマシン状態の状況を正確に維持しながら、順序外の実行と命令取消しを容易に行うことができる。
【0209】
従って、上述した説明では、本発明の好適実施例を開示しているが、当業者にとって本発明の範囲内で種々変更および改良することが可能であることは勿論である。
【図面の簡単な説明】
【図1】 本発明を実施する好適実施例のマイクロプロセッサ・アーキテクチャを示す簡略ブロック図である。
【図2】 本発明に従って構築された命令フェッチ・ユニットを示す詳細ブロック図である。
【図3】 本発明に従って構築されたプログラム・カウンタ・ロジック・ユニットを示すブロック図である。
【図4】 プログラム・カウンタ・データと制御経路ロジックを示す別の詳細ブロック図である。
【図5】 本発明の命令実行ユニットを示す簡略ブロック図である。
【図6】 (A)は本発明の好適実施例において使用されているレジスタ・ファイル・アーキテクチャを示す簡略ブロック図、(B)は本発明の好適実施例において使用されている一時バッファ・レジスタ・ファイルの記憶レジスタ形式を図形で示す図、(C)は本発明の命令FIFOユニットの最後の2ステージに存在しているときの1次および2次命令セットを図形で示す図である。
【図7】 本発明の好適実施例に従って設けられた1次整数レジスタ・セットの再構成可能ステート(状態)を図形で示す図である。
【図8】 本発明の好適実施例に従って設けられた再構成可能浮動小数点および2次整数レジスタ・セットを図形で示す図である。
【図9】 本発明の好適実施例において設けられた3次ブール・レジスタ・セットを図形で示す図である。
【図10】 本発明の好適実施例に従って構成された命令実行ユニットの1次整数処理データ経路部分を示す詳細ブロック図である。
【図11】 本発明の好適実施例に従って構成された命令実行ユニットの1次浮動小数点データ経路部分を示す詳細ブロック図である。
【図12】 本発明の好適実施例に従って構成された命令実行ユニットのブール演算データ経鈷部分を示す詳細ブロック図である。
【図13】 本発明の好適実施例に従って構成されたロード/ストア・ユニットを示す詳細ブロック図である。
【図14】 本発明に従って複数の命令を実行する際の、本発明の好適実施例の好ましいオペレーション・シーケンスを示すタイミング図である。
【図15】 本究明の好適実施例に従って構成された仮想メモリ制御ユニットを示す簡略ブロック図である。
【図16】 本発明の好適実施例で使用される仮想メモリ制御アルゴリズムを図形で示す図である。
【図17】 本発明の好適実施例で使用されるキャッシュ制御ユニットを示す簡略ブロック図である。
【符号の説明】
100…アーキテクチャ、102…命令フェッチ・ユニット(IFU)、104…命令実行ユニット(IEU)、106…キャッシュ制御ユニット(CUU)、108…仮想メモリ・ユニット(VMU)、112…メモリ・アレイ・ユニット(MAU)

Claims (2)

  1. マイクロプロセッサにおいてトラップを処理するトラップ処理方法であって、
    メイン命令ストリームの命令を複数ストアするメイン・バッファ、分岐先命令ストリームの命令を複数ストアするターゲット・バッファ、およびプロシージャ命令で指定されたオペレーションを実現するために使用される代替命令ストリームの命令を複数ストアするプロシージャ・バッファを有するプリフェッチ・バッファ・ユニットの任意の1つへ命令ストリームから命令をプリフェッチするステップと、
    前記プリフェッチ・バッファ・ユニット内のバッファの任意の1つからプリフェッチした命令を、プリフェッチ・バッファ出力バスへ転送して特定の順序でシフトして実行手段に与えるステップと、
    前記命令ストリームの中の命令と同期して引き起こされる同期例外を検出するステップと、
    前記同期例外を引き起こした特定命令と例外とを対応づけ当該命令がリタイアされようとするときまでその状態を維持するステップと、
    前記当該命令より前の命令をリタイアさせた後、高速トラップとなるトラップと他のトラップとを区別して索引テーブルを通して前記例外に対応するトラップ番号を判断するステップと、
    前記他のトラップの場合にはトラップ・ベース・レジスタを選択しそのストア値に前記トラップ番号を結合して、前記他のトラップに対応するトラップ処理ルーチンへ分岐するための分岐命令を保持するベクトル・テーブルへのアドレスを生成して前記ベクトル・テーブルを通して前記トラップ処理ルーチンへ分岐し、前記高速トラップの場合には高速トラップ・ベース・レジスタを選択しそのストア値に前記トラップ番号を結合して、前記高速トラップに対応する即時トラップ処理ルーチンを保持する即時トラップ処理ルーチン・テーブルへのアドレスを生成して前記即時トラップ処理ルーチンを直接に実行するステップと
    を有することを特徴とするトラップ処理方法。
  2. メイン命令ストリームの命令を複数ストアするメイン・バッファと、分岐先命令ストリームの命令を複数ストアするターゲット・バッファと、プロシージャ命令で指定されたオペレーションを実現するために使用される代替命令ストリームの命令を複数ストアするプロシージャ・バッファからなる複数のバッファのグループを有するプリフェッチ・バッファ・ユニットと、
    レジスタ列を有し、該レジスタ列に前記命令をロードしてシフトし順次送出するシフト手段と、
    前記シフト手段により与えられた命令を実行する実行手段と、
    前記プリフェッチ・バッファ・ユニットのオペレーションを管理し、前記プリフェッチ・バッファ・ユニット内のバッファの任意の1つへソースからの命令をストアし、前記バッファの任意の1つからプリフェッチした命令を、プリフェッチ・バッファ出力バスへ転送して、前記シフト手段の前記レジスタ列に所定の順序でロードしてシフトし前記実行手段に与えるプリフェッチ・バッファ制御手段と
    を備え、命令ストリームの中の命令と同期して引き起こされる例外に対してマイクロプロセッサにおいてトラップを処理するトラップ処理装置であって、
    各命令ごとに例外を引き起こした各命令に対応づけられて内部例外標識をセットする手段と、
    高速トラップとなるトラップと他のトラップとを区別して索引テーブルを通して前記例外に対応するトラップ番号を判断しストアする手段と、
    前記高速トラップに対応する即時トラップ処理ルーチンを保持する即時トラップ処理ルーチン・テーブルのベース・アドレスが格納された高速トラップ・ベース・レジスタ(FTB)及び前記他のトラップに対応するトラップ処理ルーチンへ分岐する分岐命令を保持するベクトル・テーブルのベース・アドレスが格納されたトラップ・ベース・レジスタ(TBR)を含む特殊レジスタと、
    前記他のトラップの場合には前記トラップ・ベース・レジスタを選択しそのストア値に前記トラップ番号を結合して、前記ベクトル・テーブルへのアドレスを生成し、前記高速トラップの場合には前記高速トラップ・ベース・レジスタを選択しそのストア値に前記トラップ番号を結合して、前記即時トラップ処理ルーチン・テーブルへのアドレスを生成するアドレス生成手段と
    を有し、プリフェッチ、命令デコード時に引き起こされる同期例外に応じて前記内部例外標識をセットすると共に、トラップ番号をストアして問題の命令が実行されるまで保留し、前記アドレス生成手段により生成したアドレスにより前記他のトラップの場合には前記ベクトル・テーブルを通して前記トラップ処理ルーチンへ分岐し、前記高速トラップの場合には前記即時トラップ処理ルーチンを直接に呼び出しトラップを処理するようにしたことを特徴とするトラップ処理装置。
JP2000175146A 1991-07-08 2000-06-12 トラップ処理方法及びトラップ処理装置 Expired - Lifetime JP3879812B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US72694291A 1991-07-08 1991-07-08
US07/726942 1991-07-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP50215493A Division JP3333196B2 (ja) 1991-07-08 1992-07-07 トラップ処理方法

Publications (2)

Publication Number Publication Date
JP2001022584A JP2001022584A (ja) 2001-01-26
JP3879812B2 true JP3879812B2 (ja) 2007-02-14

Family

ID=24920677

Family Applications (6)

Application Number Title Priority Date Filing Date
JP50215493A Expired - Lifetime JP3333196B2 (ja) 1991-07-08 1992-07-07 トラップ処理方法
JP2000175146A Expired - Lifetime JP3879812B2 (ja) 1991-07-08 2000-06-12 トラップ処理方法及びトラップ処理装置
JP2000175145A Expired - Fee Related JP3552995B2 (ja) 1991-07-08 2000-06-12 データ処理装置
JP2000175144A Withdrawn JP2001022583A (ja) 1991-07-08 2000-06-12 命令実行処理方法
JP2000237838A Withdrawn JP2001067220A (ja) 1991-07-08 2000-08-07 トラップ処理方法及び例外処理方法並びに処理装置及びマイクロプロセッサ
JP2003126853A Expired - Lifetime JP3750743B2 (ja) 1991-07-08 2003-05-02 例外処理方法並びに例外処理装置及びマイクロプロセッサ

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP50215493A Expired - Lifetime JP3333196B2 (ja) 1991-07-08 1992-07-07 トラップ処理方法

Family Applications After (4)

Application Number Title Priority Date Filing Date
JP2000175145A Expired - Fee Related JP3552995B2 (ja) 1991-07-08 2000-06-12 データ処理装置
JP2000175144A Withdrawn JP2001022583A (ja) 1991-07-08 2000-06-12 命令実行処理方法
JP2000237838A Withdrawn JP2001067220A (ja) 1991-07-08 2000-08-07 トラップ処理方法及び例外処理方法並びに処理装置及びマイクロプロセッサ
JP2003126853A Expired - Lifetime JP3750743B2 (ja) 1991-07-08 2003-05-02 例外処理方法並びに例外処理装置及びマイクロプロセッサ

Country Status (8)

Country Link
US (2) US5448705A (ja)
EP (2) EP0547240B1 (ja)
JP (6) JP3333196B2 (ja)
KR (1) KR100294276B1 (ja)
AT (1) ATE188786T1 (ja)
DE (1) DE69230554T2 (ja)
HK (1) HK1014783A1 (ja)
WO (1) WO1993001547A1 (ja)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0886209B1 (en) 1991-07-08 2005-03-23 Seiko Epson Corporation Extensible risc microprocessor architecture
US5539911A (en) 1991-07-08 1996-07-23 Seiko Epson Corporation High-performance, superscalar-based computer system with out-of-order instruction execution
US5493687A (en) 1991-07-08 1996-02-20 Seiko Epson Corporation RISC microprocessor architecture implementing multiple typed register sets
EP0636256B1 (en) 1992-03-31 1997-06-04 Seiko Epson Corporation Superscalar risc processor instruction scheduling
WO1993022722A1 (en) 1992-05-01 1993-11-11 Seiko Epson Corporation A system and method for retiring instructions in a superscalar microprocessor
DE69320991T2 (de) * 1992-12-31 1999-01-28 Seiko Epson Corp System und verfahren zur änderung der namen von registern
US5628021A (en) 1992-12-31 1997-05-06 Seiko Epson Corporation System and method for assigning tags to control instruction processing in a superscalar processor
JPH06242948A (ja) * 1993-02-16 1994-09-02 Fujitsu Ltd パイプライン処理計算機
JP2596712B2 (ja) * 1993-07-01 1997-04-02 インターナショナル・ビジネス・マシーンズ・コーポレイション 近接した分岐命令を含む命令の実行を管理するシステム及び方法
US5548776A (en) * 1993-09-30 1996-08-20 Intel Corporation N-wide bypass for data dependencies within register alias table
DE69420540T2 (de) * 1994-01-03 2000-02-10 Intel Corp Verfahren und Vorrichtung zum Implementieren eines vierstufigen Verzweigungsauflosungssystem in einem Rechnerprozessor
GB2286265B (en) * 1994-01-26 1998-02-18 Advanced Risc Mach Ltd selectable processing registers
US5515521A (en) * 1994-02-08 1996-05-07 Meridian Semiconductor, Inc. Circuit and method for reducing delays associated with contention interference between code fetches and operand accesses of a microprocessor
US5673427A (en) * 1994-03-01 1997-09-30 Intel Corporation Packing valid micro operations received from a parallel decoder into adjacent locations of an output queue
NL9400607A (nl) * 1994-04-15 1995-11-01 Arcobel Graphics Bv Dataverwerkingscircuit, vermenigvuldigingseenheid met pijplijn, ALU en schuifregistereenheid ten gebruike bij een dataverwerkingscircuit.
JP3672634B2 (ja) * 1994-09-09 2005-07-20 株式会社ルネサステクノロジ データ処理装置
US5642516A (en) * 1994-10-14 1997-06-24 Cirrus Logic, Inc. Selective shadowing of registers for interrupt processing
WO1996025705A1 (en) * 1995-02-14 1996-08-22 Fujitsu Limited Structure and method for high-performance speculative execution processor providing special features
US5655115A (en) * 1995-02-14 1997-08-05 Hal Computer Systems, Inc. Processor structure and method for watchpoint of plural simultaneous unresolved branch evaluation
US6006030A (en) * 1995-02-17 1999-12-21 Vlsi Technology, Inc. Microprocessor with programmable instruction trap for deimplementing instructions
US5887152A (en) * 1995-04-12 1999-03-23 Advanced Micro Devices, Inc. Load/store unit with multiple oldest outstanding instruction pointers for completing store and load/store miss instructions
US5692170A (en) * 1995-04-28 1997-11-25 Metaflow Technologies, Inc. Apparatus for detecting and executing traps in a superscalar processor
US6052801A (en) * 1995-05-10 2000-04-18 Intel Corporation Method and apparatus for providing breakpoints on a selectable address range
US5659679A (en) * 1995-05-30 1997-08-19 Intel Corporation Method and apparatus for providing breakpoints on taken jumps and for providing software profiling in a computer system
US5694589A (en) * 1995-06-13 1997-12-02 Intel Corporation Instruction breakpoint detection apparatus for use in an out-of-order microprocessor
US5740413A (en) * 1995-06-19 1998-04-14 Intel Corporation Method and apparatus for providing address breakpoints, branch breakpoints, and single stepping
US5621886A (en) * 1995-06-19 1997-04-15 Intel Corporation Method and apparatus for providing efficient software debugging
US5774709A (en) * 1995-12-06 1998-06-30 Lsi Logic Corporation Enhanced branch delay slot handling with single exception program counter
US5761492A (en) * 1996-05-07 1998-06-02 Lucent Technologies Inc. Method and apparatus for uniform and efficient handling of multiple precise events in a processor by including event commands in the instruction set
US5729729A (en) * 1996-06-17 1998-03-17 Sun Microsystems, Inc. System for fast trap generation by creation of possible trap masks from early trap indicators and selecting one mask using late trap indicators
US5924128A (en) * 1996-06-20 1999-07-13 International Business Machines Corporation Pseudo zero cycle address generator and fast memory access
US5958061A (en) * 1996-07-24 1999-09-28 Transmeta Corporation Host microprocessor with apparatus for temporarily holding target processor state
US6199152B1 (en) 1996-08-22 2001-03-06 Transmeta Corporation Translated memory protection apparatus for an advanced microprocessor
US5937437A (en) * 1996-10-28 1999-08-10 International Business Machines Corporation Method and apparatus for monitoring address translation performance
US5764971A (en) * 1996-12-11 1998-06-09 Industrial Technology Research Institute Method and apparatus for implementing precise interrupts in a pipelined data processing system
US5784606A (en) * 1996-12-16 1998-07-21 International Business Machines Corporation Method and system in a superscalar data processing system for the efficient handling of exceptions
US5850556A (en) * 1996-12-26 1998-12-15 Cypress Semiconductor Corp. Interruptible state machine
US5978900A (en) * 1996-12-30 1999-11-02 Intel Corporation Renaming numeric and segment registers using common general register pool
US6253317B1 (en) * 1997-01-09 2001-06-26 Sun Microsystems, Inc. Method and apparatus for providing and handling traps
US5987601A (en) * 1997-02-14 1999-11-16 Xyron Corporation Zero overhead computer interrupts with task switching
US6122729A (en) 1997-05-13 2000-09-19 Advanced Micro Devices, Inc. Prefetch buffer which stores a pointer indicating an initial predecode position
ATE444524T1 (de) * 1997-07-11 2009-10-15 Intellectual Venture Funding L Gastrechner-mikroprozessor mit vorrichtung zum zeitweisen anhalten des prozessorzustandes eines zielrechners
CN1107909C (zh) * 1997-07-11 2003-05-07 全斯美达有限公司 带临时保存目标处理器状态的装置的主处理器
US6128728A (en) 1997-08-01 2000-10-03 Micron Technology, Inc. Virtual shadow registers and virtual register windows
US6039765A (en) * 1997-12-15 2000-03-21 Motorola, Inc. Computer instruction which generates multiple results of different data types to improve software emulation
US6061787A (en) * 1998-02-02 2000-05-09 Texas Instruments Incorporated Interrupt branch address formed by concatenation of base address and bits corresponding to highest priority interrupt asserted and enabled
US6339752B1 (en) * 1998-12-15 2002-01-15 Bull Hn Information Systems Inc. Processor emulation instruction counter virtual memory address translation
US6253299B1 (en) * 1999-01-04 2001-06-26 International Business Machines Corporation Virtual cache registers with selectable width for accommodating different precision data formats
US6327650B1 (en) * 1999-02-12 2001-12-04 Vsli Technology, Inc. Pipelined multiprocessing with upstream processor concurrently writing to local register and to register of downstream processor
US6493781B1 (en) * 1999-08-19 2002-12-10 Koninklijke Philips Electronics N.V. Servicing of interrupts with stored and restored flags
US6745321B1 (en) * 1999-11-08 2004-06-01 International Business Machines Corporation Method and apparatus for harvesting problematic code sections aggravating hardware design flaws in a microprocessor
US6523097B1 (en) * 1999-11-12 2003-02-18 International Business Machines Corporation Unvalue-tagged memory without additional bits
US6678817B1 (en) * 2000-02-22 2004-01-13 Hewlett-Packard Development Company, L.P. Method and apparatus for fetching instructions from the memory subsystem of a mixed architecture processor into a hardware emulation engine
US6968469B1 (en) 2000-06-16 2005-11-22 Transmeta Corporation System and method for preserving internal processor context when the processor is powered down and restoring the internal processor context when processor is restored
FR2817460B1 (fr) * 2000-12-04 2003-09-05 Ge Med Sys Global Tech Co Llc Procede et systeme pour simuler l'elargissement de diametre d'une lesion d'un vaisseau sanguin, en particulier une stenose, a l'aide d'une prothese endovasculaire
US6889279B2 (en) * 2000-12-11 2005-05-03 Cadence Design Systems, Inc. Pre-stored vector interrupt handling system and method
US20030014474A1 (en) * 2001-05-30 2003-01-16 Mckaig Ray S. Alternate zero overhead task change circuit
WO2004008826A2 (en) 2002-07-19 2004-01-29 Baxter International Inc. Systems and methods for performing peritoneal dialysis
AU2003259443A1 (en) * 2002-09-03 2004-03-29 Koninklijke Philips Electronics N.V. Method and apparatus for handling nested interrupts
US7165018B2 (en) * 2002-11-22 2007-01-16 Texas Instruments Incorporated Address range comparator for detection of multi size memory accesses with data matching qualification and full or partial overlap
US7493478B2 (en) * 2002-12-05 2009-02-17 International Business Machines Corporation Enhanced processor virtualization mechanism via saving and restoring soft processor/system states
US7360070B1 (en) * 2003-08-13 2008-04-15 Apple Inc. Specialized processing upon an occurrence of an exceptional situation during the course of a computation
US7014122B2 (en) * 2003-12-24 2006-03-21 International Business Machines Corporation Method and apparatus for performing bit-aligned permute
US7613911B2 (en) * 2004-03-12 2009-11-03 Arm Limited Prefetching exception vectors by early lookup exception vectors within a cache memory
GB2412192B (en) * 2004-03-18 2007-08-29 Advanced Risc Mach Ltd Function calling mechanism
JP2007041837A (ja) * 2005-08-03 2007-02-15 Nec Electronics Corp 命令プリフェッチ装置及び命令プリフェッチ方法
US20080077782A1 (en) * 2006-09-26 2008-03-27 Arm Limited Restoring a register renaming table within a processor following an exception
JP5507317B2 (ja) * 2010-04-12 2014-05-28 ルネサスエレクトロニクス株式会社 マイクロコンピュータ及び割込み制御方法
KR102025338B1 (ko) * 2011-12-28 2019-09-26 삼성전자 주식회사 신호 처리 장치, 이를 구비한 디스플레이 장치 및 신호 처리 방법
EP3286640A4 (en) 2015-04-24 2019-07-10 Optimum Semiconductor Technologies, Inc. COMPUTER PROCESSOR HAVING SEPARATE RECORDS FOR ADDRESSING A MEMORY
KR102268112B1 (ko) * 2019-12-24 2021-06-22 한국항공우주연구원 위성 커맨드 저장 처리 방법 및 위성 커맨드 저장 처리 시스템

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3346851A (en) * 1964-07-08 1967-10-10 Control Data Corp Simultaneous multiprocessing computer system
GB1301471A (ja) * 1968-10-29 1972-12-29
US3789365A (en) * 1971-06-03 1974-01-29 Bunker Ramo Processor interrupt system
US3771138A (en) * 1971-08-31 1973-11-06 Ibm Apparatus and method for serializing instructions from two independent instruction streams
US4034349A (en) * 1976-01-29 1977-07-05 Sperry Rand Corporation Apparatus for processing interrupts in microprocessing systems
AU529675B2 (en) * 1977-12-07 1983-06-16 Honeywell Information Systems Incorp. Cache memory unit
US4315314A (en) * 1977-12-30 1982-02-09 Rca Corporation Priority vectored interrupt having means to supply branch address directly
US4200927A (en) * 1978-01-03 1980-04-29 International Business Machines Corporation Multi-instruction stream branch processing mechanism
US4228495A (en) * 1978-12-19 1980-10-14 Allen-Bradley Company Multiprocessor numerical control system
US4296470A (en) * 1979-06-21 1981-10-20 International Business Machines Corp. Link register storage and restore system for use in an instruction pre-fetch micro-processor interrupt system
JPS5616248A (en) * 1979-07-17 1981-02-17 Matsushita Electric Ind Co Ltd Processing system for interruption
US4434461A (en) * 1980-09-15 1984-02-28 Motorola, Inc. Microprocessor with duplicate registers for processing interrupts
JPS5757345A (en) * 1980-09-24 1982-04-06 Toshiba Corp Data controller
US4434641A (en) * 1982-03-11 1984-03-06 Ball Corporation Buckle resistance for metal container closures
US4635194A (en) * 1983-05-02 1987-01-06 International Business Machines Corporation Instruction buffer bypass apparatus
US4800486A (en) * 1983-09-29 1989-01-24 Tandem Computers Incorporated Multiple data patch CPU architecture
JPS60225943A (ja) * 1984-04-25 1985-11-11 Hitachi Ltd 例外割込み処理方式
US4766564A (en) * 1984-08-13 1988-08-23 International Business Machines Corporation Dual putaway/bypass busses for multiple arithmetic units
US5025368A (en) * 1984-12-27 1991-06-18 Sony Corporation Microprocessor with option area adjacent CPU core facilitating interfacing with peripheral devices
JPH0762823B2 (ja) * 1985-05-22 1995-07-05 株式会社日立製作所 デ−タ処理装置
JPS63131230A (ja) * 1986-11-21 1988-06-03 Hitachi Ltd 情報処理装置
US4926323A (en) * 1988-03-03 1990-05-15 Advanced Micro Devices, Inc. Streamlined instruction processor
US5003462A (en) * 1988-05-31 1991-03-26 International Business Machines Corporation Apparatus and method for implementing precise interrupts on a pipelined processor with multiple functional units with separate address translation interrupt means
US4897810A (en) * 1988-06-13 1990-01-30 Advanced Micro Devices, Inc. Asynchronous interrupt status bit circuit
JPH0673105B2 (ja) * 1988-08-11 1994-09-14 株式会社東芝 命令パイプライン方式のマイクロプロセッサ
JP2810068B2 (ja) * 1988-11-11 1998-10-15 株式会社日立製作所 プロセッサシステム、コンピュータシステム及び命令処理方法
GB8828817D0 (en) * 1988-12-09 1989-01-18 Int Computers Ltd Data processing apparatus
US5075840A (en) * 1989-01-13 1991-12-24 International Business Machines Corporation Tightly coupled multiprocessor instruction synchronization
JPH0314025A (ja) * 1989-06-13 1991-01-22 Nec Corp 命令実行制御方式
EP0419105B1 (en) * 1989-09-21 1997-08-13 Texas Instruments Incorporated Integrated circuit formed on a surface of a semiconductor substrate and method for constructing such an integrated circuit
JP2835103B2 (ja) * 1989-11-01 1998-12-14 富士通株式会社 命令指定方法及び命令実行方式
DE69130723T2 (de) * 1990-10-05 1999-07-22 Koninkl Philips Electronics Nv Verarbeitungsgerät mit Speicherschaltung und eine Gruppe von Funktionseinheiten

Also Published As

Publication number Publication date
JP3552995B2 (ja) 2004-08-11
EP0547240B1 (en) 2000-01-12
KR930702719A (ko) 1993-09-09
JP2001067220A (ja) 2001-03-16
EP0547240A1 (en) 1993-06-23
HK1014783A1 (en) 1999-09-30
WO1993001547A1 (en) 1993-01-21
EP0945787A3 (en) 2008-12-31
KR100294276B1 (ko) 2001-09-17
DE69230554T2 (de) 2000-07-06
DE69230554D1 (de) 2000-02-17
EP0945787A2 (en) 1999-09-29
JP2001027949A (ja) 2001-01-30
JP3750743B2 (ja) 2006-03-01
JP3333196B2 (ja) 2002-10-07
JP2001022583A (ja) 2001-01-26
JPH06502035A (ja) 1994-03-03
JP2001022584A (ja) 2001-01-26
US5481685A (en) 1996-01-02
ATE188786T1 (de) 2000-01-15
JP2003330708A (ja) 2003-11-21
US5448705A (en) 1995-09-05

Similar Documents

Publication Publication Date Title
JP3879812B2 (ja) トラップ処理方法及びトラップ処理装置
JP3654138B2 (ja) スーパースカラー型マイクロプロセッサ及びデータ処理装置
JP3627735B2 (ja) スーパースカラープロセッサ及びデータ処理装置
JP3876443B2 (ja) マイクロプロセッサ装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040621

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050615

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050815

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050906

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060926

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20061018

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061031

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091117

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101117

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101117

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111117

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111117

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121117

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121117

Year of fee payment: 6

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121117

Year of fee payment: 6

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121117

Year of fee payment: 6