JP2003131869A - マイクロプロセッサを用いた命令実行方法 - Google Patents

マイクロプロセッサを用いた命令実行方法

Info

Publication number
JP2003131869A
JP2003131869A JP2002267998A JP2002267998A JP2003131869A JP 2003131869 A JP2003131869 A JP 2003131869A JP 2002267998 A JP2002267998 A JP 2002267998A JP 2002267998 A JP2002267998 A JP 2002267998A JP 2003131869 A JP2003131869 A JP 2003131869A
Authority
JP
Japan
Prior art keywords
instructions
instruction
register
functional units
unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002267998A
Other languages
English (en)
Other versions
JP3702873B2 (ja
Inventor
Re Toron Guen
トロン グエン,レ
Derek J Lenz
ジェイ. レンツ,デレク
Yoshiyuki Miyayama
ミヤヤマ,ヨシユキ
Sanjibu Gargu
ガルグ,サンジブ
Yasuaki Hagiwara
ハギワラ,ヤスアキ
Johannes Wang
ワン,ジョハネス
Terry Lau
ラウ,テーリ
Kuwan H Toran
エイチ. トラン,クワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Publication of JP2003131869A publication Critical patent/JP2003131869A/ja
Application granted granted Critical
Publication of JP3702873B2 publication Critical patent/JP3702873B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3818Decoding for concurrent execution
    • G06F9/3822Parallel decoding, e.g. parallel decode units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3005Arrangements for executing specific machine instructions to perform operations for flow control
    • G06F9/30061Multi-way branch instructions, e.g. CASE
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/30072Arrangements for executing specific machine instructions to perform conditional operations, e.g. using predicates or guards
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30105Register structure
    • G06F9/30112Register structure comprising data of variable length
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/30105Register structure
    • G06F9/30116Shadow registers, e.g. coupled registers, not forming part of the register space
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/30123Organisation of register space, e.g. banked or distributed register file according to context, e.g. thread buffers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/3013Organisation of register space, e.g. banked or distributed register file according to data content, e.g. floating-point registers, address registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30098Register arrangements
    • G06F9/3012Organisation of register space, e.g. banked or distributed register file
    • G06F9/30134Register stacks; shift registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • G06F9/3016Decoding the operand specifier, e.g. specifier format
    • G06F9/30167Decoding the operand specifier, e.g. specifier format of immediate specifier, e.g. constants
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/32Address formation of the next instruction, e.g. by incrementing the instruction counter
    • G06F9/322Address formation of the next instruction, e.g. by incrementing the instruction counter for non-sequential address
    • G06F9/327Address formation of the next instruction, e.g. by incrementing the instruction counter for non-sequential address for interrupts
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3804Instruction prefetching for branches, e.g. hedging, branch folding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3804Instruction prefetching for branches, e.g. hedging, branch folding
    • G06F9/3806Instruction prefetching for branches, e.g. hedging, branch folding using address prediction, e.g. return stack, branch history buffer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/3826Bypassing or forwarding of data results, e.g. locally between pipeline stages or within a pipeline stage
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/3834Maintaining memory consistency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding
    • G06F9/384Register renaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3856Reordering of instructions, e.g. using queues or age tags
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • G06F9/3863Recovery, e.g. branch miss-prediction, exception handling using multiple copies of the architectural state, e.g. shadow registers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling
    • G06F9/3865Recovery, e.g. branch miss-prediction, exception handling using deferred exception handling, e.g. exception flags
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units

Abstract

(57)【要約】 【課題】 マイクロプロセッサで実現するのに適した高
性能のRISCベースのスーパースカラー型プロセッサ
・アーキテクチャを提供する。 【解決手段】 命令セットを命令ストアからフェッチし
て解釈し実行するためのマイクロプロセッサであって、
レジスタを参照する命令を含む、実行すべき予め定めた
命令セットを得る命令セット取得手段と、予め定めたレ
ジスタと一時レジスタとを含む複数のレジスタにデータ
をストアするデータストア手段と、命令セット取得手段
に結合され、予め定めた命令セットを順次に実行するた
めの手段であって、順序外で実行される命令によって処
理されたデータを、一時レジスタにストアすることを指
示し、順序外で実行される命令によって参照されるレジ
スタが前記予め定めたレジスタである実行手段とを備え
たものである。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、RISC型マイク
ロプロセッサ・アーキテクチャの設計に関し、具体的に
は、複数の命令を同時平行に実行することのできるRI
SCマイクロプロセッサ・アーキテクチャに関する。な
お、以下に列挙した米国特許出願は本件特許出願と同時
に米国特許出願され、係属中のものであるが、これらの
米国特許出願に開示されており、かつそれぞれ対応して
出願された日本での特許出願に開示されている事項は、
その出願番号を本明細書で引用することにより本明細書
の一部を構成するものとする。 (1)発明の名称「拡張可能RISCマイクロプロセッ
サ・アーキテクチャ」(Extensible RIS
C Microprocessor Architec
ture)SMOS 7985 MCF/GBR,米国
特許出願第07/727,058号)1991年7月8
日出願、発明者Le T.Nguyen他、およびこれ
に対応する特願平5ー502153号(特表平6ー50
1124号公報)。 (2)発明の名称「アーキテクチャ上の依存関係を隔離
したRISCマイクロプロセッサ・アーキテクチャ」
(RISC Microprocessor Arch
itecture with isolated Ar
chitectural Dependencies)
SMOS 7987 MCF/GBR,米国特許出願第
07/726,744号、1991年7月8日出願、発
明者Le T.Nguyen他、及びこれに対応する特
願平5ー502152号(特表平6ー502034号公
報)。 (3)発明の名称「複数型レジスタ・セットを採用した
RISCマイクロプロセッサ・アーキテクチャ」(RI
SC Microprocessor Archite
cture Implementing Multip
le TypedRegister Sets)SMO
S 7988 MCF/GBR/RCC,米国特許出願
第07/726,773号、1991年7月8日出願、
発明者Sanjiv Garg他、及びこれに対応する
特願平5ー502403号(特表平6ー501805号
公報。 (4)発明の名称「高速トラップと例外状態をインプリ
メントしたRISCマイクロプロセッサ・アーキテクチ
ャ(RISC MicroprocessorArch
itecture Implementing Fas
t Trapand Exception Stat
e)SMOS 7989 MCF/GBR/WSW,米
国特許出願第07/726,942号、1991年7月
8日出願、発明者Le T.Nguyen他、及びこれ
に対応する特願平5ー502154号(特表平6ー50
2035号公報)。 (5)発明の名称「シングル・チップ・ページ・プリン
タ・コントローラ」(Single Chip Pag
e Printer Controller)SMOS
7991 MCF/GBR,米国特許出願第07/7
26,929号、1991年7月8日出願、発明者De
rek J.Lentz他、及びこれに対応する特願平
5ー502149号(特表平6ー501586号公
報)。 (6)発明の名称「複数の異種プロセッサをサポートす
ることのできるマイクロプロセッサ・アーキテクチャ」
(Microprocessor Architect
ure Capable of Supporting
Multiple Heterogeneous P
rocessors)SMOS 7992MCF/WM
B,米国特許出願第07/726,893号、1991
年7月8日出願、発明者Derek J.Lentz
他、及びこれに対応する特願平5ー502151号(特
表平6ー501123号公報)。
【0002】本明細書の記述は本件出願の優先権の基礎
たる米国特許出願07/727,066号の明細書の記
載に基づくものであって、当該米国特許出願の番号を参
照することによって、当該米国特許出願の明細書の記載
内容が本明細書の一部分を構成するものとする。
【0003】
【従来の技術】近年、マイクロプロセッサ・アーキテク
チャの設計は複合命令セット・コンピュータ(CISC
−Complex Instruction Set
Computer)アーキテクチャを採用したものか
ら、より単純化された縮小命令セット・コンピュータ
(RISC−Reduced Instruction
Set Computer)アーキテクチャを採用し
たものまでに発達している。CISCアーキテクチャは
大部分がハードウェアで命令実行パイプラインを実現
し、サポートしていることを特徴としている。従来のパ
イプライン構造の代表的なものは、命令フェッチ、命令
デコード、データ・ロード、命令実行、データ・ストア
のステージからなり、これらの順序は固定している。命
令セットの異なる部分をパイプラインのそれぞれのステ
ージを通して同時平行に実行すると、パフォーマンス上
の利点が得られる。パイプラインを長くすると、利用で
きる実行ステージの数が増加し、同時平行に実行できる
命令数が増加する。
【0004】CISCパイプライン・アーキテクチャの
効率を制約する一般的問題として、2つある。最初の問
題は、先に実行される条件コード設定命令がパイプライ
ンを通して実質的に実行を完了するまで、条件付ブラン
チ命令が正しく評価できないことである。従って、その
あとに続く条件付命令の実行が遅延または停止(sta
ll)されるので、いくつかのパイプライン・ステージ
が数プロセッサ・サイクルの間インアクティブ(ina
ctive)、すなわち不動作のままになっている。代
表例として条件コードは実行ステージを通してある命令
の処理が完了したときだけ、プロセッサ状況レジスタ
(PSR)とも呼ばれる条件コード・レジスタに書かれ
ている。そのために、ブランチ条件コードが判断される
までの数プロセッサ・サイクルの間、条件付きブランチ
命令をデコード・ステージにおいたままパイプラインを
停止させなければならない。パイプラインが停止する
と、スループットの損失が大になる。さらにコンピュー
タの平均スループットはプログラム命令ストリームの中
で条件付きブランチ命令が条件コード設定命令のあとに
近接して現れる頻度によって左右される。
【0005】第2の問題は、プログラム命令ストリーム
の中で近接して置かれている命令がプロセッサ・レジス
タ・ファイルの同じレジスタを参照する傾向があること
から起こる問題である。データ・レジスタは、連続する
命令のストア・ステージとロード・ステージにおいてデ
ータの宛先、またはソースとして頻繁に使用されてい
る。一般的にデータをレジスタ・ファイルにストアする
命令が少なくとも1つの実行ステージを通して処理を完
了してからでなければ、後続命令のロード・ステージ処
理でレジスタ・ファイルをアクセスすることができない
ようになっている。多数の命令を実行するには、ストア
・データを得るために1実行ステージで複数のプロセッ
サ・サイクルを必要とするので、実行ステージのオペレ
ーションが続いている間、パイプライン全体が停止して
いるのが代表的である。その結果、コンピュータの実行
スループットは、命令ストリームが実行される順序に左
右されることになる。
【0006】第3の問題は、命令自体の実行から起こる
問題ではなく、マイクロプロセッサ自体のハードウェア
・サポートによる命令実行環境の維持、すなわち、マシ
ンの状態(state−of−machine)から起
こる問題である。現在のCISCマイクロプロセッサの
ハードウエア・サブシステムは、命令の実行中にトラッ
プ条件が現れると、それを検出できるようになってい
る。各トラップを処理するには、対応するトラップ処理
ルーチンをプロセッサに実行させる必要がある。トラッ
プが検出されたとき、実行パイプラインをクリアして、
トラップ処理ルーチンが即時に実行できるようにする必
要がある。同時にトラップが現れた正確な個所で、その
ときのマシンの状態を設定しなければならない。この正
確な個所は、そのとき実行中の最初の命令が割り込みと
トラップのために完了したときと、例外のために実行さ
れなかった命令の直前に現れる。そのあと、マシンの状
態と、この場合も、トラップの内容に応じて実行中の命
令自体を処理ルーチンの完了時に復元しなければなら
い。その結果、各トラップまたは関連事象(イベント)
が起こると、処理ルーチンの開始時と終了時にパイプラ
インをクリアし、正確なマシンの状態をセーブし、復元
するために待ち時間が生じ、プロセッサのスループット
がその分だけ減少することになる。
【0007】CISCアーキテクチャが潜在的にもつス
ループットを向上するために、これらの問題に対する解
決方法がいろいろと試みられている。条件付きブランチ
命令が正しく実行されると想定すれば、ブランチ条件コ
ードの最終的判断に先立って、パイプライン実行を試行
的に進めることが可能である。また、レジスタが修正さ
れると想定すれば、後続の命令を試行的に実行すること
が可能である。処理ルーチンの実行を必要とするような
例外の発生を最小にすることによって、プログラム命令
ストリームの処理に割り込みをかける例外の発生頻度を
少なくすることを、別のハードウエアで行うことが可能
である。
【0008】これらの解決方法は、明らかに追加ハード
ウエアを複雑化するものではあるが、その方法自身にも
別の問題がある。つまり、ブランチ条件コードの最終的
判断またはレジスタ・ファイルのストア・アクセスに先
立って命令の実行を続けるためには、条件付きブランチ
のロケーションを含むプログラム命令ストリーム内の複
数の個所のいずれかにレジスタ・ファイルの各々の修正
内容に、及び例外が発生した場合には、最後の複数の命
令の実行が完了した以前の個所にマシンの状態が復元可
能であることが必要である。その結果、さらに別のサポ
ート・ハードウエアが必要になり、しかも、いずれかの
パイプライン・ステージのサイクル・タイムが大幅に増
加しないように、ハードウエアを設計しなければならな
い。
【0009】RISCアーキテクチャでは、マイクロプ
ロセッサ・アーキテクチャのハードウエアによる実現を
大幅に単純化することによって、上記の問題の多くを解
決することを試みている。極端な場合には、各RISC
命令はロード・サイクル、実行サイクル・及びストア・
サイクルからなる3つのパイプライン化プログラム・サ
イクルだけで実行される。ロード及びストア・データを
バイパスすることによって、従来のRISCアーキテク
チャは3ステージ・パイプラインにおいてサイクルあた
り1命令の実行を可能にすることを基本にしている。
【0010】可能な限り、RISCアーキテクチャにお
けるハードウエア・サポートは最小化され、必要とする
機能はソフトウェア・ルーチンで実行するようにしてい
る。その結果、RISCアーキテクチャは、最適に適合
したパイプラインで実行される単純なロード/ストア命
令セットの使用により大幅な柔軟性と高速化が期待でき
る。また、実際にはRISCアーキテクチャは短い高性
能パイプラインと増加した命令数を実行する必要性との
調和を図ると、必要とするすべての機能を実現できるこ
とが判明している。
【0011】RISCアーキテクチャの設計は一般的
に、ブランチ、レジスタ参照及び例外に関してCISC
アーキテクチャに起こっている問題を回避し、あるいは
最小化するようになっている。RISCアーキテクチャ
におけるパイプラインは短く、スピードが最適化されて
いる。パイプラインを短くすると、パイプライン停止
(stall)またはクリアによって生じる結果を最小
化するとともに、マシンの状態を以前の実行個所に復元
する際に起こる問題を最小化することができる。
【0012】しかし、一般に認識されている現水準を大
幅に超えたスループット・パフォーマンスの向上は、従
来のRISCアーキテクチャによっては容易に達成する
ことができない。その結果、これに変わるスーパースカ
ラー(super−scaler)と呼ばれるアーキテ
クチャが種々提案されている。これらのアーキテクチャ
は、一般的には、複数の命令を同時並行に実行すること
によって、プロセッサのスループットを比例的に向上さ
せることを試みている。残念ながら、この種のアーキテ
クチャの場合もCISCアーキテクチャの問題と同じで
ないとしても、条件付きブランチ、レジスタ参照、及び
例外処理に同じような問題が起こっている。
【0013】
【発明が解決しようとする課題】したがって、本発明の
一般的目的は従来のCISCアーキテクチャとRISC
アーキテクチャに対して大幅なパフォーマンス向上が得
られ、さらにマイクロプロセッサで実現するのに適した
高性能の、RISCベースのスーパースカラー型プロセ
ッサ・アーキテクチャを提供することである。
【0014】
【課題を解決するための手段】この目的を達成するため
に、本発明によるマイクロプロセッサ・アーキテクチャ
は命令ストアからフェッチした命令を同時並行に実行す
ることを可能にしている。このマイクロプロセッサ・ア
ーキテクチャは命令ストアから命令セットをフェッチす
るための命令プリフェッチ・ユニットを備えている。各
命令セットは複数の固定長命令から構成されている。命
令FIFOは第1バッファと第2バッファを含む複数の
命令セット・バッファに命令セットを置いておくバッフ
ァリングのために用意されたものである。命令実行ユニ
ットは、レジスタ・ファイルと複数の機能ユニット(f
unctional unit)から構成され、第1バ
ッファと第2バッファに置かれている命令セットを調
べ、使用可能な機能ユニットに実行させるためにこれら
の命令の1つを出す機能を持つ命令制御ユニットを備え
ている。機能ユニットとレジスタ・ファイル間は複数の
データ経路(datapath)で結ばれているので、
それぞれの命令を並行実行するために必要とされるレジ
スタ・ファイルへの複数の独立アクセスが可能になって
いる。
【0015】レジスタ・ファイルはレジスタ・データを
一時的にストアしておくために使用される別セットのデ
ータ・レジスタを含んでいる。これらの一時データ・レ
ジスタは、命令が順序外(out−of−order)
で実行される際に機能ユニットによって処理されたデー
タを受け入れるために命令実行ユニットによって利用さ
れる。一時データ・レジスタにストアされたデータは選
択的に保持され、そのあと先行するすべての順序内(i
n−order)命令の実行が完了して退避された、命
令ストリーム内の命令ロケーションまで正確なマシン状
態が進んだときクリアされるか、レジスタ・ファイルに
退避される。
【0016】最後に命令ストアからの命令セットのプリ
フェッチは、メイン・プログラム命令ストリーム、ター
ゲット条件付きブランチ命令ストリーム及びプロシージ
ャ命令ストリームのプリフェッチを可能にする複数のプ
リフェッチ経路によって容易化されている。ターゲット
条件付きブランチ・プリフェッチ経路を利用すると、条
件付きブランチ命令となり得る両方の命令ストリーム、
つまりメインとターゲットを同時にプリフェッチするこ
とが可能である。プロシージャ命令プリフェッチ経路を
利用すると、メインまたはターゲット命令ストリームに
ある一つの命令を実行する拡張プロシージャの実行を可
能にする上で効果的な補足的命令ストリームを可能にす
る。また、プロシージャ・プリフェッチ経路によると、
少なくともメイン・プリフェッチ・バッファをクリアす
ることなく、これらの拡張プロシージャをフェッチして
実行することができる。
【0017】以上のとおり、本発明の利点は、基本的に
RISC型のコア・アーキテクチャを利用して非常に高
性能なスループットを実現するアーキテクチャを提供す
ることにある。本発明の別の利点は、サイクルごとに複
数の命令の実行を可能にしたことにある。さらに、本発
明の利点は、複数の命令を同時並行に実行することを最
適化するために必要な機能ユニットを動的に(ダイナミ
ック)に選択して、利用することを可能にしたことであ
る。さらに本発明の別の利点は、正確なマシン状態復帰
機能をサポートするメカニズムと一体化したレジスタ・
ファイル・ユニットを設けたことにある。
【0018】さらに、本発明の別の利点は、レジスタ・
ファイル・ユニット内に複数のレジスタ・ファイルを内
蔵し、これらのレジスタ・ファイルは汎用化され、タイ
プ化され、複数の独立並列整数レジスタ・ファイルとし
てのオペレーション、浮動少数点ファイルと整数ファイ
ルとしてのレジスタ・ファイルのオペレーションおよび
専用ブール・レジスタ・ファイルのオペレーションを含
む、複数のレジスタ・ファイル機能を備えていることに
ある。
【0019】さらに、本発明の別の利点は、ロードとス
トア・オペレーション及び例外と割り込みの処理を効率
的な命令キャンセル・メカニズムやロード/ストア順序
シンクロナイザを含む、正確なマシン状態復帰機能の使
用により正確に実行できるようにしたことである。さら
に、本発明の別の利点は、専用レジスタ・ファイル・ユ
ニットによってトラップ状態をサポートして待ち時間を
最小化し、処理スループットを向上したことにある。
【0020】さらに、本発明の別の利点は、メイン及び
ターゲット・ブランチ・プリフェッチ待ち行列を設け、
それによって正確でないターゲット・ブランチ・ストリ
ームが先に実行されても、本発明によって得られる全体
的な処理スループットに与える影響を最小化したことに
ある。さらに、プロシージャ命令プリフェッチ待ち行列
が設けられているので、メインまたはターゲット・ブラ
ンチ命令ストリームの実行に効率的に割り込んで、プロ
シージャ・ルーチンの実行を通して新規命令を実現する
ことを可能にし、特にプロシージャ・ルーチンを外部か
ら修正することによって、組み込みプロシージャ命令を
実現することを可能にしたことである。
【0021】
【発明の実施の形態】以下、本発明の実施の形態につい
て説明する。なお、以下の目次に従って順次説明する。
【0022】目次 I. マイクロプロセッサ・アーキテクチャの概要 II. 命令フェッチユニット A)IFUデータ経路 B)IFU制御経路 C)IFU/IEU制御インタフェース D)PCロジック・ユニットの詳細 1)PFおよびExPC制御/データ・ユニットの詳細 2)PC制御アルゴリズムの詳細 E)割込みおよび例外の処理 1)概要 2)非同期割込み 3)同期例外 4)ハンドラ・ディスパッチとリターン 5)ネスト 6)トラップ一覧表 III.命令実行ユニット A)IEUデータ経路の詳細 1)レジスタ・ファイルの詳細 2)整数データ経路の詳細 3)浮動小数点データ経路の詳細 4)ブール・レジスタ・データ経路の詳細 B)ロード/ストア制御ユニット C)IEU制御経路の詳細 1)Eデコード・ユニットの詳細 2)キャリー・チェッカ・ユニットの詳細 3)データ依存関係チェッカ・ユニットの詳細 4)レジスタ改名ユニットの詳細 5)命令発行ユニットの詳細 6)完了制御ユニットの詳細 7)退避制御ユニットの詳細 8)制御フロー制御ユニットの詳細 9)バイパス制御ユニットの詳細 IV.仮想メモリ制御ユニット V. キャッシュ制御ユニット VI.要約及び結論 以下に目次に従って説明する。
【0023】I.マイクロプロセッサ・アーキテクチャ
の概要 図1は本発明のアーキテクチャ100の概要を示すもの
である。命令フェッチ・ユニット(IFU)102と命
令実行ユニット(IEU)104はアーキテクチャ10
0の中心となる機能要素である。仮想メモリ・ユニット
(VMU)108、キャッシュ制御ユニット(CUU)
106、およびメモリ制御ユニット(MCU)110
は、IFU102とIEU104の機能を直接にサポー
トするためのものである。また、メモリ・アレイ・ユニ
ット(MAU)112は基本的要素として、アーキテク
チャ100を動作させるためのものである。もっともM
AU112はアーキテクチャ100の一つの一体的なコ
ンポーネントとして直接的に存在しない。つまり、本発
明の好適実施例ではIFU102、IEU104、VM
U108、CCU106およびMCU110は従来の
0.8ミクロン設計ルールの低電力CMOSプロセスを
利用してシングル・シリコン・チップ上に実装され、約
1,200,000個のトランジスタから構成されてい
る。アーキテクチャ100の標準プロセッサまたはシス
テムのクロック速度は40MHzである。しかし、本発
明の好適実施例によれば、プロセッサの内部クロック速
度は160MHzである。
【0024】IFU102の基本的役割は命令をフェッ
チし、IEU104による実行が保留されている間命令
をバッファに置いておき、一般的には次の命令をフェッ
チするとき使用される次の仮想アドレスを計算すること
である。
【0025】本発明の好適実施例では、各命令は長さが
32ビットに固定されている。命令セット、つまり、4
個の命令からなる「バケット」(bucket)はCC
U106内の命令用キャッシュ132から128ビット
幅の命令バス114を経由してIFU102によって同
時にフェッチされる。命令セットの転送は、制御ライン
116経由で送られてきた制御信号によって調整され
て、IFU102とCCU106間で行われる。フェッ
チされる命令セットの仮想アドレスはIFU仲裁、制御
およびアドレスを兼ねたバス118経由でIFU102
から出力され、さらにIEU104とVMU108間を
結合する仲裁、制御およびアドレス共用バス120上に
送出される。VMU108へのアクセスの仲裁(arb
itration)はIFU102とIEU104の両
方がVMU108を共通の共用資源として利用すること
から行われる。本発明の好適実施例では、仮想アドレス
の物理ページ内のアドレスを定義する下位ビットは、I
FU102から制御ライン116を経由して直接にキャ
ッシュ制御ユニット106へ転送される。IFU102
から与えられる仮想アドレスの仮想上位ビットは、バス
118、120のアドレス部分によってVMU108へ
送られ、そこで対応する物理ページ・アドレスに変換さ
れる。IFU102では、この物理ページ・アドレスは
変換要求がVMU108に出されたあと内部プロセッサ
・クロック・サイクルの1/2の間に、VMU108か
らアドレス制御ライン122経由で直接にキャッシュ制
御ユニット106へ転送される。
【0026】IFU102によってフェッチされた命令
ストリームの方は命令ストリーム・バス124経由でI
EU104に渡される。制御信号は、制御ライン126
を介してIFU102とIEU104間でやりとりされ
る。さらに、ある種の命令フェッチ・アドレス、例えば
IEU104内に存在するレジスタ・ファイルへアクセ
スを必要とするアドレスは、制御ライン126内のター
ゲット・アドレス・リターン・バスを経由してIFU1
02へ送り返される。
【0027】IEU104は、CCU106内に設けら
れたデータ用キャッシュ134との間で80ビット幅双
方向データ・バス130を通してデータをストアし、デ
ータを取り出す。IEU104がデータ・アクセスする
ときの物理アドレス全体は制御バス128のアドレス部
分によってCCU106へ渡される。また、制御バス1
28を通して、データ転送を管理するための制御信号を
IEU104とCCU106との間でやりとりすること
もできる。IEU104は、仮想データ・アドレスをC
CU106へ渡すのに適した物理データ・アドレスに変
更するための資源としてVMU108を使用する。デー
タ・アドレスの仮想化部分は、仲裁、制御およびアドレ
ス・バス120を経由してVMU108へ渡される。I
FU102に対するオペレーションと異なり、VMU1
08は対応する物理アドレスをバス120経由でIEU
104へ返却する。アーキテクチャ100の好適実施例
では、IEU104は物理アドレスを使用して、ロード
/ストア・オペレーションが正しいプログラム・ストリ
ーム順序で行われていることを確かめている。
【0028】CCU106は、物理アドレスで定義した
データ要求を命令用キャッシュ132とデータ用キャッ
シュ134のどちらか該当する方から満足できるかどう
かを判断する従来のハイレベル機能を備えている。アク
セス要求が命令用キャッシュ132またはデータ用キャ
ッシュ134へアクセスすることで正しく満足できる場
合は、CCU106はデータ・バス114、128を経
由するデータ転送を調整して、その転送を行う。
【0029】データ・アクセス要求が命令用キャッシュ
132またはデータ用キャッシュ134から満足できな
い場合は、CCU106は対応する物理アドレスをMC
U110へ渡し、MAU112が、要求しているのは読
取りアクセスであるか書込みアクセスであるかを判別
し、各要求ごとにCCU106のソースまたは宛先キャ
ッシュ132、134を識別するのに十分な制御情報お
よび要求オペレーションをIFU102またはIEU1
04から出された最終的データ要求と関係づけるための
追加識別情報も一緒にMCU110へ渡される。
【0030】MCU110は、好ましくはポート・スイ
ッチ・ユニット142を備えており、このユニットは単
方向データ・バス136によってCCU106との命令
用キャッシュ132に接続され、双方向データ・バス1
38によってデータ用キャッシュ134に接続されてい
る。ポート・スイッチ142は基本的には大きなマルチ
プレクサであり、制御バス140から得た物理アドレス
を複数のポートPO n 146O-n のいずれかへ送るこ
とを可能にし、また、ポートからデータ・バス136、
138へのデータの双方向転送を可能にする。MCU1
10によって処理される各メモリ・アクセス要求は、M
AU112をアクセスするとき要求されるメイン・シス
テム・メモリ・バス162へのアクセスを仲裁する目的
でポート146O-n の1つと関連づけられる。データ転
送の接続が確立されると、MCUは制御情報を制御バス
140経由でCCU106に渡して、ポート141およ
びポート146O-n のうち対応する1つを経由して命令
用キャッシュ132またはデータ用キャッシュ134と
MAU112との間でデータを転送することを開始す
る。アーキテクチャ100の好適実施例では、MCU1
10は、実際にはCCU106とMAU112間を転送
する途中にあるデータをストアまたはラッチしない。こ
のようにしたのは、転送の待ち時間を最小にし、MCU
110に一つだけ存在するデータを追跡または管理しな
いですむようにするためである。
【0031】II.命令フェッチ・ユニット 命令フェッチ・ユニット102の主要エレメントを図2
に示す。これらのエレメントのオペレーションおよび相
互関係を理解しやすくするために、以下ではこれらのエ
レメントがIFUデータ経路と制御経路に関与する場合
を考慮して説明する。
【0032】A)IFUデータ経路 IFUデータ経路は、命令セットを受け取ってプリフェ
ッチ・バッファ260に一時的にストアしておく命令バ
ス114から始まる。プリフェッチ・バッファ260か
らの命令セットはIデコード・ユニット262を通って
IFIFOユニット264へ渡される。命令FIFO2
64の最後の2ステージにストアされた命令セットは、
データ・バス278、280を通してIEU104に連
続的に取り出して利用することができる。
【0033】プリフェッチ・バッファ・ユニット260
は一度に1つの命令セットを命令バス114から受け取
る。完全な128ビット幅命令セットは、一般にプリフ
ェッチ・バッファ260のメイン・バッファ(MBU
F)188部分の4つの128ビット幅プリフェッチ・
バッファ・ロケーションの1つに並列に書き込まれる。
追加の命令セットは最高4つまで同じように、2つの1
28ビット幅ターゲット・バッファ(TBUF)190
のプリフェッチ・バッファ・ロケーションに、または2
つの128ビット幅プロシージャ・バッファ(EBU
F)192のプリフェッチ・バッファ・ロケーションに
書き込むことが可能である。好適アーキテクチャ100
では、MBUF188、TBUF190またはEBUF
192内のプリフェッチ・バッファ・ロケーションのい
ずれかに置かれている命令セットは、プリフェッチ・バ
ッファ出力バス196へ転送することが可能である。さ
らに、直接フォールスルー(fall throug
h)命令セット・バス194は、命令バス114をプリ
フェッチ・バッファ出力バス196と直接に接続するこ
とによってMBUF188、TBUF190およびEB
UF192をバイパスするためのものである。
【0034】好適アーキテクチャ100では、MBUF
188は名目的またはメイン命令ストリーム中の命令セ
ットをバッファするために利用される。TBUF190
は、試行的なターゲット・ブランチ命令ストリームから
プリフェッチした命令セットをバッファするために利用
される。その結果、プリフェッチ・バッファ・ユニット
260を通して、条件付きブランチ命令のあとに置かれ
ている可能性のある両方の命令ストリームをプリフェッ
チすることができる。この機能により、MAU112の
待ち時間は長くなるとしても、少なくともCCU112
への以後のアクセス待ち時間がなくなるので、条件付き
ブランチ命令の解決時にどの命令ストリームが最終的に
選択されるかに関係なく、条件付きブランチ命令のあと
に置かれた正しい次の命令セットを得て、実行すること
ができる。本発明の好適アーキテクチャ100では、M
BUF188とTBUF190があるために、命令フェ
ッチ・ユニット102は現れる可能性のある両方の命令
ストリームをプリフェッチすることができ、命令実行ユ
ニット104に関連して以下に説明するようにただしい
と想定された命令ストリームを引き続き実行することが
できる。条件付きブランチ命令が解決されたとき、正し
い命令ストリームがプリフェッチされて、MBUF18
8に入れられた場合は、TBUF190に残っている命
令セットは無効にされるだけである。他方、正しい命令
ストリームの命令セットがTBUF190に存在する場
合は、命令プリフェッチ・バッファ・ユニット260を
通して、これらの命令セットがTBUF190から直接
に並行にMBUF188内のそれぞれのバッファ・ロケ
ーションへ転送される。それ以前にMBUF188にス
トアされた命令セットは、TBUF190から転送され
た命令セットを重ね書きすることによって事実上無効に
される。MBUFロケーションへ転送するTBUF命令
セットが無ければ、そのロケーションには無効の印が付
けられるだけである。
【0035】同様に、EBUF192はプリフェッチ・
バッファ260を経由する別の代替プリフェッチ経路と
なるものである。EBUF192は好ましくはMBUF
188命令ストリームに現れた単一の命令、つまり、
「プロシージャ」命令で指定されたオペレーションを実
現するために使用される代替命令ストリームをプリフェ
ッチする際に利用される。このようにすると、複雑な命
令や拡張された命令はソフトウェア・ルーチンまたはプ
ロシージャを通して実現することができ、すでにプリフ
ェッチされてMBUF188に入れられた命令ストリー
ムを乱すことなくプリフェッチ・バッファ・ユニット2
60を通して処理することができる。一般的には、本発
明によれば、最初にTBUF190に現れたプロシージ
ャ命令を処理することができるが、プロシージャ命令ス
トリームのプリフェッチは保留され、以前に現れた保留
中の条件付きブランチ命令ストリームが全て解決され
る。これにより、プロシージャ命令ストリームに現れた
条件付きブランチ命令は、TBUF190の使用を通し
て矛盾なく処理されることになる。従って、プロシージ
ャ・ストリームでブランチが行われる場合は、ターゲッ
ト命令セットはすでにプリフェッチされてTBUF19
0に入れられているので、EBUF192へ並列に転送
することができる。
【0036】最後にMBUF188、TBUF190お
よびEBUF192の各々はプリフェッチ・バッファ出
力バス196に接続され、プリフェッチ・ユニットによ
ってストアされた命令セットを出力バス196上に送出
するようになっている。さらに、バス194を通過する
フローは命令セットを命令バス114から直接に出力バ
ス196へ転送するためのものである。
【0037】好適アーキテクチャ100ではMBUF1
88、TBUF190、EBUF192内のプリフェッ
チ・バッファは直接的にはFIFO構造を構成していな
い。その代わりにどのバッファ・ロケーションも出力バ
ス196に接続されているので、命令用キャッシュ13
2から取り出された命令セットのプリフェッチ順序に大
幅な自由度をもたせることができる。つまり、命令フェ
ッチ・ユニット102は命令ストリームに一定順序で並
んだ命令順に命令セットを判断して要求するのが一般的
になっている。しかし、命令セットがIFU102へ返
されるときの順序は、要求したある命令セットが使用可
能で、CCU106だけからアクセス可能であり、他の
命令セットはMAU102のアクセスを必要とするよう
な場合に合わせて順序外に現れることも可能である。
【0038】命令セットは一定順序でプリフェッチ・バ
ッファ・ユニット260へ返されない場合があっても、
出力バス196上に出力される命令セットの列は、一般
的にIFU102から出された命令セット要求の順序に
従っていなければならない。順序内(in−orde
r)の命令ストリーム列は、例えばターゲット・ブラン
チ・ストリームの試行的実行に影響されるためである。
【0039】Iデコード・ユニット262は、IFIF
Oユニット264のスペースが許す限り、プリフェッチ
・バッファ出力バス196から命令セットを、普通は1
サイクルに1つの割合で受け取る。一つの命令セットを
構成する4個の命令からなる各セットはIデコード・ユ
ニット262によって並行にデコードされる。関係の制
御フロー情報がIFU102の制御経路部分のためにラ
イン318から抜き出されている間は、命令セットの内
容はIデコード・ユニット262によって変更されな
い。
【0040】Iデコード・ユニット262からの命令セ
ットはIFIFOユニット264の128ビット幅入力
バス198上に送出される。内部的には、IFIFOユ
ニット264はマスタ/スレーブ・レジスタ200、2
04、208、212、216、220、224の列か
ら構成されている。各レジスタはその後続レジスタに接
続され、マスタ・レジスタ200、208、216の内
容がFIFOオペレーションの内部プロセッサ・サイク
ルの前半時にスレーブ・レジスタ204、212、22
0へ転送され、そのあとオペレーションの後半サイクル
時に次の後続マスタ・レジスタ208、216、224
へ転送されるようになっている。入力バス198はマス
タ・レジスタ200、208、216、224の各々の
入力に接続され、FIFOオペレーションの後半サイク
ル時に命令セットがIデコード・ユニット262からマ
スタ・レジスタに直接にロードされるようになってい
る。しかし、マスタ・レジスタを入力バス198からロ
ードすることは、IFIFOユニット264内でデータ
をFIFOシフトすることと同時に行う必要はない。そ
の結果、命令FIFOユニット264内にストアされた
命令セットの現在の深さに関係なく、さらに、IFIF
Oユニット264内でデータをFIFOシフトすること
から独立して入力バス198から連続的にIFIFOユ
ニット264に入れていくことができる。
【0041】マスタ/スレーブ・レジスタ200、20
4、208、212、216、220、224の各々
は、128ビット幅命令セットの全ビットを並列にスト
アできるほかに、制御情報のいくつかのビットをそれぞ
れの制御レジスタ202、206、210、214、2
18、222、226にストアすることもできる。好ま
しくは、制御ビットのセットは、例外不一致(exce
ption miss)と例外修正(exceptio
n modify)(VMU)、メモリなし(MC
U)、ブランチ・バイアス、ストリーム、およびオフセ
ット(IFU)からなっている。この制御情報はIFI
FOマスタ・レジスタに入力バス198から新しい命令
セットをロードするのと同時に、IFU102の制御経
路部分から発生する。そのあと、制御レジスタ情報は命
令セットと並行してIFIFOユニット264内で並列
にシフトされる。
【0042】最後に好適アーキテクチャ100ではIF
IFOユニット264からの命令セットの出力は最後の
2マスタ・レジスタ216、224から同時に得られ
て、I Bucket 0とI Bucket 1命令
セット出力バス278、280上に送出される。さら
に、対応する制御レジスタ情報がIBASV0とIBA
SB1制御フィールドバス282、284上に送出され
る。これらの出力バス278、282、280、284
は全てIEU104へ通じる命令ストリーム・バス12
4となるものである。
【0043】B)IFU制御経路 IFU102制御経路は、プリフェッチ・バッファ・ユ
ニット260、Iデコード・ユニット262およびIF
IFOユニット264のオペレーションを直接にサポー
トする。プリフェッチ制御ロジック・ユニット266は
主にプリフェッチ・バッファ・ユニット260のオペレ
ーションを管理する。プリフェッチ制御ロジック・ユニ
ット266とIFU102は一般的にはクロック・ライ
ン290からシステム・クロック信号を受信して、IF
UのオペレーションとIEU104、CCU106およ
びVMU108のオペレーションとの同期をとるように
している。命令セットを選択して、MBUF188、T
BUF190およびEBUF192に書き込むための制
御信号は制御ライン304上に送出される。
【0044】多数の制御信号は、制御ライン316上に
送出されて、プリフェッチ制御ロジック・ユニット26
6へ送られる。具体的には、フェッチ要求制御信号はプ
リフェッチ・オペレーションを開始するために送出され
る。制御ライン316上に送出される他の制御信号は要
求したプリフェッチ・オペレーションが目標とする宛先
かMBUF188であるか、TBUF190であるか、
EBUF192であるかを指定している。プリフェッチ
要求を受けて、プリフェッチ制御ロジック・ユニット2
66はID値を生成し、プリフェッチ要求をCCU10
6に通知できるかどうかを判断する。ID値の生成は、
循環4ビット・カウンタを使用して行われる。
【0045】4ビット・カウンタの使用は次の3つの点
で重要である。第1は最大9個までの命令セットをプリ
フェッチ・バッファ・ユニット260で一度にアクティ
ブにできることである。すなわち、MBUF188での
4命令セット、TBUF190での2命令セット、EB
UF192での命令セット、およびフロー・スルー・バ
ス194経由で直接にIデコード・ユニット262に渡
される1命令セットである。第2は、命令セットが各々
4バイトの4個の命令からなることである。その結果、
フェッチする命令を選択するどのアドレスも、その最下
位4ビットは余分になっている。最後は、プリフェッチ
要求アドレスの最下位4ビットとして挿入することで、
プリフェッチ要求IDをプリフェッチ要求と容易に関連
づけることができることである。これにより、CCU1
06とのインターフェースとなるために必要な総アドレ
ス数が減少することになる。
【0046】IFU102から出されたプリフェッチ要
求の順序に対して順序外で命令セットがCCU106か
ら返却されるようにするために、アーキテクチャ100
ではCCU106からの命令セットの返却と一緒にID
要求値が返されるようになっている。しかし、順序外の
命令セット返却機能によると、16個の固有IDが使い
つくされるおそれがある。条件付き命令の組合せが順序
外で実行されると、要求されたがまだ返却されていない
追加のプリフェッチと命令セットがあるので、ID値を
再使用することが可能になる。したがって、4ビット・
カウンタは保持しておくのが好ましく、それ以降の命令
セットのプリフェッチ要求が出されないことになり、そ
の場合には次のID値は、未処理のまま残っているフェ
ッチ要求やそのときプリフェッチ・バッファ260に保
留されている別の命令セットに関連づけられたものとな
る。
【0047】プリフェッチ制御ロジック・ユニット26
6はプリフェッチ状況配列(アレイ)268を直接に管
理し、この配列はMBUF188、TBUF190およ
びEBUF192内の各命令セット・プリフェッチ・バ
ッファ・ロケーションに論理的に対応する状況記憶ロケ
ーションからなっている。プリフェッチ制御ロジック・
ユニット266は選択およびデータ・ライン306を通
して、データをスキャンし、読み取って、状況レジスタ
配列268に書き込むことができる。配列268内で
は、メイン・バッファ・レジスタ308は4個の4ビッ
トID値(MBID)、4個の1ビット予約フラグ(M
B RES)および4個の1ビット有効フラグ(MB
VAL)をストアしておくためのものであり、これらの
各々は論理ビット位置別にMBUF180内のそれぞれ
の命令セット記憶ロケーションに対応づけられている。
同様に、ターゲット・バッファ・レジスタ310と拡張
バッファ・レジスタ312は、それぞれ2個の4ビット
ID値(TB ID、EBID)、2個の1ビット予約
フラグ(TB RES、EB RES)および2個の1
ビット有効フラグ(TB VAL、EB VAL)をス
トアしておくためのものである。最後にフロー・スルー
状況レジスタ314は1個の4ビットID値(FT I
D)、1個の予約フラグ・ビット(FT RES)およ
び1個の有効フラグ・ビット(FT VAL)をストア
しておくためのものである。
【0048】状況レジスタ配列268が最初にスキャン
され、該当するときは、プリフェッチ要求がCCU10
6に出されるたびにプリフェッチ制御ロジック・ユニッ
ト266によって更新され、そのあとは命令セットが返
されるたびにスキャンされ、更新される。具体的に説明
すると、制御ライン316からプリフェッチ要求信号を
受け取ると、プリフェッチ制御ロジック・ユニット26
6は現在の循環カウンタ生成ID値をインクリメント
し、状況レジスタ配列268をスキャンして、使用可能
なID値があるかどうか、プリフェッチ要求信号で指定
されたタイプのプリフェッチ・バッファ・ロケーション
が使用可能であるかどうかを判断し、CCU IBUS
Y制御ライン300の状態を調べてCCU106がプリ
フェッチ要求を受け付けることができるかどうかを判断
し、受付可能ならば、制御ライン298上のCCU I
READ制御信号を肯定し、インクリメントされたID
値をCCU106と結ばれたCCU ID出力バス29
4上に送出する。プリフェッチ記憶ロケーションは、対
応する予約状況フラグと有効状況フラグが共に偽である
場合に使用が可能である。
【0049】プリフェッチIDは要求がCCU106に
出されるのと並行して、MBUF188、TBUF19
0、またはEBUF192内の目標とする記憶ロケーシ
ョンに対応する状況レジスタ配列268内のID記憶ロ
ケーションに書き込まれる。さらに、対応する予約状況
フラグが真にセットされる。
【0050】CCU106が以前に要求された命令セッ
トをIFU102へ返却できるときは、CCU IRE
ADY信号が制御ライン302上で肯定され、対応する
命令セットIDがCCU ID制御ライン296上に送
出される。プリフェッチ制御ロジック・ユニット266
は状況レジスタ配列268内のID値と予約フラグをス
キャンして、プリフェッチ・バッファ・ユニット260
内の命令セットの目標とする宛先を判別する。一致する
ものは一つだけが可能である。判別されると、命令セッ
トはバス114を経由してプリフェッチ・バッファ・ユ
ニット260内の該当ロケーションに書き込まれ、フロ
ー・スルー要求と判別されたときは、直接にIデコード
・ユニット262に渡される。どちらの場合も、対応す
る状況レジスタ配列に入っている有効状況フラグは真に
セットされる。
【0051】PCロジック・ユニット270は、以下で
詳しく説明するように、IFU102全体を調べてMB
UF188、TBUF190およびEBUF192命令
ストリームの仮想アドレスを探し出す。この機能を実行
する際、PCロジック・ブロック270はIデコード・
ユニット262を制御すると同時に、そこから動作す
る。具体的には、Iデコード・ユニット262によって
デコードされ、プログラムの命令ストリームのフローの
変化と関わりがある可能性のある命令部分はバス318
を経由して制御フロー検出ユニット274へ送られると
共に、直接にPCロジック・ブロック270へ送られ
る。制御フロー検出ユニット274は条件付きブランチ
命令と無条件ブランチ命令、コール型命令、ソフトウェ
ア・トラップ・プロシージャ命令および種々のリターン
命令を含む制御フロー命令を構成する各命令をデコード
された命令セットの中から判別する。制御フロー検出ユ
ニット274は制御信号をライン322を経由して、P
Cロジック・ユニット270へ送る。この制御信号は、
Iデコード・ユニット262に存在する命令セット内の
制御フロー命令のロケーションと種類を示している。こ
れを受けて、PCロジック・ユニット270は、一般的
には命令に入れられて、ライン318経由でPCロジッ
ク・ユニットへ転送されたデータから制御フロー命令の
ターゲット・アドレスを判断する。例えば、条件付きブ
ランチ命令に対して先に実行するためにブランチ・ロジ
ック・バイアスが選択された場合には、PCロジック・
ユニット270は条件付きブランチ命令ターゲット・ア
ドレスから命令セットをプリフェッチすることを指示
し、別々に追跡することを開始する。したがって、制御
ライン316上のプリフェッチ要求を次に肯定すると、
PCロジック・ユニット270はさらにライン316を
経由する制御信号を肯定し、先行するプリフェッチ命令
セットがMBUF188またはEBUF192へ送られ
たものと想定すると、プリフェッチの宛先をTBUF1
90として選択する。プリフェッチ要求をCCU106
へ渡すことができるとプリフェッチ制御ロジック・ユニ
ット266が判断すると、プリフェッチ制御ロジック・
ユニット266は、この場合もライン316を経由して
イネーブル(許可)信号をPCロジック・ユニット27
0へ送って、ターゲット・アドレスのページ・オフセッ
ト部分(CCU PADDR〔13:4〕)をアドレス
・ライン324を経由して直接にCCU106へ渡すこ
とを可能にする。これと同時に、PCロジック・ユニッ
ト270は新しい仮想ページから物理ページへの変換が
必要な場合には、さらに、VMU要求信号を制御ライン
328を経由して、ターゲット・アドレスの仮想化部分
(VMU VADDR〔13:14〕)をアドレス・ラ
イン326を経由してVMU108へ渡して、物理アド
レスに変換する。ページ変換が必要でない場合は、VM
U108によるオペレーションは必要でない。その代わ
りに、以前の変換結果がバス122に接続された出力ラ
ッチに保存されているので、CCU106によって即時
に使用される。
【0052】PCロジック・ユニット270が要求した
仮想から物理への変換時にVMU108にオペレーショ
ン・エラーが起こると、VMU例外およびVMU不一致
制御(miss control)ライン332、33
4を通して報告される。VMU不一致制御ライン334
は変換索引緩衝機構(Translation loo
kaside buffer:TLB)の不一致を報告
する。VMU例外ライン332上のVMU例外制御信号
は他の例外が起こると発生する。いずれの場合も、PC
ロジック・ユニットは命令ストリーム中の現在の実行個
所をストアしておき、そのあと無条件ブランチが行われ
たのと同じように、それを受けてエラー条件を診断し処
理するための専用例外処理ルーチン命令ストリームをプ
リフェッチすることによって、エラー条件を処理する。
VMU例外および不一致制御信号は、発生した例外の種
類を示しているので、PCロジック・ユニット270は
対応する例外処理ルーチンのプリフェッチ・アドレスを
判別することができる。
【0053】IFIFO制御ロジック・ユニット272
はIFIFOユニット264を直接にサポートするため
のものである。具体的には、PCロジック・ユニット2
70は制御ライン336を経由して制御信号を出力し、
命令セットがIデコード・ユニット262から入力バス
198経由で使用可能であることをIFIFO制御ロジ
ック・ユニット272に通知する。IFIFO制御ユニ
ット272は命令セットを受け取るために、最も奥の使
用可能なマスタ・レジスタ200、208、216、2
24を選択する役割をもっている。マスタ・レジスタ2
02、210、218、226の各々の出力は制御バス
338を経由してIFIFO制御ユニット272へ渡さ
れる。各マスタ制御レジスタによってストアされる制御
ビットは2ビット・バッファ・アドレス(IF Bx
ADR)、単一ストリーム・インジケータ・ビット(I
Bx STRM)、および単一有効ビット(IF
Bx VLD)からなっている。2ビット・バッファ・
アドレスは対応する命令セット内の最初の有効命令を指
定している。つまり、CCU106から返された命令セ
ットは、例えば、ブランチ・オペレーションのターゲッ
ト命令が命令セット内の最初の命令ロケーションに置か
れるように境界合わせされていないことがある。したが
って、バッファ・アドレス値は、実行の対象として考慮
される、命令セット内の最初の命令を一意的に示すため
に与えられる。
【0054】ストリーム・ビットは、条件付き制御フロ
ー命令を含んでいる命令セットのロケーションを示し、
IFIFOユニット264を通る命令のストリームに潜
在的制御フローの変更を引き起こすマーカとして使用さ
れることを基本としている。メイン命令ストリームは一
般にストリーム・ビット値が0のときMBUF188を
通して処理される。例えば、相対条件付きブランチ命令
が現れるとすると、対応する命令セットはマークが付け
られ、ストリーム・ビット値が1となる。条件付き命令
セットはIデコード・ユニット262によって検出され
る。条件付き制御フロー命令は最高4つまで命令セット
に存在することができる。そのあと、命令セットはIF
IFOユニット264の最も奥の使用可能なマスタ・レ
ジスタにストアされる。
【0055】条件付きブランチ命令のターゲット・アド
レスを判断するために、現在のIEU104の実行点ア
ドレス(DPC)、ストリーム・ビットで指定された条
件付き命令が入っている命令セットの相対ロケーショ
ン、制御フロー検出ユニット274から得られた命令セ
ット内の条件付き命令ロケーション・オフセットは、制
御ライン318を通して対応するブランチ命令フィール
ドから得られた相対ブランチ・オフセット値と結合され
る。その結果はブランチ・ターゲットの仮想アドレスと
なり、PCロジック・ユニット270によってストアさ
れる。ターゲット命令ストリームの最初の命令セット
は、このアドレスを使用してプリフェッチしてTBUF
190に入れることができる。PCロジック・ユニット
270のために事前に選択されたブランチ・バイアスに
応じてIFIFOユニット264はMBUF188また
はTBUF190からロードが続けられる。1つまたは
2つ以上の条件付フロー命令を含んでいる2番目の命令
セットが現れると、その命令セットはストリーム・ビッ
ト値に0のマークが付けられる。2番目のターゲット・
ストリームはフェッチできないので、ターゲット・アド
レスはPCロジック・ユニット270によって計算され
てストアされるが、プリフェッチは行われない。さら
に、それ以降の命令セットはIデコード・ユニット26
2を通して処理することができない。少なくとも、条件
付きフロー制御命令を含んでいることが分かった命令セ
ットは1つも処理されない。
【0056】本発明の好適実施例では、PCロジック・
ユニット270は最高2個までの命令セットに現れた条
件付きフロー命令を最高8個まで管理することができ
る。ストリーム・ビットの変化でマークが付けられた2
命令セットの各々のターゲット・アドレスは4つのアド
レス・レジスタの配列にストアされ、ターゲット・アド
レスは命令セット内の対応する条件付きフロー命令のロ
ケーションに対して論理的位置に置かれる。
【0057】最初の順序内条件付きフロー命令のブラン
チ結果が解決されると、PCロジック・ユニット270
は、ブランチが行われる場合にはTBUF190の内容
をMVUF188に転送し、TBUF190の内容に無
効のマークを付けるように、ライン316上の制御信号
によってプリフェッチ制御ユニット266に指示する。
正しくない命令ストリーム、つまりブランチが行われな
い場合はターゲット・ストリームからの、ブランチが行
われる場合はメイン・ストリームからの命令セットが、
IFIFOユニット264にあるとIFIFOユニット
264からクリアされる。2番目またはそれ以降の条件
付きフロー制御命令が第1ストリーム・ビットのマーク
が付けられた命令セットに存在すると、その命令は統一
された方法で処理される。すなわち、ターゲット・スト
リームからの命令セットはプリフェッチされ、MBUF
188またはTBUF190からの命令セットはブラン
チ・バイアスに応じてIデコード・ユニット262を通
して処理され、条件付きフロー命令が最終的に解決され
ると、正しくないストリーム命令セットがIFIFOユ
ニット264からクリアされる。
【0058】IFIFOユニット264から正しくない
ストリーム命令がクリアされたとき、2番目の条件付き
フロー命令がIFIFOユニット264に残っていて、
最初の条件付きフロー命令セットにそれ以降の条件付き
フロー命令が含まれていないと、第2ストリーム・ビッ
トのマークが付いた命令セットのターゲット・アドレス
はアドレス・レジスタの最初の配列にプロモートされ
る。いずれの場合も、条件付きフロー命令を含んでいる
次の命令セットはIデコード・ユニット262を通して
評価することが可能になる。したがって、ストリーム・
ビットをトグルとして使用すると、ブランチ・ターゲッ
ト・アドレスを計算する目的のために、また、ブランチ
・バイアスが特定の条件付きフロー制御命令では正しく
なかったと、後で判断された場合に、それより上をクリ
アすべき命令セット・ロケーションにマークをつける目
的のために、潜在的制御フローの変化にマークをつけて
おき、IFIFOユニット264を通して追跡すること
ができる。
【0059】命令セットをマスタ・レジスタから実際に
クリアするのではなく、IFIFO制御ロジック・ユニ
ット272はIFIFOユニット264の対応するマス
タ・レジスタの制御レジスタに入っている有効ビット・
フラグをリセットするだけである。このクリア・オペレ
ーションはライン336に送出される制御信号でPCロ
ジック・ユニット270によって開始される。マスタ制
御レジスタ202、210、218、226の各々の入
力は状況バス230を通してIFIFO制御ロジック・
ユニット272が直接にアクセスすることができる。好
適実施例のアーキテクチャ100ではこれらのマスタ制
御レジスタ202、210、218、226内のビット
はIFIFOユニット264によるデータ・シフト・オ
ペレーションと並行して、または独立してIFIFO制
御ユニット272によってセットすることが可能であ
る。この機能により、IEU104のオペレーションと
非同期に、命令セットをマスタ・レジスタ200、20
8、216、224のいずれかに書込み、対応する状況
情報をマスタ制御レジスタ202、210、218、2
26に書き込むことができる。
【0060】最後に、制御および状況バス230上の追
加の制御ラインはIFIFOユニット264のIFIF
Oオペレーションを可能にし、指示する。IFIFOシ
フトは制御ライン336を通してPCロジック・ユニッ
ト270から出力されたシフト要求制御信号を受けてI
FIFOユニット264によって行われる。IFIFO
制御ユニット272は、命令セットを受け入れるマスタ
・レジスタ200、208、216、224が使用可能
であると、制御信号をライン316を経由してプリフェ
ッチ制御ユニット266に送ってプリフェッチ・バッフ
ァ260から次の該当命令セットを転送することを要求
する。命令セットが転送されると、配列268内の対応
する有効ビットがリセットされる。
【0061】C)IFU/IEU制御インタフェース IFU102とIEU104とを結ぶ制御インタフェー
スは制御バス126によって提供される。この制御バス
126はPCロジック・ユニット270に接続され、複
数の制御、アドレスおよび特殊データ・ラインから構成
されている。割り込み要求と受信確認制御信号を制御ラ
イン340を経由して渡すことにより、IFU102は
割り込みオペレーションを通知し、IEU104との同
期をとることができる。外部で発生した割り込み信号は
ライン292経由でロジック・ユニット270へ送られ
る。これを受けて、割り込み要求制御信号がライン34
0上に送出されると、IEU104は試行的に実行され
た命令をキャンセルする。割り込みの内容に関する情報
は、割り込み情報ライン341を通してやりとりされ
る。IEU104がPCロジック・ユニット270によ
って判断された割り込みサービス・ルーチンのアドレス
からプリフェッチされた命令の受信を開始する準備状態
になると、IEU104はライン340上の割り込み受
信確認制御信号を肯定する。IFU102によってプリ
フェッチされた割り込みサービス・ルーチンがそのあと
開始される。
【0062】IFIFO読取り(IFIFO RD)制
御信号はIEU104から出力され、最も奥のマスタ・
レジスタ224に存在する命令セットが実行を完了した
ことおよび次の命令セットが必要であることを通知す
る。この制御信号を受けると、PCロジック・ユニット
270はIFIFOユニット264でIFIFOシフト
・オペレーションを実行するようにIFIFO制御ロジ
ック・ユニット272に指示する。
【0063】PCインクリメント要求とサイズ値(PC
INC/SIZE)は制御ライン344上に送出され
て、現在のプログラム・カウンタ値を命令の対応するサ
イズ数だけ更新するようにPCロジック・ユニット27
0に指示する。これによりPCロジック・ユニット27
0は、現在のプログラム命令ストリーム中の最初の順序
内実行命令のロケーションを正確に指した個所に実行プ
ログラム・カウンタ(DPC)を維持することができ
る。
【0064】ターゲット・アドレス(TARGET A
DDR)はアドレス・ライン346を経由してPCロジ
ック・ユニット270に返される。このターゲット・ア
ドレスはIEU104のレジスタ・ファイルにストアさ
れているデータによって決まるブランチ命令の仮想ター
ゲット・アドレスである。したがって、ターゲット・ア
ドレスを計算するためにIEU104のオペレーション
が必要である。
【0065】制御フロー結果(CF RESULT)制
御信号は制御ライン348を経由して、PCロジック・
ユニット270へ送られて、現在保留されている条件付
きブランチ命令が解決されたかどうか、その結果がブラ
ンチによるものなのか、ブランチによらないものなのか
を示している。これらの制御信号に基づいて、PCロジ
ック・ユニット270は、条件付きフロー命令の実行の
結果として、プリフェッチ・バッファ260とIFIF
Oユニット264に置かれている命令セットのどれをキ
ャンセルする必要があるかを判断することができる。
【0066】いくつかのIEU命令リターン型制御信号
(IEUリターン)が制御ライン350上を送出され
て、IEU104によってある命令が実行されたことを
IFU102に通知する。これらの命令には、プロシー
ジャ命令からのリターン、トラップからのリターンおよ
びサブルーチン・コールからのリターンがある。トラッ
プからのリターン命令はハードウェア割り込み処理ルー
チンとソフトウェア・トラップ処理ルーチンで同じよう
に使用される。サブルーチン・コールからのリターンも
ジャンプとリンク型コールと併用される。どの場合も、
リターン制御信号は以前に割り込みがかけられた命令ス
トリームに対して命令フェッチ・オペレーションを再開
するようにIFU102に通知するために送られる。こ
れらの信号をIEU104から出すことにより、システ
ム100の正確なオペレーションを維持することができ
る。「割り込みがかけられた」命令ストリームの再開は
リターン命令の実行個所から行われる。
【0067】現命令実行PCアドレス(現IF PC)
はアドレス・バス352を経由してIEU104へ送ら
れる。このアドレス値(DPC)はIEU104によっ
て実行される正確な命令を指定している。つまり、IE
U104が現在のIF PCアドレスを通過した命令を
先に試行的に実行している間は、このアドレスは割り込
み、例外その他に正確なマシンの状態が分かっているこ
とが必要な事象の発生に対してアーキテクチャ100を
正確に制御するために保持されていなければならない。
現在実行中の命令ストリームの中の正確なマシンの状態
を進めることが可能であるとIEU104が判断する
と、PC Inc/Size信号がIFU102に送ら
れ、即時に現在のIF PCアドレス値に反映される。
【0068】最後に、アドレスおよび双方向データ・バ
ス354は特殊レジスタのデータを転送するためのもの
である。このデータはIEU104によってIFU10
2内の特殊レジスタに入れられ、あるいはそこから読み
取られるようにプログラムすることが可能である。特殊
レジスタのデータは一般にIFU102が使用できるよ
うにIEU104によってロードされ、あるいは計算さ
れる。
【0069】D)PCロジック・ユニットの詳細 PC制御ユニット362、割り込み制御ユニット36
3、プリフェッチPC制御ユニット364および実行P
C制御ユニット366を含むPCロジック・ユニット2
70の詳細図は図3に示されている。
【0070】PC制御ユニット362はインタフェース
・バス126を通してプリフェッチ制御ユニット26
6、IFIFO制御ロジック・ユニット272、および
IEU104から制御信号を受けて、プリフェッチおよ
び実行PC制御ユニット364、366に対してタイミ
ング制御を行う。割り込み制御ユニット363はプリフ
ェッチ・トラップ・アドレス・オフセットを判断してそ
れぞれのトラップ・タイプを処理する該当処理ルーチン
を選択することを含めて、割り込みと例外の正確な管理
を担当する。プリフェッチPC制御ユニット364は、
特にトラップ処理とプロシージャ・ルーチン命令のフロ
ーのためのリターン・アドレスをストアすることを含め
て、プリフェッチ・バッファ188、190、192を
サポートするために必要なプログラム・カウンタの管理
を担当する。このオペレーションをサポートするため
に、プリフェッチPC制御ユニット364は物理アドレ
ス・バス・ライン324上のCCU PADDERアド
レスとアドレスライン326上のVMU VMADDR
アドレスを含むプリフェッチ仮想アドレスを生成するこ
とを担当する。その結果、プリフェッチPC制御ユニッ
ト364は現在のプリフェッチPC仮想アドレス値を保
持することを担当する。
【0071】プリフェッチ・オペレーションは一般に制
御ライン316上を送出された制御信号を通してIFI
FO制御ロジック・ユニット272によって開始され
る。これを受けて、PC制御ユニット362はいくつか
の制御信号を生成して制御ライン372上に出力し、プ
リフェッチPC制御ユニットを動作させてアドレス・ラ
イン324、326上にPADDRアドレスと、必要に
応じてVMADDRアドレスを生成する。値が0から4
までのインクリメント信号も制御ライン374上に送出
される場合もあるが、これはPC制御ユニット362が
現在のプリフェッチ・アドレスから命令セットのフェッ
チを再実行しているか、一連のプリフェッチ要求の中の
2番目の要求に対して位置合わせを行っているか、プリ
フェッチのために次の全順次命令セットを選択している
か、によって決まる。最後に現在のプリフェッチ・アド
レスPF_PCがバス370上に送出され、実行PC制
御ユニット366へ渡される。
【0072】新しいプリフェッチ・アドレスはいくつか
のソースから発生する。アドレスの主要なソースはバス
352経由で実行PC制御ユニット366から送出され
た現在のIF PCアドレスである。原理的には、IF
PCアドレスからはリターン・アドレスが得られ、こ
れは、初期コール、トラップまたはプロシージャ命令が
現れたとき、プリフェッチPC制御ユニットによってあ
とで使用されるものである。IF PCアドレスは、こ
れらの命令が現れるたびに、プリフェッチPC制御ユニ
ット364内のレジスタにストアされる。このようにし
て、PC制御ユニット362は制御ライン350を通し
てIEUリターン信号を受けたとき、プリフェッチPC
制御ユニット364内のリターン・アドレス・レジスタ
を選択して新しいプリフェッチ仮想アドレスを取り出す
だけでよく、これによって元のプログラム命令ストリー
ムを再開する。
【0073】プリフェッチ・アドレスのもう一つのソー
スは実行PC制御ユニット366から相対ターゲット・
アドレス・バス382を経由して、あるいはIEU10
4から絶対ターゲット・アドレス・バス346を経由し
て送出されたターゲット・アドレス値である。相対ター
ゲット・アドレスとは、実行PC制御ユニット366に
よっで直接に計算できるアドレスである。絶対ターゲッ
ト・アドレスは、これらのターゲット・アドレスがIE
Uレジスタ・ファイルに入っているデータに依存するの
で、IEU104に生成させる必要がある。ターゲット
・アドレスはターゲット・アドレス・バス384を通っ
てプリフェッチPC制御ユニット364へ送られ、プリ
フェッチ仮想アドレスとして使用される。相対ターゲッ
ト・アドレスを計算する際、対応するブランチ命令のオ
ペランド部分もIデコード・ユニット262からバス3
18のオペランド変位部分を経由して送られる。
【0074】プリフェッチ仮想アドレスのもう1つのソ
ースは、実行PC制御ユニット366である。リターン
・アドレス・バス352′は、現在のIF PC値(D
PC)をプリフェッチPC制御ユニット364へ転送す
るためのものである。
【0075】このアドレスは、割り込み、トラップその
他コールなどの制御フロー命令が命令ストリーム内に現
れた個所でリターン・アドレスとして使用される。プリ
フェッチPC制御ユニット364は、新しい命令ストリ
ームをプリフェッチするために解放される。PC制御ユ
ニット362は、対応する割り込みまたはトラップ処理
ルーチンまたはサブルーチンが実行されると、IEU1
04からライン350を経由してIEUリターン信号を
受け取る。他方、PC制御ユニット362はライン37
2上のPFPC信号の一つを通して、およびライン35
0経由で送られてきて実行されたリターン命令のIDに
基づいて、現在のリターン仮想アドレスを収めているレ
ジスタを選択する。そのあと、このアドレスが使用され
てPCロジック・ユニット270によるプリフェッチ・
オペレーションを続行する。
【0076】最後に、プリフェッチ仮想アドレスが取り
出されるもう一つのソースは、特殊レジスタ・アドレス
およびデータ・バス354である。IEU104によっ
て計算またはロードされたアドレス値、または少なくと
もベース・アドレス値はデータとしてバス354を経由
してプリフェッチPC制御ユニット364へ転送され
る。ベース・アドレスはトラップ・アドレス・テーブ
ル、高速トラップ・テーブル、およびベース・プロシー
ジャ命令ディスパッチ・テーブルのアドレスを含んでい
る。バス354を通して、プリフェッチおよびPC制御
ユニット364、366内のレジスタの多くを読み取る
こともできるので、マシンの状態の対応する側面をIE
U104を通して処理することが可能である。
【0077】実行PC制御ユニット366は、PC制御
ユニット362の制御を受けて、現在のIF PCアド
レス値を計算することを主な役割としている。この役割
において、実行PC制御ユニット366は、PC制御ユ
ニット362からExPC制御ライン378を経由して
送られてきた制御信号と、制御ライン380を経由して
送られてきたインクリメント/サイズ制御信号を受け
て、IF PCアドレスを調整する。これらの制御信号
は主に、ライン342経由で送られてきたIFIFO読
取り制御信号とIEU104から制御ライン344経由
で送られてきたPCインクリメント/サイズ値を受け取
ると生成される。 1)PFおよびExPC制御/データ・ユニットの詳細 図4はプリフェッチおよび実行PC制御ユニット36
4、366の詳細ブロック図である。これらのユニット
は主にレジスタ、インクリメンタ(増分器)その他の類
似部品、セレクタおよび加算器ブロックから構成されて
いる。これらのブロック間のデータ転送を管理する制御
は、PFPC制御ライン372、ExPC制御ライン3
78およびインクリメント制御ライン374、380を
通してPC制御ユニット362によって行われる。説明
を分かりやすくするために、図4のブロック図には、こ
れらの個々の制御ラインは示されていない。しかし、こ
れらの制御信号が以下に説明するように、これらのブロ
ックへ送られることは勿論である。
【0078】プリフェッチPC制御ユニット364の中
心となるものはプリフェッチ・セレクタ(PF PC
SEL)390であり、これは現プリフェッチ仮想アド
レスの中央セレクタとして動作する。この現プリフェッ
チ・アドレスはプリフェッチ・セレクタ390から出力
バス392を通ってインクリメンタ・ユニット394へ
送られて、次のプリフェッチ・アドレスを生成する。こ
の次のプリフェッチ・アドレスはインクリメンタ出力バ
ス396を通ってレジスタMBUF PFnPC39
8、TBUF PFnPC400、およびEBUF P
FnPC402の並列配列へ送られる。これらのレジス
タ398、400、402は実効的には次の命令プリフ
ェッチ・アドレスをストアしているが、本発明の好適実
施例によれば別々のプリフェッチ・アドレスがMBUF
188、TBUF190およびEBUF192に保持さ
れている。MBUF、TBUFおよびEBUF PFn
PCレジスタ398、400、402にストアされたプ
リフェッチ・アドレスはアドレス・バス404、40
8、410からプリフェッチ・セレクタ390へ渡され
る。したがって、PC制御ユニット362はプリフェッ
チ・レジスタ398、400、402の別の1つをプリ
フェッチ・セレクタが選択することを指示することだけ
でプリフェッチ命令ストリームの即時切り換えを指示す
ることができる。ストリームの中の次の命令セットをプ
リフェッチするために、そのアドレス値がインクリメン
タ394によってインクリメントされると、その値がプ
リフェッチ・アドレス398、400、402のうち該
当するレジスタへ返却される。もう1つの並列レジスタ
配列は簡略化のため単一の特殊レジスタ・ブロック41
2と示されているが、この配列はいくつかの特殊アドレ
スをストアするためのものである。レジスタ・ブロック
412はトラップ・リターン・アドレス・レジスタ、プ
ロシージャ命令リターン・アドレス・レジスタ、プロシ
ージャ命令ディスパッチ・テーブル・ベース・アドレス
・レジスタ、トラップ・ルーチン・ディスパッチ・テー
ブル・ベース・アドレス・レジスタ、および高速トラッ
プ・ルーチン・ベース・アドレス・レジスタから構成さ
れている。PC制御ユニット362の制御を受けて、こ
れらのリターン・アドレス・レジスタはバス352′を
通して現IF PC実行アドレスを受け入れることがで
きる。レジスタ・ブロック412内のリターンおよびベ
ース・アドレス・レジスタにストアされたアドレス値は
IEU104から独立して読み書きすることができる。
レジスタが選択され、値が特殊レジスタ・アドレスおよ
びデータ・バス354を経由して転送される。
【0079】特殊レジスタ・ブロック412内のセレク
タはPC制御ユニット362によって制御され、レジス
タ・ブロック412のレジスタにストアされたアドレス
を特殊レジスタ出力バス416上に送出してプリフェッ
チ・セレクタ390へ渡すことができる。リターン・ア
ドレスは直接にプリフェッチ・セレクタ390へ渡され
る。ベース・アドレス値は割り込み制御ユニット363
から割り込みオフセット・バス373経由で送られてき
たオフセット値と結合される。ソースからバス373′
経由でプリフェッチ・セレクタ390へ渡された特殊ア
ドレスは、新しいプリフェッチ命令ストリームの初期ア
ドレスとして使用され、そのあとインクリメンタ394
とプリフェッチ・レジスタ398、400、402の1
つを通るアドレスのインクリメント・ループを続行する
ことができる。
【0080】プリフェッチ・セレクタ390へ送られる
アドレスのもう1つのソースは、ターゲット・アドレス
・レジスタ・ブロック414内のレジスタ配列である。
ブロック414内のターゲット・レジスタには好適実施
例によれば8つの潜在的ブランチ・ターゲット・アドレ
スがストアされる。これらの8つの記憶ロケーションは
IFIFOユニット264の最下位の2マスタ・レジス
タ216、224に保持されている8つの潜在的に実行
可能な命令に論理的に対応している。これらの命令のど
れでもが、および潜在的にはすべてが条件付きブランチ
命令となり得るので、ターゲット・レジスタ・ブロック
414は予め計算されたターゲット・アドレスをストア
しておくので、TBUF190を通してターゲット命令
ストリームをプリフェッチするために使用するのを待た
せることかできる。特に、PC制御ユニット362がタ
ーゲット命令ストリームのプリフェッチを即時に開始す
るように条件付きブランチ・バイアスがセットされる
と、ターゲット・アドレスはターゲット・レジスタ・ブ
ロック414からアドレス・バス418を経由してプリ
フェッチ・セレクタ390へ送られる。インクリメンタ
394によってインクリメントされたあと、アドレスは
TBUF PFnPC400へ戻されてストアされ、タ
ーゲット命令ストリームをあとでプリフェッチするオペ
レーションで使用される。別のブランチ命令がターゲッ
ト命令ストリームに現れると、その2番目のブランチの
ターゲット・アドレスが計算され、最初の条件付きブラ
ンチ命令が解決されて使用されるまでの間、ターゲット
・レジスタ配列414にストアされている。
【0081】ターゲット・レジスタ・ブロック414に
ストアされた計算で求めたターゲット・アドレスは実行
PC制御ユニット366内のターゲット・アドレス計算
ユニットからアドレス・ライン382を経由して、ある
いはIEU104から絶対ターゲット・アドレス・バス
346を経由して転送される。
【0082】プリフェッチPF PCセレクタ390を
通って転送されるアドレス値は、完全な32ビット仮想
アドレス値である。ページ・サイズは本発明の好適実施
例では16Kバイトに固定されており、最大ページ・オ
フセット・アドレス値〔13:0〕に対応している。し
たがって、現プリフェッチ仮想ページ・アドレス〔2
7:14〕に変化がなければVMUページの変換は不要
である。プリフェッチ・セレクタ390内のコンパレー
タはそのことを検出する。VMU変換要求信号(VMX
LAT)は、インクリメントがページ境界をこえて行わ
れたか、制御のフローが別のページ・アドレスへブラン
チしたために、仮想ページ・アドレスが変化したとき、
ライン372′を経由してPC制御ユニット362へ送
られる。他方、PC制御ユニット362はライン324
上のCCU PADDRのほかに、VM VADDRア
ドレスをバッファ・ユニット420からライン326上
に送出し、該当の制御信号をVMU制御ライン326、
328、330上に送出して、VMU仮想ページから物
理ページへの変換を得るように指示する。ページ変換が
必要でない場合は、現物理ページ・アドレス〔31:1
4〕はバス122上のVMUユニット108の出力側の
ラッチによって保持される。
【0083】バス370上に送出された仮想アドレスは
インクリメント制御ライン374から送られてきた信号
を受けて、インクリメンタ394によってインクリメン
トされる。インクリメンタ394は、次の命令セットを
選択するために、命令セットを表す値(4命令または1
6バイト)だけインクリメントする。CCUユニット1
06へ渡されるプリフェッチ・アドレスの下位4ビット
はゼロになっている。したがって、最初のブランチ・タ
ーゲット命令セット内の実際のターゲット・アドレス命
令は最初の命令ロケーションに置かれていない場合があ
る。しかしアドレスの下位4ビットはPC制御ユニット
362へ送られるので、最初のブランチ命令のロケーシ
ョンをIFU102が判別することができる。ターゲッ
ト・アドレスの下位ビット〔3:2〕を2ビット・バッ
ファ・アドレスとして返して、位置合わせされていない
ターゲット命令セットから実行すべき正しい最初の命令
を選択するための検出と処理は、新しい命令ストリー
ム、つまり、命令ストリームの中の最初の非順次命令セ
ット・アドレスの最初のプリフェッチのときだけ行われ
る。命令セットの最初の命令のアドレスと命令セットを
プリフェッチする際に使用されるプリフェッチ・アドレ
スとの間の非位置合わせの関係は、現順次命令ストリー
ムが存続している間無視することができ、そのあとも無
視される。
【0084】図4に示した機能ブロックの残りの部分は
実行PC制御ユニット366を構成している。本発明の
好適実施例によれば、実行PC制御ユニット366は独
立に機能するプログラム・カウンタ・インクリメンタを
独自に備えている。この機能の中心となるのは実行セレ
クタ(DPC SEL)430である。実行セレクタ4
30からアドレス・バス352′上に出力されるアドレ
スはアーキテクチャ100の現在の実行アドレス(DP
C)である。この実行アドレスは加算ユニット434へ
送られる。ライン380上に送出されたインクリメント
/サイズ制御信号は1から4までの命令インクリメント
値を指定しており、この値は加算ユニット434によっ
てセレクタ430から得たアドレスに加えられる。加算
器432が出力ラッチ機能を実行するたびに、インクリ
メントされた次の実行アドレスがアドレス・ライン43
6を経て直接に実行セレクタ430に返され、次の命令
インクリメント・サイクルで使用される。
【0085】初期実行アドレスとその後の全ての新しい
ストリーム・アドレスは、アドレスライン440を経由
して新ストリーム・レジスタ・ユニット438から得ら
れる。新ストリーム・レジスタ・ユニット438はプリ
フェッチ・セレクタ390からPFPCアドレス・バス
370を経由して送られてきた新しい現プリフェッチ・
アドレスを直接にアドレス・バス440に渡すことも、
後で使用するためにストアしておくこともできる。つま
り、プリフェッチPC制御ユニット364が新しい仮想
アドレスからプリフェッチを開始することを判断した場
合は、新しいストリーム・アドレスは新ストリーム・レ
ジスタ・ユニット438によって一時的にストアされ
る。PC制御ユニット362は、プリフェッチと実行イ
ンクリメントの両サイクルに関与することによって、実
行アドレスが新命令ストリームを開始した制御フロー命
令に対応するプログラム実行箇所までに達するまで新ス
トリーム・アドレスを新ストリーム・レジスタ438に
置いておく。新ストリーム・アドレスはそのあと新スト
リーム・レジスタ・ユニット438から出力されて、実
行セレクタ430へ送られ、新命令ストリーム内の実行
アドレスを独立して生成することを開始する。
【0086】本発明の好適実施例によれば、新ストリー
ム・レジスタ・ユニット438は2つの制御フロー命令
ターゲット・アドレスをバッファリングする機能を備え
ている。新ストリーム・アドレスを即時に取り出すこと
により、殆ど待ち時間がなく実行PC制御ユニット36
6を現実行アドレス列の生成から新実行アドレス・スト
リーム列の生成に切り換えることができる。
【0087】最後にIF PCセレクタ(IF PC
SEL)442は最終的に現IF PCアドレスをアドレ
ス・バス352上に送出してIEU104へ送るための
ものである。IF PCセレクタ442への入力は実行
セレクタ430または新ストリーム・レジスタ・ユニッ
ト438から得た出力アドレスである。ほとんどの場
合、IF PCセレクタ442はPC制御ユニット36
2の指示を受けて、実行セレクタ430から出力された
実行アドレスを選択する。しかし、新命令ストリームの
実行開始のために使用される新仮想アドレスへ切り替え
る際の待ち時間をさらに短縮するために、新ストリーム
・レジスタ・ユニット438からの選択したアドレスを
バイパスして、バス440経由で直接にIF PCセレ
クタ442へ送り、現IF PC実行アドレスとして得
ることができる。
【0088】実行PC制御ユニット366は、全ての相
対ブランチ・ターゲット・アドレスを計算する機能を備
えている。現実行点アドレスと新ストリーム・レジスタ
・ユニット438から得たアドレスは、アドレス・バス
352′、440を経由して制御フロー・セレクタ(C
PC)446に渡される。その結果、PC制御ユニ
ット362は大幅な柔軟性を持ってターゲット・アドレ
ス計算の基となる正確な初期アドレスを選択することが
できる。
【0089】この初期アドレス、つまり、ベースアドレ
スはアドレス・バス454を経由してターゲット・アド
レスALU450へ送られる。ターゲットALU450
への入力となるもう1つの値は、制御フロー変位計算ユ
ニット452からバス458経由で送られてくる。相対
ブランチ命令は、アーキテクチャ100の好適実施例に
よれば新相対ターゲット・アドレスを指定した即値モー
ド定数の形態をした変位値を含んでいる。制御フロー変
位計算ユニット452はIデコード・ユニットのオペラ
ンド出力バス318から初めて得たオペランド変位値を
受け取る。最後に、オフセット・レジスタ値はライン4
56を経由してターゲット・アドレスALU450へ送
られる。オフセット・レジスタ448はPC制御ユニッ
ト362から制御ライン378′を経由してオフセット
値を受け取る。オフセット値の大きさはアドレス・ライ
ン454上を送られるベース・アドレスから相対ターゲ
ット・アドレスを計算するときの現ブランチ命令のアド
レスまでのアドレス・オフセットに基づいてPC制御ユ
ニット362によって判断される。つまり、PC制御ユ
ニット362は、IFIFO制御ロジック・ユニット2
72を制御することによって、現実行点アドレスの命令
(CP PCによって要求された)とIデコード・ユニ
ット262によって現在処理中の、従ってPCロジック
・ユニット270によって処理中の命令を分離している
命令の個数を追跡して、その命令のターゲット・アドレ
スを判断する。
【0090】相対ターゲット・アドレスがターゲット・
アドレスALU450によって計算されると、そのター
ゲット・アドレスはアドレス・バス382を通して、対
応するターゲット・レジスタ414に書き込まれる。
【0091】2)PC制御アルゴリズムの詳細 1.メイン命令ストリームの処理:MBUF PFnP
C 1.1 次のメイン・フロープリフェッチ命令のアドレ
スはMBUF PFnPCにストアされる 1.2 制御フロー命令がないときは、32ビット・イ
ンクリメンタはMBUF PFnPCに入っているアド
レス値を各プリフェッチ・サイクルごとに16バイト
(x16)だけ調整する 1.3 無条件制御フロー命令がIデコードされると、
命令セットに続いてフェッチされた全てのプリフェッチ
・データはフラッシュされ、MBUF PFnPCには
ターゲット・レジスタ・ユニット、PF PCセレクタ
およびインクリメンタを通して、新しいメイン命令スト
リーム・アドレスがロードされる。新しいアドレスは新
ストリーム・レジスタにもストアされる 1.3.1 相対無条件制御フローのターゲット・アド
レスはIFUが保持しているレジスタ・データからと制
御フロー命令の後に置かれたオペランド・データからI
FUによって計算される 1.3.2 絶対無条件制御フローのターゲット・アド
レスはレジスタ基準値、ベース・レジスタ値、及びイン
デックス・レジスタ値からIEUによって最終的に計算
される 1.3.2.1 命令プリフェッチ・サイクルは絶対ア
ドレス制御フロー命令に対してターゲット・アドレスが
IEUから返されるまで停止する。命令実行サイクルは
続行される 1.4 無条件制御フロー命令から得た次のメイン・フ
ロー・プリフェッチ命令のアドレスはバイパスされて、
ターゲット・アドレス・レジスタ・ユニット、PF_P
Cセレクタおよびインクリメンタを経由して送られ、最
終的にMBUF PFnPCにストアされ、プリフェッ
チは1.2から続けられる 2.プロシージャ命令ストリームの処理:EBUF P
FnPC 2.1 プロシージャ命令はメインまたはブランチ・タ
ーゲット命令ストリームの中でプリフェッチされる。タ
ーゲット・ストリームの中でフェッチされた場合は条件
付き制御フェッチ命令が解決され、プロシージャ命令が
MBUFへ転送されるまでプロシージャ・ストリームの
プリフェッチを停止する。これにより、プロシージャ命
令ストリームに現れた条件付き制御フローを処理する際
にTBUFを使用できる 2.1.1 プロシージャ命令はプロシージャ命令スト
リームの中においてはならない。つまり、プロシージャ
命令はネストしてはならない。プロシージャ命令からリ
ターンすると、実行は主命令ストリームに戻る。ネスト
を可能にするためには、ネストしたプロシージャ命令か
ら別の専用リターンが必要である。アーキテクチャはこ
の種の命令を容易にサポートできるが、プロシージャ命
令をネストする機能があっても、アーキテクチャの性能
が向上する見込みはない 2.1.2 メイン命令ストリームにおいては、第1及
び第2条件付き制御フロー命令を含む命令セットを含ん
でいるプロシージャ命令ストリームは第1命令セットの
中の条件付き制御フロー命令が解決し、第2条件付き制
御フロー命令セットがMBUFへ転送されるまで第2条
件付き制御フロー命令セットに対してプリフェッチを停
止する 2.2 プロシージャ命令は、命令の即値モード・オペ
ランド・フィールドとして含まれている相対オフセット
によって、プロシージャ・ルーチンの開始アドレスを示
している 2.2.1 プロシージャ命令から得られたオフセット
値はIFUに維持されているプロシージャ・ベース・ア
ドレス(PBR)レジスタに入っている値と結合され
る。このPBRレジスタは、特殊レジスタの移動命令が
実行されると、特殊アドレスおよびデータバスを通して
読み書き可能である 2.3 プロシージャ命令が現れると、次のメイン命令
ストリームIF PCアドレスはDPCリターン・アド
レス・レジスタにストアされ、プロセッサ・ステータス
・レジスタ(PSR)内のプロシージャ進行中ビット
(procedure−in−progress bi
t)がセットされる 2.4 プロシージャ・ストリームの開始アドレスは、
PBRレジスタ(プロシージャ命令オペランド・オフセ
ット値を加えて)からPF PCセレクタへ送られる 2.5 プロシージャ・ストリームの開始アドレスは、
新ストリーム・レジスタ・ユニットとインクリメンタへ
同時に送られ、(x16)だけインクリメントする。イ
ンクリメントされたアドレスはそのあとEBUFPFn
PCにストアされる 2.6 制御フロー命令がないと、32ビット・インク
リメンタは各プロシージャ命令プリフェッチ・サイクル
ごとにEBUF PFnPCに入っているアドレス値
を、(x16)だけ調整する 2.7 無条件制御フロー命令がIデコードされると、
ブランチ命令のあとにフェッチされた全てのプリフェッ
チ・データはフラッシュされ、EBUF PFnPCに
は新しいプロシージャ命令ストリーム・アドレスがロー
ドされる 2.7.1 相対無条件制御フロー命令のターゲット・
アドレスはIFUに保持されているレジスタデータから
と制御フロー命令の即値モード・オペランド・フィール
ド内に入っているオペランド・データとからIFUによ
って計算される 2.7.2 絶対無条件ブランチのターゲット・アドレ
スはレジスタ基準値、ベース・レジスタ値およびインデ
ックス・レジスタ値からIEUによって計算される 2.7.2.1 命令プリフェッチ・サイクルは絶対ア
ドレス・ブランチに対してターゲット・アドレスがIE
Uから返されるまで停止する。実行サイクルは続行され
る 2.8 次のプロシージャ・プリフェッチ命令セットの
アドレスはEBUFPFnPCにストアされプリフェッ
チは1.2から続けられる 2.9 プロシージャ命令からのリターンがIデコード
されると、プリフェッチがuPCレジスタにストアされ
ているアドレスから続けられ、そのあと(x16)だけ
インクリメントされ、あとでプリフェッチするためにM
BUF PFnPCレジスタに返される 3 ブランチ命令ストリームの処理:TBUF PFn
PC 3.1 MBUF命令ストリームの中の最初の命令セッ
トに現れた条件つき制御フロー命令がIデコードされる
と、ターゲット・アドレスはそのターゲット・アドレス
が現アドレスに対する相対アドレスならばIFUによっ
て絶対アドレスならばIEUによって判断される 3.2 「ブランチを行うバイアス」の場合: 3.2.1 ブランチが絶対アドレスに行われる場合は
ターゲット・アドレスがIEUから返されるまで命令プ
リフェッチ・サイクルを停止する。実行サイクルは続行
される 3.2.2 PF_PCセレクタとインクリメンタを経
由して転送することによってブランチ・ターゲット・ア
ドレスをTBUF PFnPCにロードする 3.2.3 ターゲット命令ストリームがプリフェッチ
されてTBUFに入れられたあとで実行するためにIF
IFOに送られる。IFIFOとTBUFが一杯になる
と、プリフェッチを停止する 3.2.4 32ビット・インクリメンタは各プリフェ
ッチ・サイクルごとにTBUF PFnPCに入ってい
るアドレス値を(x16)だけ調整する 3.2.5 ターゲット命令ストリーム内の2番目の命
令セットに現れた条件付き制御フロー命令がIデコード
されるとプリフェッチ・オペレーションを、第1の
(主)セット内の全ての条件付きブランチ命令が解決さ
れるまで停止する(しかし、先に進んで、相対ターゲッ
ト・アドレスを計算しターゲット・レジスタにストアす
る) 3.2.6 最初の命令セット内の条件付きブランチを
「行う」と解釈された場合: 3.2.6.1 ブランチのソースがプロシージャ進行
中ビットから判断されたEBUF命令セットであったと
きはMBUFまたはEBUFに入っている最初の条件付
きフロー命令セットのあとに置かれた命令セットをフラ
ッシュする 3.2.6.2 プロシージャ進行中ビットの状態に基
づいて、TBUF PFnPC値をMBUF PFnP
CまたはEBUFへ転送する 3.2.6.3 プロシージャ進行中ビットの状態に基
づいて、プリフェッチしたTBUF命令をMBUFまた
はEBUFへ転送する 3.2.6.4 2番目の条件付きブランチ命令セット
がIデコードされていなければ、プロシージャ進行中ビ
ットの状態に基づいて、MBUFまたはEBUFプリフ
ェッチ・オペレーションを続行する 3.2.6.5 2番目の条件付きブランチ命令がIデ
コードされていれば、その命令の処理を開始する(ステ
ップ3.3.1へ進む) 3.2.7 最初の条件付き命令セットの中の命令に対
する条件付き制御を「行わない」と解釈された場合: 3.2.7.1 ターゲット命令ストリームからの命令
セットと命令のIFIFOとIEUをフラッシュする 3.2.7.2 MBUFまたはEBUFプリフェッチ
・オペレーションを続行する 3.3 「ブランチが行われないバイアス」の場合: 3.3.1 命令をプリフェッチしてMBUFに入れる
ことを停止する。実行サイクルを続ける 3.3.1.1 最初の条件付き命令セットの中の条件
付き制御フロー命令が相対ならばターゲット・アドレス
を計算し、ターゲット・レジスタにストアする 3.3.1.2 最初の条件付き命令セットの中の条件
付き制御フロー命令が絶対ならば、IEUがターゲット
・アドレスを計算して、そのアドレスをターゲット・レ
ジスタに返すまで待つ 3.3.1.3 2番目の命令セットの中の条件付き制
御フロー命令のIデコードが行われると、最初の条件付
き命令セットの中の条件付き制御フロー命令が解決され
るまでプリフェッチ・オペレーションを停止する 3.3.2 最初の条件付きブランチのターゲット・ア
ドレスが計算されると、TBUF PFnPCにロード
し、メイン命令ストリームの実行と並行して命令をプリ
フェッチしてTBUFに入れることを開始する。ターゲ
ット命令セットはロードされない(したがって、ブラン
チ・ターゲット命令は最初の命令セット中の各条件付き
制御フロー命令が解決されたとき用意されている) 3.3.3 最初のセットの中の条件つき制御フロー命
令が「行われる」と解釈された場合: 3.3.3.1 ブランチのソースがEBUF命令スト
リームであるとプロシージャ進行中ビットの状態から判
断されると、MBUFまたはEBUFをフラッシュし、
最初の条件付きブランチ命令セットのあとに置かれたメ
イン・ストリームからの命令のIFIFOとIEUをフ
ラッシュする 3.3.3.2 プロシージャ進行中ビットの状態から
判断した通りに、TBUF PFnPC値をMBUF
PFnPCまたはEBUFへ転送する 3.3.3.3 プロシージャ進行中ビットの状態から
判断した通りに、プリフェッチしたTBUF命令をMB
UFまたはEBUFへ転送する 3.3.3.4 プロシージャ進行中ビットの状態から
判断した通りに、MBUFまたはEBUFプリフェッチ
・オペレーションを続行する 3.3.4 最初のセット内の条件付き制御フロー命令
が「行われない」と解析された場合: 3.3.4.1 ターゲット命令ストリームからの命令
セットのTBUFをフラッシュする 3.3.4.2 2番目の条件付きブランチ命令がIデ
コードされなかった場合は、プロシージャ進行中ビット
の状態から判断した通りに、MBUFまたはEBUFプ
リフェッチ・オペレーションを続ける 3.3.4.3 2番目の条件付きブランチ命令がIデ
コードされた場合は、その命令の処理を開始する(ステ
ップ3.4.1へ進む) 4 割り込み、例外およびトラップ命令 4.1 トラップは広義には次のものからなる 4.1.1 ハードウェア割り込み 4.1.1.1 非同期(外部)発生事象、内部または
外部 4.1.1.2 いつでも発生し、持続する 4.1.1.3 アトミック(通常)命令間で優先順に
サービスを受け、プロシージャ命令を一時中止する 4.1.1.4 割り込みハンドラの開始アドレスはト
ラップ・ハンドラ入り口点の事前定義テーブルまでのベ
クトル番号オフセットとして判断される 4.1.2 ソフトウェア・トラップ命令 4.1.2.1 非同期(外部)発生命令 4.1.2.2 例外として実行されるソフトウェア命
令 4.1.2.3 トラップ・ハンドラの開始アドレス
は、TBRまたはFTBレジスタにストアされたベース
・アドレス値と結合されたトラップ番号オフセットから
判断される 4.1.3 例外 4.1.3.1 命令と同期して発生する事象 4.1.3.2 命令の実行時に処理される 4.1.3.3 例外の結果により、期待された命令と
すべての後続実行命令はキャンセルされる 4.1.3.4 例外ハンドラの開始アドレスは、トラ
ップ・ハンドラ入り口点の事前定義テーブルまでのトラ
ップ番号オフセットから判断される 4.2 トラップ命令ストリーム・オペレーションはそ
のとき実行中の命令ストリームとインラインで実行され
る 4.3 トラップ処理ルーチンが次の割り込み可能トラ
ップの前にxPCアドレスをセーブすることを条件に、
トラップはネストが可能である。そうしないと、現トラ
ップ・オペレーションの完了前にトラップが現れると、
マシンの状態が壊れることになる 5 トラップ命令ストリームの処理:xPC 5.1 トラップが現れた時: 5.1.1 非同期割り込みが起こると、そのとき実行
中の命令は一時中断される 5.1.2 同期例外が起こると、例外を起こした命令
が実行されるとトラップが処理される 5.2 トラップが処理されたとき: 5.2.1 割り込みは禁止される 5.2.2 現在のIF PCアドレスはxPCトラッ
プ状態リターン・アドレス・レジスタにストアされる 5.2.3 IF PCアドレスとそのあとのアドレス
にあるIFIFOとMBUFプリフェッチ・バッファは
フラッシュされる 5.2.4 アドレスIF PCと、そのあとのアドレ
スの実行された命令と、その命令の結果はIEUからフ
ラッシュされる 5.2.5 MBUF PFnPCに、トラップ・ハン
ドラ・ルーチンのアドレスがロードされる 5.2.5.1 トラップのソースは特殊レジスタ群に
入っているトラップ番号によって判断されたトラップ・
タイプに応じてTBRまたはFTBレジスタをアドレス
指定している 5.2.6 命令がプリフェッチされ、通常通りに実行
するためにIFIFOに入れられる 5.2.7 トラップ・ルーチンの命令がそのあと実行
される 5.2.7.1 トラップ処理ルーチンはxPCアドレ
スを所定のロケーションにセーブする機能を備え、割り
込みを再び可能にする。xPCレジスタは特殊レジスタ
移動命令で、および特殊レジスタ・アドレスとデータ・
バスを通して読み書きされる 5.2.8 トラップ命令からのリターンを実行するこ
とによってトラップ状態から抜け出る必要がある 5.2.8.1 以前にセーブしていた時はxPCアド
レスをその事前定義ロケーションから復元してからトラ
ップ命令からのリターンを実行する必要がある 5.3 トラップ命令からのリターンが実行されたと
き: 5.3.1 割り込みが可能にされる 5.3.2 プロシージャ進行中ビットの状態から判断
したとおりに、xPCアドレスが現在の命令ストリーム
・レジスタMBUFまたはEBUF PFnPCに戻さ
れ、プリフェッチがそのアドレスから続行される 5.3.3 xPCアドレスが新ストリーム・レジスタ
を通してIFPCレジスタに復元される。
【0092】E)割り込みおよび例外の処理 1)概要 割り込みと例外は、それらが可能にされている限り、プ
ロセッサがメイン命令ストリームから実行されている
か、プロシージャ命令ストリームから実行されている
か、プロシージャ命令ストリームから実行されているか
に関係なく処理される。割り込みと例外は優先順にサー
ビスが受けられ、クリアされるまで持続している。トラ
ップ・ハンドラの開始アドレスは下述するように、トラ
ップ・ハンドラの事前定義テーブルまでのベクトル番号
オフセットとして判断される。
【0093】割り込みと例外は、本実施例では基本的に
2つのタイプがある。すなわち、命令ストリームの中の
特定の命令と同期して引き起こされるものと、命令スト
リームの中の特定の命令と非同期に引き起こされるもの
である。割り込み、例外、トラップおよびフォールト
(fault)の用語は、本明細書では相互に使い分け
て用いられている。非同期割り込みは、命令ストリーム
と同期して動作していない、オン・チップまたはオフ・
チップのハードウエアによって引き起こされる。例え
ば、オン・チップ・タイマ/カウンタによって引き起こ
される割り込みは、オフ・チップから引き起こされるハ
ードウエア割り込みやマスク不能割り込み(non−m
askable interrupt)(NMI)と同
じように、非同期である。非同期割り込みが引き起こさ
れると、プロセッサ・コンテキストが凍結され(fro
zen)、すべてのトラップが割り込み禁止され、ある
種のプロセッサ状況情報がストアされ、プロセッサは受
け取った特定の割り込みに対応する割り込みハンドラに
ベクトルを向ける。割り込みハンドラがその処理を完了
すると、プログラム実行は割り込み発生時に実行中であ
ったストリームの中の最後に完了した命令のあとに置か
れた命令から続けられる。
【0094】同期例外とは、命令ストリームの中の命令
と同期して引き起こされる例外である。これらの例外は
特定の命令に関連して引き起こされ、問題の命令が実行
されるまで保留される。好適実施例では、同期例外はプ
リフェッチ時、命令デコード時、または命令実行時に引
き起こされる。プリフェッチ例外には、例えばTLB不
一致、その他のVMU例外がある。デコード例外は、例
えばデコード中の命令が違法命令であるか、プロセッサ
の現特権レベル(privilege level)に
一致していないと引き起こされる。実行例外は、例えば
ゼロによる除算といった算術演算エラーが原因で引き起
こされる。これらの例外が起こると、好適実施例では例
外を引き起こした特定命令と例外とを対応づけ、その命
令が退避(retire)されるときまでその状態が維
持される。その時点で、以前に完了した命令がすべて退
避され、例外を引き起こした命令からの試行的結果があ
れば、試行的に実行された後続の命令の試行的結果と同
じようにフラッシュされる。そのあと、その命令で引き
起こされた最高優先度例外に対応する例外ハンドラに制
御が渡される。
【0095】ソフトウェア・トラップ命令はCF DE
T274(図2)によってIデコード・ステージで検出
され、無条件コール命令その他の同期トラップと同じよ
うに処理される。つまり、ターゲット・アドレスが計算
され、プリフェッチはそのときのプリフェッチ待ち行列
(EBUFまたはMBUF)まで続けられる。これと同
時に、その例外は命令と対応づけられて記録され、命令
が退避されるとき処理される。他のタイプの同期例外は
すべて、例外を引き起こした特定命令と対応づけられて
記録され、累積されるだけで実行時に処理される。
【0096】2)非同期割り込み:非同期割り込みは、
割り込みライン292を通してPCロジック・ユニット
270に通知される。図3に示すように、これらのライ
ンはPCロジック・ユニット270内の割り込みロジッ
ク・ユニット363に通知するためのもので、NMIラ
イン、IRQラインおよび1組の割り込みレベル・ライ
ン(LVL)からなっている。NMIラインはマスク不
能割り込みを通知し、外部ソースを起点としている。こ
れは、ハードウエア・リセットを除き最高優先度割り込
みである。IRQラインも外部ソースを起点としてお
り、外部デバイスがハードウエア割り込みをいつ要求し
たかを通知する。好適実施例では、外部から起こされる
ハードウエア割り込みは最高32個までユーザが定義す
ることができ、割り込みを要求した特定外部デバイスは
割り込みレベル・ライン(LVL)上に割り込み番号
(0−31)を送出する。メモリ・エラー・ラインはM
CU110によってアクティベート(活性化)され、様
々な種類のメモリ・エラーを通知する。その他の非同期
割り込みライン(図示せず)も割り込みロジック・ユニ
ット363に通知するために設けられている。これらに
は、タイマ/カウンタ割り込み、メモリ入出力(I/
O)エラー割り込み、マシン・チェック割り込み、およ
びパフォーマンス・モニタ割り込みを要求するためのラ
インがある。
【0097】非同期割り込みの各々は下述する同期例外
と同様に対応する事前定義トラップ番号が関連付けられ
ている。これらのトラップ番号は32個が32個のハー
ドウエア割り込みレベルと関連付けられている。これら
のトラップ番号のテーブルは割り込みロジック・ユニッ
ト363に維持されている。一般にトラップ番号が大き
くなると、トラップの優先度が高くなる。
【0098】非同期割り込みの一つが割り込みロジック
・ユニット363に通知されると、割り込み制御ユニッ
ト363は割り込み要求をINT REQ/ACKライ
ン340を経由してIEU104へ送出する。また、割
り込み制御ユニット363はプリフェッチ一時中止信号
をライン343を経由してPC制御ユニット362へ送
信し、PC制御ユニット362に命令をプリフェッチす
ることを中止させる。IEU104はそのときの実行中
の命令を全てキャンセルし、すべての試行的結果を中止
するか、一部またはすべての命令を完了させる。好適実
施例では、そのとき実行中の命令をすべてキャンセルす
ることによって、非同期割り込みに対する応答を高速化
している。いずれの場合も、実行PC制御ユニット36
6内のDPCは、IEU104が割り込みの受信を確認
する前に、最後に完了し、退避された命令に対応するよ
うに更新される。プリフェッチされて、MBUF、EB
UF、TBUFおよびIFIFO264に置かれている
他の命令もすべてキャンセルされる。
【0099】IEU104は割り込みハンドラから割り
込みを受け取る準備状態にあるときだけ、割り込み受信
確認信号をINT REQ/ACKライン340を経由
して、割り込み制御ユニット363へ送り返す。この信
号を受け取ると、割り込み制御ユニット363は、以下
で説明するように、該当するトラップ・ハンドラにディ
スパッチする。
【0100】3)同期例外 同期例外の場合は、割り込み制御ユニット363は各命
令セットごとに4個が1組の内部例外ビット(図示せ
ず)をもっており、各ビットはセット内の各命令に対応
づけられている。割り込み制御ユニット363は各命令
で見つかったとき、通知するトラップ番号も維持してい
る。
【0101】特定の命令セットがプリフェッチされてい
る途中で、VMUがTLB不一致または別のVMU例外
を通知するとこの情報はPCロジック・ユニット270
へ、特に割り込み制御ユニット363へVMU制御ライ
ン332、334を経由して送られる。割り込み制御ユ
ニット363は、この信号を受信すると、以後のプリフ
ェッチを一時中止するようにライン343を経由して、
PC制御ユニット362に通知する。これと同時に、割
り込み制御ユニット363は、命令セットが送られる先
のプリフェッチ・バッファに関連するVM Missま
たはVM Excpビットのどちらか該当する方をセッ
トする。そのあと、割り込み制御ユニット363は命令
セットの中の命令のどれも有効でないので、その命令セ
ットに対応する4個の内部例外標識ビットを全部セット
し、問題を起こした命令セットの中の4命令の各々に対
応して受信した特定例外のトラップ番号をストアする。
問題のある命令より前の命令のシフトと実行は、問題の
命令セットがIFIFO264内で最低レベルに達する
まで通常通りに続行される。
【0102】同様に、プリフェッチ・バッファ260、
Iデコート・ユニット262またはIFIFO264を
通して命令をシフトしている途中で他の同期例外が検出
されると、この情報も割り込み制御ユニット363へ送
られ、ユニット363は例外を引き起こした命令に対応
する内部例外標識ビットをセットし、その例外に対応す
るトラップ番号をストアする。プリフェッチ同期例外の
場合と同じように、問題を起こした命令より前の命令の
シフトと実行は、問題の命令セットがIFIFO264
内で最低レベルに達するまで通常通りに続行される。
【0103】好適実施例では、プリフェッチ・バッファ
260、Iデコード・ユニット262またはIFIFO
264を通して命令をシフトしている途中で検出される
例外は、ソフトウェア・トラップ命令の1タイプだけで
ある。ソフトウェア・トラップ命令は、CF_DETユ
ニット274によってIデコード・ステージで検出され
る。一部の実施例では他の形態の同期例外がIデコード
・ステージで検出されるが、他の同期例外の検出は、命
令が実行ユニット104に到着するまで待つようにする
のが好ましい。このようにすれば、特権命令を処理する
と起こるようなある種の例外が、命令が実効的に順序内
で実行される前に変化する恐れのあるプロセッサ状態に
基づいて通知されるのが防止される。違法命令のよう
に、プロセッサ状態に左右されない例外はIデコード・
ステージで検出可能であるが、すべての実行前同期例外
(VMU例外は別として)を同じロジックで検出するよ
うにすれば、最低限のハードウエアですむことになる。
また、そのような例外の処理は時間を重視することがめ
ったにないので、命令が実行ユニット104に届くまで
の待ちによる時間浪費もない。
【0104】上述したように、ソフトウェア・トラップ
命令は、CF DETユニット274によってIデコー
ド・ステージで検出される。割り込みロジック・ユニッ
ト363内のその命令に対応する内部例外標識ビットは
セットされ、0から127までの番号で、ソフトウェア
・トラップ命令の即値モード・フィールドに指定できる
ソフトウェア・トラップ番号はトラップ命令に対応付け
られてストアされる。しかし、プリフェッチ同期例外と
異なり、ソフトウェア・トラップは制御フロー命令だけ
でなく、同期例外としても扱われるので、割り込み制御
ユニット363は、ソフトウェア・トラップ命令が検出
されたときプリフェッチを一時中止するようにPC制御
ユニット362に通知しない。その代わりに、命令がI
FIFO264を通してシフトされるのと同時にIFU
102はトラップ・ハンドラをプリフェッチしてMBU
F命令ストリーム・バッファに入れる。
【0105】命令セットがIFIFO264の最低レベ
ルまで達すると、割り込みロジック・ユニット363は
その命令セットの例外標識ビットを4ビット・ベクトル
としてSYNCH INT INFOライン341経由
でIEU104へ送り、命令セットの中で同期例外の発
生源とすでに判断されていた命令があれば、どの命令で
あるかを通知する。IEU104は即時に応答しない
で、命令セットの中の全ての命令が通常の方法でスケジ
ュールされるようにする。整数算術演算例外と言った別
の例外は、実行時に引き起こされる場合がある。特権命
令が実行されたために起こる例外のように、マシンの現
在状態に左右される例外もこの時点で検出され、マシン
の状態が命令ストリーム内の以前の全ての命令に対して
最新となるようにするために、PSRに影響を与える可
能性のあるすべての命令(特殊な移動やトラップ命令か
らのリターンなど)は強制的に順序内で実行される。な
んらかの同期命令の発生源となった命令が退避される直
前にあるときだけ、例外が起こったことが割り込みロジ
ック・ユニット363に通知される。
【0106】IEU104は試行的に実行され、同期例
外を引き起こした最初の命令に先行する命令に現れた全
ての命令を退避し、試行的に実行され、命令ストリーム
にそのあとに現れた命令からの試行的結果をフラッシュ
する。例外を引き起こした特定の命令は、トラップから
戻ると再実行されるのが普通であるので、この命令もフ
ラッシュされる。そのあと、実行PC制御ユニット36
6内のIF PCは実際に退避された最後の命令に対応
するように更新され、例外が割り込み制御ユニット36
3に通知される。
【0107】例外の発生源である命令が退避されると、
IEU104は退避される命令セット(レジスタ22
4)の中に同期例外を起こした命令があれば、どの命令
であるかを示した新しい4ビット・ベクトルを命令セッ
ト内の最初の例外の発生源を示した情報と一緒に、SY
NCH INT INFOライン341を経由して割り
込みロジック・ユニット363に返却する。IEU10
4から返却される4ビット例外ベクトルに入っている情
報は、割り込みロジック・ユニット363からIEU1
04に渡された4ビット例外ベクトルとIEU104で
引き起こされた例外を累積したものである。プリフェッ
チまたはIデコード時に検出された例外が原因で割り込
み制御ユニット363にすでにストアされている情報が
あれば、その情報と一緒にIEU104から割り込み制
御ユニット363に返却される情報の残余部分は、割り
込み制御ユニット363が最高優先度同期例外の内容と
そのトラップ番号を判断するのに十分である。 4)ハンドラ・ディスパッチとリターン:割り込み受信
確認信号がライン340経由でIEUから受信される
か、あるいはゼロ以外の例外ベクトルがライン341経
由で受信された後、現DPCがリターン・アドレスとし
て特殊レジスタ412(図4)の一つであるxPCレジ
スタに一時的にストアされる。現プロセッサ状態レジス
タ(PSR)は先のPSR(PPSR)レジスタにもス
トアされ、そして現状態比較レジスタ(CSR)が特殊
レジスタ412の中の旧状態比較レジスタ(PCSR)
にセーブされる。
【0108】トラップ・ハンドラのアドレスはトラップ
・ベース・レジスタ・アドレスにオフセットを加えたも
のとして計算される。PCロジック・ユニット270は
トラップ用に2つのベースレジスタを持ち、これらは共
に特殊レジスタ412(図4)の一部であり、以前に実
行された特殊移動命令によって初期化される。大部分の
トラップでは、ハンドラのアドレスを計算するために使
用されるベース・レジスタはトラップ・ベース・レジス
タTBRである。
【0109】割り込み制御ユニット363は、現在保留
中の最高優先度割り込みまたは例外を判断し、索引(l
ook−up)テーブルを通して、それに関連付けられ
たトラップ番号を判断する。これは、選択したベース・
レジスタまでのオフセットとして、1組のINT OF
FSETライン373を経由してプリフェッチPC制御
ユニット364へ渡される。ベクトル・アドレスは、オ
フセット・ビットを下位ビットとしてTBRレジスタか
ら得た上位ビットに連結するだけで求められるという利
点がある。このため、加算器の遅延が防止される。(本
明細書では2′ビットとはi′番目のビットのことであ
る。)例えばトラップの番号が0から255までで、こ
れを8ビット値で表すと、ハンドラ・アドレスは8ビッ
ト・トラップ番号を22ビットとTBRストア値の最後
に連結すると求められる。トラップ番号に2桁の下位ビ
ットを付加すると、トラップ・ハンドラ・アドレスは常
にワード境界上に置かれることになる。このようにして
作られた連結ハンドラ・アドレスは入力373の一つと
してプリフェッチ・セレクタPF PC Sel390
(図4)へ送られ、次のアドレスとして選択され、そこ
から命令がプリフェッチされる。TBRレジスタを使用
したトラップのベクトル・ハンドラ・アドレスは全て1
ワードだけ離れている。したがって、トラップ・ハンド
ラ・アドレスにある命令は、長くなったトラップ処理ル
ーチンへの予備的ブランチ命令でなければならない。し
かし、トラップにはシステム・パフォーマンスの低下を
防止するために、その扱いに注意が必要なものがいくつ
かある。例えばTLBトラップは高速に実行させる必要
がある。そのような理由から、好適実施例では、予備的
ブランチの費用を払わないで、小型のトラップ・ハンド
ラを呼び出せるようにした高速トラップ・メカニズムが
組み込まれている。さらに、高速トラップ・ハンドラは
メモリにも、例えば、オン・チップROMにも独立に配
置させることができるので、RAMの位置(ロケーショ
ン)に関連するメモリ・システム問題がなくなる。
【0110】好適実施例では、高速トラップとなるトラ
ップは上述したVMU例外だけである。高速トラップの
番号は他のトラップと区別され、0〜7の範囲になって
いる。しかし、優先度はMMU例外と同じである。割り
込み制御ユニット363は、高速トラップがそのとき保
留中の最高優先度であると認めると、特殊レジスタ(F
TB)から高速トラップ・ベース・レジスタ(FTB)
を選択し、トラップ・オフセットと結合するためにライ
ン416上に送出する。ライン373′経由でプリフェ
ッチ・セレクタPF_PC_Sel390へ送られた結
果のベクトル・アドレスはFTBレジスタからの上位2
2ビットを連結したもので、そのあとに高速トラップ番
号を表した3ビットが続き、そのあとに7個のゼロ・ビ
ットが続いている。したがって、各高速トラップ・アド
レスは128バイト、つまり32ワードだけ離れてい
る。呼び出されると、プロセッサは開始ワードへブラン
チし、ブロックまたはそこからでたブランチ内でプログ
ラムを実行させる。
【0111】32個またはそれ以下の命令で実現できる
標準的なTLB処理ルーチンのような小さなプログラム
の実行は、実際の実行処理ルーチンへの予備的ブランチ
が回避されるので、通常のトラップよりも高速化され
る。
【0112】好適実施例では、すべての命令は同じ4バ
イト長になっているが(つまり、4つのアドレス・ロケ
ーションを占有する)、注目すべきことは命令が可変長
になったマイクロプロセッサでも高速トラップ・メカニ
ズムが利用できることである。この場合、高速トラップ
・ベクトル・アドレス間には、マイクロプロセッサで使
用できる最短長の命令を少なくとも2つ、好ましくは3
2個の平均サイズ命令を受け入れるだけの十分なスペー
スが設けられることは勿論である。勿論、マイクロプロ
セッサがトラップからのリターン命令を備えている場合
には、ベクトル・アドレス間にはハンドラ内の少なくと
も1つの他の命令をその命令におけるだけの十分なスペ
ースを設けておく必要がある。
【0113】また、トラップ・ハンドラへディスパッチ
すると、プロセッサはカーネル・モードと割り込み状態
に入る。これと並行して状態比較レジスタ(CSR)の
コピーが以前のキャリー状態レジスタ(PCSR)に置
かれ、PSRのコピーが以前のPSR(PPSR)にス
トアされる。カーネルと割り込み状態モードはプロセッ
サ状況レジスタ(PSR)内のビットで表される。現P
SRの割り込み状態ビットがセットされるとシャドウ・
レジスタまたはトラップ・レジスタRT〔24〕〜RT
〔31〕が上述および図7(b)に示すように見えるよ
うになる。割り込みハンドラは新しいモードをPSRに
書込むだけでカーネル・モードから出ることができる
が、割り込み状態から出るためには、トラップからのリ
ターン(RTT)命令を実行する方法だけが唯一の方法
である。
【0114】IEU104がRTT命令を実行すると、
PCSRは、CSRレジスタに復元され、PPSRレジ
スタはPSRレジスタに復元されるので、PSR内の割
り込み状態ビットは自動的にクリアされる。PF PC
SELセレクタ390は特殊レジスタ・セット412
の中の特殊レジスタxPCを次にそこからプリフェッチ
するアドレスとして選択する。xPCはインクリメンタ
394とバス396を通してMBUF PFnPCまた
はEBUF PFnPCのどららか該当する方に復元さ
れる。xPCをEBUF PFnPCに復元すべきか、
またはMBUFPFnPCに復元すべきかの判断は、復
元された後のPSRの「プロシージャ進行中」ビットに
したがって行われる。
【0115】注目すべきことは、プロセッサはトラップ
とプロシージャ命令の両方のリターン・アドレスをスト
アするのに同じ特殊レジスタxPCを使用しないことで
ある。トラップのリターン・アドレスは上述したように
特殊レジスタxPCにストアされるが、プロシージャ命
令のあとリターンする先のアドレスは別の特殊レジスタ
uPCにストアされる。したがって、割り込み状態は、
プロセッサが、プロシージャ命令で呼び出されたエミュ
レーション・ストリームを実行している間でも、使用可
能のままになっている。他方、例外処理ルーチンはエミ
ュレーション・ストリームが完了した後、例外ハンドラ
へ戻るためのアドレスをストアする特殊レジスタがない
ので、いずれのプロシージャ命令をも含んでいてはなら
ない。 5)ネスト:ある種のプロセッサ状況情報は、トラップ
・ハンドラ、特にCSR、PSR、リターンPCおよび
ある意味では“A”レジスタ・セットra〔24〕〜r
a〔31〕へディスパッチするとき自動的にバックアッ
プが取られるが、他のコンテキスト情報は保護されてい
ない。例えば浮動小数点状況レジスタ(FSR)の内容
は自動的にバックアップがとられない。トラップ・ハン
ドラがこれらのレジスタを変更するためには、独自のバ
ックアップを実行しなければならない。
【0116】トラップ・ハンドラへディスパッチすると
き自動的に行われるバックアップが制限されているため
に、トラップのネストは自動的に行われない。トラップ
・ハンドラは必要とするレジスタのバックアップをと
り、割り込み条件をクリアし、トラップ処理のために必
要な情報をシステム・レジスタから読取り、その情報を
適当に処理する必要がある。割り込みは、トラップ・ハ
ンドラへディスパッチすると自動的に禁止される。処理
を終えると、ハンドラはバックアップをとったレジスタ
を復元し、再び割り込みを可能にし、RTT命令を実行
して割り込みから戻ることができる。
【0117】ネストされたトラップを可能にするには、
トラップ・ハンドラを第1部分と第2部分に分割する必
要がある。第1部分では、割り込みが禁止されている間
に、特殊レジスタ移動命令を使用してxPCをコピー
し、トラップ・ハンドラが維持しているスタック上にプ
ッシュしておく必要がある。次に、特殊レジスタ移動命
令を使用して、トラップ・ハンドラの第2部分の先頭の
アドレスをxPCに移し、トラップからのリターン命令
(RTT)を実行する必要がある。RTTは割り込み状
態を取り除き(PPSRをPSRに復元することによっ
て)、制御をxPC内のアドレスに移す。xPCには、
ハンドラの第2部分のアドレスが入っている。第2部分
はこの時点で割り込みを可能にして、割り込み可能モー
ドで例外の処理を続けることができる。注目すべきこと
は、シャドウ・レジスタRT〔24〕〜RT〔31〕は
このハンドラの第1部分でのみ見ることができ、第2部
分では見えないことである。したがって、第2部分では
ハンドラは“A”レジスタ値がハンドラによって変更さ
れる可能性がある場合には、その値を予約しておく必要
がある。トラップ処理ルーチンは終わったときは、バッ
クアップにとったレジスタを全て復元し、元のxPCを
トラップ・ハンドラ・スタップからポップし、それを特
殊レジスタ移動命令を使用してxPC特殊レジスタに戻
して、別のRTTを実行する必要がある。これにより、
制御はメインまたはエミュレーション命令ストリームの
中の該当命令に返される。 6)トラップ一覧表:次の表Iは、好適実施例で認識さ
れるトラップのトラップ番号、優先度および処理モード
を示すものである。
【0118】 表I ────────────────────────────────── トラップ番号 処理モード 同期 トラップ名 0−127 通常 同期 トラップ命令 128 通常 同期 FP例外 129 通常 同期 整数算術演算例外 130 通常 同期 MMU(TLB不一致または 修正を除く) 135 通常 同期 不整列メモリアドレス 136 通常 同期 違法命令 137 通常 同期 特権命令 138 通常 同期 デバッグ例外 144 通常 非同期 性能モニタ 145 通常 非同期 タイマ/カウンタ 146 通常 非同期 メモリI/Oエラー 160ー191 通常 非同期 ハードウェア割込み 192ー253 予約 254 通常 非同期 マシン・チェック 255 通常 非同期 NMI 0 高速トラップ 同期 高速MMU TLB不一致 1 高速トラップ 同期 高速MMU TLB修正 2ー3 高速トラップ 同期 高速(予約) 4ー7 高速トラップ 同期 高速(予約) ─────────────────────────────────── III.命令実行ユニット 図5はIEU104の制御経路部分とデータ経路部分を
示したものである。主要データ経路は、IFU102か
らの命令/オペランド・データ・バスを始端としてい
る。データ・バスとして、即値オペランドはオペランド
位置合わせユニット470へ送られ、レジスタ・ファイ
ル(REG ARRAY)472に渡される。レジスタ
・データはレジスタ・ファイル472からバイパス・ユ
ニット474を通って、レジスタ・ファイル出力バス4
76を経由し、分配バス480を経由して機能計算エレ
メント(FUO-n )の並列配列へ送られる。機能ユニッ
ト478O-n によって生成されたデータは出力バス48
2を経由して、バイパス・ユニット474またはレジス
タ配列472または両方へ送り返される。
【0119】ロード/ストア・ユニット484によって
IEU104のデータ経路部分が完成される。ロード/
ストア・ユニット484はIEU104とCCU106
間のデータ転送の管理を担当する。具体的には、CCU
106のデータ用キャッシュ134から取り出したロー
ド・データはロード/ストア・ユニット484によって
ロード・データ・バス486を経由してレジスタ配列4
72へ転送される。CCU106のデータ用キャッシュ
にストアされるデータは機能ユニットの分配バス480
から受信される。
【0120】IEU104の制御経路部分はIEUデー
タ経路を通る情報の送出、管理、およびその処理を行う
ことを担当する。本発明の好適実施例では、IEU制御
経路は複数の命令の並行実行を管理する機能を備え、I
EUデータ経路はIEU104のほぼすべてのデータ経
路エレメント間の複数のデータ転送を独立して行う機能
を備えている。IEU制御経路は命令/オペランド・バ
ス124を経由して命令を受信すると、それに応じて動
作する。具体的には命令セットはEデコード・ユニット
490によって受信される。本発明の好適実施例では、
Eデコード・ユニット490はIFIFOマスタ・レジ
スタ216、224に保持されている両方の命令セット
を受信して、デコードする。8命令すべてのデコードの
結果は、キャリー・チェッカ(CRY CHKR)ユニ
ット492、依存性チェッカ(DEP CHKR)ユニ
ット494、レジスタ改名ユニット(REG RENA
ME)496、命令発行(ISSUER)ユニット49
8、および退避制御ユニット(RETIRE CLT)
500へ送られる。
【0121】キャリー・チェッカ・ユニット492はE
デコード・ユニット490から制御ライン502を経由
して、係属中の保留されている8命令に関するデコード
化情報を受信する。キャリー・チェッカ492の機能
は、保留されている命令のうち、プロセッサ状況ワード
のキャリー・ビットに影響を与える、あるいはキャリー
・ビットの状態に左右される命令を識別することであ
る。この制御情報は、制御ライン504を経由して命令
発行ユニット498へ送られる。
【0122】保留状態の8命令によって使用されている
レジスタ・ファイル472のレジスタを示しているデコ
ード化情報は、制御ライン506を経由して直接にレジ
スタ改名ユニット496へ送られる。この情報は、依存
関係チェッカ・ユニット494へも送られる。依存関係
チェッカ・ユニット494の機能は、保留状態の命令の
どれがレジスタをデータの宛先として参照しているか、
もしあればどの命令がこれらの宛先レジスタのいずれか
に依存しているかを判断することである。レジスタに依
存する命令は、制御ライン508を経由してレジスタ改
名ユニット496へ送られる制御信号によって識別され
る。
【0123】最後にEデコード・ユニット490は保留
状態の8命令の各々の特定の内容と機能を識別した制御
情報を制御ライン510を経由して命令発行ユニット4
98へ送る。命令発行ユニット498はデータ経路資
源、特に、保留状態の命令の実行のためにどの機能ユニ
ットが使用できるかを判断することを担当する。アーキ
テクチャ100の好適実施例によれば、命令発行ユニッ
ト498はデータ経路資源が使用可能であること、キャ
リーとレジスタ依存関係の制約を条件として8個の保留
状態命令のいずれかを順序外で実行できるようにする。
レジスタ改名ユニット496は、実行できるよう適当に
制約が解除された命令のビット・マップを制御ライン5
12を経由して、命令発行ユニット498へ送る。すで
に実行された(完了した)命令およびレジスタまたはキ
ャリーに依存する命令は論理的にビット・マップから除
かれる。
【0124】必要とする機能ユニット478O-n が使用
可能であるかどうかに応じて、命令発行ユニット498
は各システム・クロック・サイクルに複数の命令の実行
を開始することができる。機能ユニット478O-n の状
況は状況バス514を経由して、命令発行ユニット49
8へ送られる。命令の実行を開始し、開始後の実行管理
を行うための制御信号は命令発行ユニット498から制
御ライン516を経由してレジスタ改名ユニット496
に送られ、また選択的に機能ユニット478O- n へ送ら
れる。制御信号を受けると、レジスタ改名ユニット49
6はレジスタ選択信号をレジスタ・ファイル・アクセス
制御バス518上に送出する。バス518上に送出され
た制御信号でどのレジスタが割り込み可能にされたか
は、実行中の命令を選択することによって、およびレジ
スタ改名ユニット496がその特定命令によって参照さ
れたレジスタを判断することによって判断される。
【0125】バイパス制御ユニット(BYPASS C
TL)520は、一般的には制御ライン524上の制御
信号を通してバイパス・データ・ルーチング・ユニット
474の動作を制御する。バイパス制御ユニット520
は機能ユニット478O-n の各々の状況をモニタし、制
御ライン522を経由して、レジスタ改名ユニット49
6から送られてきたレジスタ参照に関連して、データを
レジスタ・ファイル472から機能ユニット478O-n
へ送るべきかどうか、あるいは機能ユニット478O-n
から出力されるデータをバイパス・ユニット474経由
で機能ユニット宛先バス480へ即時に送って、命令発
行ユニット498によって選択された新発行の命令の実
行のために使用できるかどうかを判断する。どちらの場
合も、命令発行ユニット498は機能ユニット478
O-n の各々への特定レジスタ・データを選択的に使用可
能にすることによって、宛先バス480から機能ユニッ
ト478O-n へデータを送ることを直接に制御する。
【0126】IEU制御経路の残りのユニットには、退
避制御ユニット500、制御フロー制御(CF CT
L)ユニット528、および完了制御(DONE CT
L)ユニット536がある。退避制御ユニット500は
順序外で実行された命令の実行を無効または確認するよ
うに動作する。ある命令が順序外で実行されると、先行
命令も全て退避されたならば、その命令は、確認または
退避されることができる。現セット中の保留状態の8命
令のどれが実行されたかの識別情報が制御ライン532
上に送出されると、その識別情報に基づいて、退避制御
ユニット500はバス518に接続された制御ライン5
34上に制御信号を送出して、レジスタ配列472にス
トアされた結果データを順序外で実行された命令の先行
実行の結果として実効的に確認する。
【0127】退避制御ユニット500は、各命令を退避
するとき、PCインクリメント/サイズ制御信号を制御
ライン344を経由してIFU102へ送る。複数の命
令を順序外で実行でき、したがって、同時に退避する準
備状態におくことができるので、退避制御ユニット50
0は同時に退避された命令数に基づいてサイズ値を判断
する。最後に、IFIFOマスタ・レジスタ224のす
べての命令が実行され、退避された場合は、退避制御ユ
ニット500はIFIFO読取り制御信号を制御ライン
342を経由してIFU102へ送ってIFIFOユニ
ット264のシフト・オペレーションを開始することに
より、Eデコード・ユニット490に追加の4命令を実
行保留命令として与える。
【0128】制御フロー制御ユニット528は各条件付
きブランチ命令の論理的ブランチ結果を検出するという
特定化された機能を備えている。制御フロー制御ユニッ
ト528は現在保留中の条件付きブランチ命令の8ビッ
ト・ベクトルIDをEデコード・ユニット490から制
御ライン510を経由して受信する。8ビット・ベクト
ル命令完了制御信号は、同じように完了制御ユニット5
40から制御ライン532を経由して受信される。この
完了制御信号によって、制御フロー制御ユニット528
は、条件付きブランチ命令が、条件付き制御フロー状況
を判断するのに十分な箇所まで完了すると、それを判別
することができる。保留中の条件付きブランチ命令の制
御フロー状況結果は、その実行時に制御フロー制御ユニ
ット528によってストアされる。条件付き制御フロー
命令の結果を判断するために必要なデータは、レジスタ
配列472内の一時状況レジスタから制御ライン530
を経由して得られる。各条件付き制御フロー命令が実行
されると、制御フロー制御ユニットは新しい制御フロー
結果信号を制御ライン348を経由してIFU102に
送る。好適実施例では、この制御フロー結果信号は2個
の8ビット・ベクトルを含んでおり、このベクトルは、
保留されている可能性のある8個の制御フロー命令のそ
れぞれのビット位置別の状況結果が分かっているかどう
か、また、ビット位置の対応づけによって得られる対応
する状況結果状態を定義している。
【0129】最後に、完了制御ユニット540は機能ユ
ニット478O-n の各々のオペレーションに関する実行
状況をモニタするためのものである。機能ユニット47
O- n のいずれかが命令実行オペレーションの完了を通
知すると、完了制御ユニット540は対応する完了制御
信号を制御ライン542上に送出して、レジスタ改名ユ
ニット496、命令発行ユニット498、退避制御ユニ
ット500およびバイパス制御ユニット520にアラー
ト(警告)する。
【0130】機能ユニット478O-n を並列配列構成に
することにより、IEU104の制御の一貫性を向上し
ている。命令を正しく認識して、実行のためのスケジュ
ールするためには、個々の機能ユニット478O-n の特
性を命令発行ユニット498に知らせる必要がある。機
能ユニット478O-n は必要とする機能を実行するため
に必要な特定制御フロー・オペレーションを判別し、実
行することを担当する。従って、命令発行ユニット49
8以外はIEU制御ユニットには、命令の制御フロー処
理を独立して知らせる必要はない。命令発行ユニット4
98と機能ユニット478O-n は共同して、残りの制御
フロー管理ユニット496、500、520、528、
540に実行させる機能を必要な制御信号のプロンプト
で知らせる。従って、機能ユニット478O-n の特定の
制御フロー・オペレーションの変更はIEU104の制
御オペレーションに影響しない。さらに、既存の機能ユ
ニット478O-n の機能を強化する場合や、拡張精度浮
動小数点乗算ユニットや拡張精度浮動小数点ALU、高
速フーリエ計算機能ユニット、三角関数計算ユニットな
どの別の機能ユニット478O-n を1つまたは2つ以上
を追加する場合でも、命令発行ユニット498を若干変
更するだけですむ。必要なる変更を行うには、Eデコー
ド・ユニット490によって隔離された対応する命令フ
ィールドに基づいて、特定の命令を認識し、その命令を
必要とする機能ユニット478O-n とを関係づける必要
がある。レジスタ・データの選択の制御、データのルー
チング、命令完了と退避は、機能ユニット478O-n
他の機能ユニットすべてに対して実行される他のすべて
の命令の処理と矛盾がないようになっている。
【0131】A)IEUデータ経路の詳細 IEUデータ経路の中心となるエレメントはレジスタ・
ファイル472である。しかし、本発明によればIEU
データ経路内には、個々の機能用に最適化された並列デ
ータ経路がいくつか用意されている。主要データ経路は
整数と浮動少数点の2つである。各並列データ経路内で
は、レジスタ・ファイル472の一部がそのデータ経路
内で行われるデータ操作をサポートするようになってい
る。
【0132】1)レジスタ・ファイルの詳細 図6(a)は、データ経路レジスタ・ファイル550の
好適アーキテクチャの概要図である。データ経路レジス
タ・ファイル550は一時バッファ552、レジスタ・
ファイル配列554、入力セレクタ559、および出力
セレクタ556を含んでいる。最終的にレジスタ配列5
54へ送られるデータは結合データ入力バス558′を
経由して一時バッファ552によって最初に受信される
のが代表例である。つまり、データ経路レジスタ・ファ
イル550へ送られるデータはすべて入力セレクタ55
9によって多重化されて、複数の入力バス558(好ま
しくは2つの)から入力バス558′上に送出される。
制御バス518上に送出されたレジスタ選択およびイネ
ーブル制御信号は一時バッファ552内の受信データの
レジスタ・ロケーションを選択する。一時バッファにス
トアされるデータを生成した命令が退避されると、再び
制御バス518上に送出された制御信号は一時バッファ
552からレジスタ・ファイル配列554内の論理的に
対応づけられたレジスタへデータ・バス560を経路し
てデータを転送することを許可する。しかし、命令が退
避される前は、一時バッファ552にストアされたデー
タは一時バッファにストアされたデータをデータ・バス
560のバイパス部分を経由して出力データ・セレクタ
556へ送ることにより、後続の命令の実行時に使用す
ることが可能である。制御バス518経由で送られる制
御信号によって制御されるセレクタ556は、一時バッ
ファ552のレジスタからのデータとレジスタ・ファイ
ル配列554のレジスタからのデータのどちらかを選択
する。結果のデータはレジスタ・ファイル出力バス56
4上に送出される。また、実行中の命令が完了と同時に
退避される場合は、つまり、その命令が順序内で実行さ
れた場合は、結果データをバイパス延長部分558″を
経由して直接にレジスタ配列554へ送るように指示す
ることができる。
【0133】本発明の好適実施例によれば、各データ経
路レジスタ・ファイル550は2つのレジスタ操作を同
時に行なえるようになっている。したがって、入力バス
558を通して2つの全レジスタ幅データ値を一時バッ
ファ552に書き込むことができる。内部的には、一時
バッファ552はマルチプレクサ配列になっているの
で、入力データを一時バッファ552内の任意の2レジ
スタへ同時に送ることができる。同様に、内部マルチプ
レクサにより一時バッファ552の任意の5レジスタを
選択して、データをバス560上に出力することができ
る。レジスタ・ファイル配列554は同じように入出力
マルチプレクサを備えているので、2つのレジスタを選
択して、それぞれのデータを同時にバス560から受信
することも、5つのレジスタを選択してバス562経由
で送ることもできる。最後に、レジスタ・ファイル出力
セレクタ556は、バス560、562から受信した1
0レジスタ・データ値のうち、任意の5つがレジスタ・
ファイル出力バス564上に同時に出力されるように実
現するのが好ましい。
【0134】一時バッファ内のレジスタ・セットは図6
(b)にその概要が示されている。レジスタ・セット5
52′は8個のシングル・ワード(32ビット)レジス
タI0RD、I1RD・・・I7RDから構成されてい
る。レジスタ・セット552′は4個のダブル・ワード
・レジスタI0RD、I0RD+1(I4RD)、I1
RD、I1RD+1(I5RD)・・・I3RD、I3
RD+1(I7RD)のセットとして使用することも可
能である。
【0135】本発明の好適実施例によれば、レジスタ・
ファイル配列554内の各レジスタを重複して設ける代
わりに、一時バッファ・レジスタ・セット552内のレ
ジスタは2個のIFIFOマスタ・レジスタ216、2
24内のそれぞれの命令の相対ロケーションに基づい
て、レジスタ改名ユニット496によって参照される。
本アーキテクチャ100で実現される各命令は、最高2
つまでのレジスタまたは1つのダブル・ワード・レジス
タを出力として参照して、命令の実行によって生成され
たデータの宛先とすることができる。代表例として、命
令は1つの出力レジスタだけを参照する。したがって、
その位置を図6(c)に示しているように、8個の保留
中命令のうち1つの出力レジスタを参照する命令2(I
2 )の場合は、データ宛先レジスタI2RDが選択され
て、命令の実行によって生成されたデータを受け入れ
る。命令I2 によって生成されたデータが後続の命令、
例えばI5 によって使用される場合は、I2RDレジス
タにストアされたデータはバス560を経由して転送さ
れ、結果のデータは一時バッファ552に送り返され
て、I5RDで示したレジスタにストアされる。特に、
命令I5 は命令I2 によって決まるので、命令I5 は、
2 からの結果データが得られるまでは実行することが
できない。しかし、理解されるように、命令I5 は必要
とする入力データを一時バッファ552′の命令I2
データ・ロケーションから得れば、命令I2の退避前に
実行することが可能である。
【0136】最後に、命令I2 が退避されると、レジス
タI2RDからのデータは、退避箇所の命令の論理位置
から判断されて、レジスタ・ファイル配列554内のレ
ジスタ・ロケーションに書かれる。すなわち、退避制御
ユニット500は、制御ライン510経由でEデコード
・ユニット490から与えられたレジスタ参照フィール
ド・データからレジスタ・ファイル配列内の宛先レジス
タのアドレスを判断する。命令IO-3 が退避されると、
I4RD−I7RDに入っている値は、IFIF0ユニ
ット264のシフトと同時にシフトされて、I0RD−
I3RDに移される。
【0137】命令I2 からダブル・ワード結果値が得ら
れる場合は、さらに複雑になる。本発明の好適実施例に
よれば、ロケーションI2RDとI6RDの組合せが、
命令I2 が退避されるか、さもなければキャンセルま
で、その命令から得た結果データをストアしておくため
に使用される。好適実施例では、命令I4-7 の実行は命
令IO-3 のいずれかによるダブル・ワード出力の参照が
レジスタ改名ユニット496によって検出された場合に
は、保留される。これにより、一時バッファ552′全
体をダブル・ワード・レジスタのシングル・ランクとし
て使用することが可能になる。命令IO-3 が退避される
と、一時バッファ552′はシングル・ワード・レジス
タの2ランクとして再び使用することができる。さら
に、いずれかの命令I4-7 の実行は、ダブル・ワード出
力レジスタが必要な場合には、命令が対応するIO-3
シフトされるまで保留される。
【0138】レジスタ・ファイル配列554の論理的変
性は図7(a)〜図7(b)に示されている。本発明の
好適実施例によれば、整数データ経路用のレジスタ・フ
ァイル配列554は40個の32ビット幅レジスタから
構成されている。このレジスタ・セットはレジスタ・セ
ット“A”を構成し、ベース・レジスタ・セットra
〔0..23〕565、汎用レジスタra〔24..3
1〕566からなるトップ・セット、および8個の汎用
トラップ・レジスタra〔24..31〕からなるシャ
ドウ・レジスタ・セットとして編成されている。通常の
オペレーションでは、汎用レジスタra〔0..31〕
565、566は整数データ経路用のレジスタ・ファイ
ル配列のアクティブ“A”レジスタ・セットを構成して
いる。
【0139】図7(b)に示すように、トラップ・レジ
スタra〔24..31〕567をスワップしてアクテ
ィブ・レジスタ・セット“A”に移しておけば、レジス
タra〔0..23〕565のアクティブ・ベース・セ
ットと一緒にアクセスすることが可能である。“A”レ
ジスタ・セットのこの構成は、割り込みの受信が確認さ
れるか、例外トラップ処理ルーチンが実行されると、選
択される。レジスタ・セット“A”のこの状態は、割り
込み許可命令の実行またはトラップからのリターン命令
の実行によって図7(a)に示す状態に明示によって戻
るまで維持される。
【0140】アーキテクチャ100によって実現された
本発明の好適実施例では、浮動小数点データ経路は図8
にその概要を示すように拡張精度レジスタ・ファイル配
列572を使用する。レジスタ・ファイル配列572
は、各々が64ビット幅の32個のレジスタrf
〔0..31〕から構成されている。浮動小数点レジス
タ・ファイル572は整数レジスタrb〔0..31〕
の“B”セットとして論理的に参照することも可能であ
る。アーキテクチャ100では、この“B”セットのレ
ジスタは浮動小数点レジスタrf〔0..31〕の各々
の下位32ビットに相当している。
【0141】第3のデータ経路を表すものとして、ブー
ル演算子レジスタ・セット574が図9に示すように設
けられている。これは、ブール演算の論理結果をストア
するこの“C”レジスタ・セット574は32個の1ビ
ット・レジスタrc〔0..31〕から構成されてい
る。ブール・レジスタ・セット574のオペレーション
は、ブール演算の結果をブール・レジスタ・セット57
4の任意の命令選択レジスタへ送ることができる点でユ
ニークである。これは、等しい、等しくない、より大、
その他単純なブール状況値などの条件を表す1ビット・
フラグをストアするシングル・プロセッサ状況ワード・
レジスタを使用するのと対照的である。
【0142】浮動小数点レジスタ・セット572とブー
ル・レジスタ・セット574は双方共、図6(b)に示
す整数一時バッファ552と同じアーキテクチャの一時
バッファによって補数がとられる。基本的違いは、一時
バッファ・レジスタの幅が補数をとるレジスタ・ファイ
ル配列572、574の幅と同じになるように定義され
ていることである。好適実施例では、幅はそれぞれ64
ビットと1ビットになっている。
【0143】多数の追加の特殊レジスタが、レジスタ配
列472に少なくとも論理的に存在している。図7
(c)に示すように、レジスタ配列472に物理的に存
在するレジスタはカーネル・スタック・ポインタ(ke
rnel stack pointer)568、プロ
セッサ状態レジスタ(PSR)569、旧プロセッサ状
態レジスタ(PPSR)570および8個の一時プロセ
ッサ状態レジスタの配列(tPSR〔0..7〕)57
1からなっている。残りの特殊レジスタはアーキテクチ
ャ100の各所に分散している。特殊アドレスおよびデ
ータ・バス354はデータを選択して、特殊レジスタお
よび“A”と“B”レジスタ・セット間で転送するため
のものである。特殊レジスタ移動命令は“A”または
“B”レジスタ・セットからレジスタを選択し、転送の
方向を選択し、特殊レジスタのアドレスIDを指定する
ためのものである。
【0144】カーネル・スタック・ポインタ・レジスタ
とプロセッサ状態レジスタは、他の特殊レジスタとは異
なっている。カーネル・スタック・ポインタはカーネル
状態にあるとき、標準のレジスタ間移動命令を実行する
ことによってアクセス可能である。一時プロセッサ状態
レジスタは直接にアクセスすることはできない。その代
わりに、このレジスタ配列はプロセッサ状態レジスタの
値を伝播して、順序外で実行される命令で使用できるよ
うにする継承メカニズム(inheritance m
echanism)を実現するために使用される。初期
伝播値はプロセッサ状態レジスタの値である。つまり、
最後に退避された命令から得た値である。この初期値は
一時プロセッサ状態レジスタから前方向に伝播され、順
序外で実行される命令が対応する位置にある一時プロセ
ッサ状態レジスタ内の値をアクセスできるようにする。
命令が依存し、変更できる条件コード・ビットは、その
命令がもつ特性によって定義される。命令が依存関係、
レジスタまたは条件コードによって制約されないこと
が、レジスタ依存関係チェッカ・ユニット494とキャ
リー依存関係チェッカ492によって判断された場合
は、命令は順序外で実行することができる。
【0145】プロセッサ状態レジスタの条件コード・ビ
ットの変更は論理的に対応する一時プロセッサ状態レジ
スタに指示される。具体的には、変更の可能性があるビ
ットだけが一時プロセッサ状態レジスタに入っている値
に適用され、上位のすべての一時プロセッサ状態レジス
タに伝播される。その結果、順序外で実行されるすべて
の命令は介在するPSR変更命令によって適切に変更さ
れたプロセッサ状態レジスタ値から実行される。命令が
退避されたときは、対応する一時プロセッサ状態レジス
タ値だけがPSRレジスタ569に転送される。その他
の特殊レジスタは表IIに説明されている。
【0146】 表II ──────────────────────────────────── 特殊レジスタ 特殊移動レジスタ R/W 説明 PC R プログラム・カウンタ:一般的にはPCは現在実 行中のプログラム命令ストリームの次のアドレス を格納している IF PC R/W IFUプログラム・カウンタ: IF PCは正確な次の実行アドレスを格納して いる PFnPC R プリフェッチ・プログラム・カウンタ:MBUF 、TBUFおよびEBUF PFnPCはそれぞ れのプリフェッチ命令ストリームの次のプリフェ ッチ命令アドレスを格納している uPC R/W マイクロ・プログラム・カウンタ:プロシージャ 命令のあとに続く命令のアドレスを格納している 。これはプロシージャ命令がリターンしたとき最 初に実行される命令のアドレスである xPC R/W 割り込み/例外プログラム・カウンタ:割り込み または例外(または両方)のリターン・アドレス を格納している。リターン・アドレスはトラップ 発生時のIF PCアドレスである TBR W トラップ・ベース・アドレス:トラップ処理ルー チンへディスパッチするとき使用されるベクトル ・テーブルのベース・アドレス。各エントリは1 ワード長である。割り込みロジック・ユニット3 63から与えられるトラップ番号は、このアドレ スが指しているテーブルまでのインデックスとし て使用される FTB W 高速トラップ・ベース・レジスタ:即時トラップ 処理ルーチン・テーブルのベース・レジスタ。各 テーブル・エントリは32ワードであり、トラッ プ処理ルーチンを直接に実行するために使用され る。割り込みロジック・ユニット363から与え られるトラップ番号を32倍したものは、このア ドレスが指しているテーブルまでのオフセットと して使用される PBR W プロシージャ・ベース・レジスタ:プロシージャ ・ルーチンへディスパッチするとき使用されるベ クトル・テーブルのベース・アドレス。各エント リは1ワード長であり、4ワード境界に位置合わ せされている。プロシージャ命令フィールドとし て与えられるプロシージャ番号はこのアドレスが 指しているテーブルまでのインデックスとして使 用される PSR R/W プロセッサ状態レジスタ:プロセッサ状況ワード を格納している。状況データ・ビットは、キャリ ー、オーバーフロー、ゼロ、負、プロセッサ・モ ード、現割り込みレベル、実行中のプロシージャ ・ルーチン、0による除算、オーバフロー例外、 ハードウェア機能割り込み可能、プロシージャ割 り込み可能、割り込み可能などのビットがある。 PPSR R/W 旧プロセッサ状態レジスタ:命令が正しく完了す るか、割り込みまたはトラップが引き起こされる と、PSRからロードされる CSR R/W 状態比較(ブール)レジスタ:シングル・ワード としてアクセス可能なブール・レジスタ・セット PCSR R/W 旧状態比較レジスタ:命令が正しく完了するか、 割り込みまたはトラップが引き起こされると、C SRからロードされる。
【0147】2)整数データ経路の詳細 本発明の好適実施例に従って構築されるIEU104の
整数データ経路は、図10に示されている。説明の便宜
上、整数データ経路580と結ばれる多数の制御経路
は、図には示していない。これらの接続関係は図5を参
照して説明したとおりである。
【0148】データ経路580の入力データは、位置合
わせユニット582、584および整数ロード/ストア
・ユニット586から得られる。整数即値(integ
erimmediate)データ値は、最初は命令埋め
込み(embedded)データ・フィールドとして与
えられ、バス588経由でオペランド・ユニット470
から得られる。位置合わせユニット582は整数データ
値を隔離し、その結果値が出力バス590を経由してマ
ルチプレクサ592へ送られる。マルチプレクサ592
への別の入力は、特殊レジスタ・アドレスとデータ・バ
ス354である。
【0149】命令ストリームから得られる即値(imm
ediate)オペランドも、データ・バス594経由
でオペランド・ユニット470から得られる。これらの
値は、出力バス596上に送出される前に、位置合わせ
ユニット584によって再度右寄せされる。
【0150】整数ロード/ストア・ユニット586は外
部データ・バス598を通してCCU106と双方向で
やりとりする。IEU104へのインバウンド・データ
は整数ロード/ストア・ユニット586から入力データ
・バス600を経由して入力ラッチ602へ転送され
る。マルチプレクサ592とラッチ602からの出力デ
ータは、マルチプレクサ608のマルチプレクサ入力バ
ス604、606上に送出される。機能ユニット出力バ
ス482′からのデータもマルチプレクサ608に送ら
れる。このマルチプレクサ608はアーキテクチャ10
0の好適実施例では、データを同時に出力マルチプレク
サ・パス610へ送る2つの通路を備えている。さら
に、マルチプレクサ608を通るデータ転送は、システ
ム・クロックの各半サイクル以内に完了することができ
る。本アーキテクチャ100で実現される大部分の命令
は、1つの宛先レジスタを利用するので、最大4つまで
の命令によって各システム・クロック・サイクルの間デ
ータを一時バッファ612へ送ることができる。
【0151】一時バッファ612からのデータは一時レ
ジスタ出力バス616を経由して整数レジスタ・ファイ
ル配列614へ、あるいは代替一時バッファ・レジスタ
・バス618を経由して出力マルチプレクサ620へ転
送することができる。整数レジスタ配列出力バス622
は整数レジスタ・データをマルチプレクサ620へ転送
することができる。一時バッファ612と整数レジスタ
・ファイル配列614に接続された出力バスは、それぞ
れ5個のレジスタ値を同時に出力することを可能にす
る。つまり、合計5個までのソース・レジスタを参照す
る2つの命令を同時に出すことができる。一時バッファ
612、レジスタ・ファイル配列614およびマルチプ
レクサ620はアウトバウンド・レジスタ・データの転
送を半システム・クロック・サイクルごとに行うことを
可能にする。したがって、最高4個までの整数および浮
動小数点命令を各クロック・サイクルの間に出すことが
できる。
【0152】マルチプレクサ620はアウトバウンド・
レジスタ・データ値をレジスタ・ファイル配列614か
らあるいは一時バッファ612から直接に選択する働き
をする。これにより、以前に順序外で実行された命令に
依存する順序外実行命令をIEU104によって実行さ
せることができる。これにより、保留状態の命令を順序
外で実行することによってIEU整数データ経路の実行
スループット能力を最大化すると共に、順序外のデータ
結果を、実行され退避された命令から得たデータ結果か
ら正確に分離するという2目標を容易に達成することが
できる。マシンの正確な状態を復元する必要のあるよう
な割り込みや他の例外条件が起こると、本発明によれば
一時バッファ612に存在するデータ値を簡単にクリア
することができる。したがって、レジスタ・ファイル配
列614は、割り込みまたは他の例外条件が発生する以
前に完了し、退避された命令の実行によってのみ得られ
たデータ値を正確に収めたままになっている。
【0153】マルチプレクサ620の各半システム・サ
イクル・オペレーション時に選択されたレジスタ・デー
タ値は最高5つまでがマルチプレクサ出力バス624を
経由して整数バイパス・ユニット626へ送られる。こ
のバイパス・ユニット626は基本的にマルチプレクサ
が並列の配列からなり、その入力のいずれかに現れたデ
ータをその出力のいずれかへ送ることができる。バイパ
ス・ユニット626の入力は、マルチプレクサ592か
ら出力バス604を経由する特殊レジスタ・アドレス指
定データ値または即値の整数値、バス624上に送出さ
れる最高5つまでのレジスタ・データ値、整数ロード/
ストア・ユニット586からダブル整数バス600を経
由するロード・オペランド・データ、その出力バス59
6を経由して位置合わせユニット584から得た即値オ
ペランド値、最後に機能ユニット出力バス482からの
バイパス・データ経路からなっている。このバイパス経
由とデータ・バス482はシステム・クロック・サイク
ルごとに4個のレジスタ値を同時に転送することができ
る。
【0154】データはバイパス・ユニット626から浮
動小数点データ・バスに接続された整数バイパス・バス
628上に出力されて、最高5つまでのレジスタ・デー
タ値を同時に転送する機能をもつ2つのオペランド・デ
ータ・バスと、整数ロード/ストア・ユニット586へ
データを送るために使用されるストア・データ・バス6
32へ送られる。
【0155】機能ユニット分配バス480はルータ・ユ
ニット634のオペレーションを通して実現されてい
る。また、ルータ・ユニット634はその入力から受信
された5個のレジスタ値を整数データ通路に設けられた
機能ユニットへ送ることを可能にする並列のマルチプレ
クサ配列によって実現される。具体的には、ルータ・ユ
ニット634はバイパス・ユニット626からバス63
0を経由して送られてきた5個のレジスタ・データ値、
アドレス・バス352を経由して送られてきた現IF
PCアドレス値、PC制御ユニット362によって判断
され、ライン378′上に送出された制御フロー・オフ
セット値を受信する。ルータ・ユニット634は、浮動
小数点データ経路内に設けられたバイパス・ユニットか
ら取り出されたオペランド・データ値をデータバス63
6を経由して受信することもできる(オプション)。
【0156】ルータ・ユニット634によって受信され
たレジスタ・データ値は、特殊レジスタ・アドレスおよ
びデータ・バス354上を転送されて、機能ユニット6
40、642、644へ送られる。具体的には、ルータ
・ユニット634は最高3つまでのレジスタ・オペラン
ド値をルータ出力バス646、648、650を経由し
て機能ユニット640、642、644の各々へ送る機
能を備えている。本アーキテクチャ100の一般的アー
キテクチャによれば、最高2つまでの命令を同時に機能
ユニット640、642、644に対して出すことが可
能である。本発明の好適実施例によれば、3つの専用整
数機能ユニットに、それぞれプログラマブル・シフト機
能と2つの算術演算ロジック・ユニット機能を持たせる
ことができる。
【0157】ALU0機能ユニット644、ALU1機
能ユニット642及びシフタ機能ユニット640はそれ
ぞれの出力レジスタ・データを機能ユニット・バス48
2′上に送出する。ALU0とシフタ機能ユニット64
4、640から得た出力データも浮動小数点データ経路
に接続された共用整数機能ユニット・バス650上に送
出される。類似の浮動小数点機能ユニット出力値データ
・バス652が、浮動少数点データ経路から機能ユニッ
ト出力バス482′へ設けられている。
【0158】ALU0機能ユニット644はIFU10
2のプリフェッチ操作と整数ロード/ストア・ユニット
586のデータ操作の両方をサポートするために仮想ア
ドレス値を生成する場合にも使用される。ALU0機能
ユニット644によって計算された仮想アドレス値はI
FU102のターゲット・アドレス・バス346とCC
U106の両方に接続された出力バス654上に送出さ
れ、実行ユニットの物理アドレス(EX PADDR)
が得られる。ラッチ656は、ALU0機能ユニット6
44によって生成されたアドレスの仮想化部分をストア
するためのものである。アドレスのこの仮想化部分は出
力バス658上に送出されて、VMU108へ送られ
る。 3)浮動小数点データ経路の詳細 次に、図11は浮動小数点データ経路を示したものであ
る。初期データは、この場合も、即値整数オペランド・
バス588、即値オペランド・バス594および特殊レ
ジスタ・アドレス・データ・バス354を含む複数のソ
ースから受信される。外部データの最終的ソースは外部
データバス598を通してCCU106に接続された浮
動小数点ロード/ストア・ユニット622である。
【0159】即値整数オペランドは、位置合わせ出力デ
ータ・バス668を経由してマルチプレクサ666に渡
す前に整数データ・フィールドを右寄せする働きをする
位置合わせユニット664によって受信される。マルチ
プレクサ666は特殊レジスタ・アドレス・データ・バ
ス354も受信する。即値オペランドは第2の位置合わ
せユニット670へ送られ、右寄せされてから出力バス
672上に送出される。浮動少数点ロード/ストア・ユ
ニット662からのインバウンド・データ(inbou
nd data)は、ロード・データ・バス676から
ラッチ674によって受信される。マルチプレクサ66
6、ラッチ674および機能ユニット・データ・リター
ン・バス482″からのデータはマルチプレクサ678
の入力から受信される。マルチプレクサ678は選択可
能なデータ経路を備え、2つのレジスタ・データ値がシ
ステム・クロックの半サイクルごとに、マルチプレクサ
出力バス682を経由して一時バッファ680に書き込
まれることを可能にする。一時バッファ680は図6
(b)に示す一時バッファ552′と論理的に同じレジ
スタ・セットを備えている。一時バッファ680はさら
に最高5個までのレジスタ・データ値を一時バッファ6
80から読み取って、データ・バス686を経由して浮
動小数点レジスタ・ファイル配列684と、出力データ
・バス690を経由して出力マルチプレクサ688へ送
ることができる。マルチプレクサ688は、データ・バ
ス692を経由して、浮動小数点ファイル配列684か
ら最高5個までのレジスタ・データ値も同時に受信す
る。マルチプレクサ688は最高5個までのレジスタ・
データ値を選択して、データ・バス696を経由してバ
イパス・ユニット694へ同時に転送する働きをする。
バイパス・ユニット694は、データ・バス672、マ
ルチプレクサ666からの出力データ・バス698、ロ
ード・データ・バス676および機能ユニット・データ
・リターン・バス482″のバイパス延長部分を経由し
て、位置合わせユニット670から与えられた即値オペ
ランド値も受信する。バイパス・ユニット694は最高
5個までのレジスタ・オペランドデータ値を同時に選択
して、バイパス・ユニット・出力バス700、浮動小数
点ロード/ストア・ユニット662に接続されたストア
・データ・バス702、および整数データ経路580の
ルータ・ユニット634に接続された浮動小数点バイパ
ス・バス636上に出力するように働く。
【0160】浮動小数点ルータ・ユニット704は、バ
イパス・ユニット出力バス700と整数データ経路バイ
パス・バス628とそれぞれの機能ユニット712、7
14、716に接続された機能ユニット入力バス70
6、708、710との間で同時にデータ経路を選択で
きる機能を備えている。
【0161】アーキテクチャ100の好適実施例による
入力バス706、708、710の各々は最高3個まで
のレジスタ・オペランド・データ値を機能ユニット71
2、714、716の各々へ同時に転送することが可能
である。これらの機能ユニット712、714、716
の出力バスは機能ユニット・データ・リターン・バス4
82″に結合され、データをレジスタ・ファイル入力マ
ルチプレクサ678へ戻すようになっている。整数デー
タ経路機能ユニット出力バス650を機能ユニット・デ
ータ・リターン・バス482″に接続するために設ける
ことも可能である。本発明のアーキテクチャ100によ
れば、マルチプレクサ機能ユニット712と浮動小数点
ALU714の機能ユニット出力バスを浮動少数点デー
タ経路機能ユニット・バス652を経由して整数データ
経路500の機能ユニット・データ・リターン・バス4
82′に接続することが可能である。
【0162】4)ブール・レジスタ・データ経路の詳細 ブール演算データ経路720は図12に示されている。
このデータ経路720は基本的に2種類の命令の実行を
サポートするために利用される。最初のタイプはオペラ
ンド比較命令であり、この命令では、整数レジスタ・セ
ットと浮動小数点レジスタ・セットから選択された、あ
るいは即値オペランドとして与えられた2つのオペラン
ドが、ALU機能ユニットの1つで整数と浮動少数点デ
ータ経路を減算することによって比較される。この比較
は、ALU機能ユニット642、644、714、71
6のいずれかによる減算によって行われ、その結果の符
号とゼロ状況ビットは入力セレクタと比較演算子結合ユ
ニット722へ送られる。このユニット722は、制御
信号を指定した命令をEデコード・ユニット490から
受け取るとALU機能ユニット642、644、71
4、716の出力を選択し、符号およびゼロ・ビットを
結合し、ブール比較結果値を抽出する。出力バス723
を通して比較演算の結果を入力マルチプレクサ726と
バイパス・ユニット742へ同時に転送することができ
る。整数および浮動小数点データ経路と同じように、バ
イパスユニット742は並列のマルチプレクサ配列とし
て実現され、バイパス・ユニット742の入力間で複数
のデータ経路を選択して、複数の出力と結ぶことができ
る。バイパス・ユニット742の他の入力はブール演算
結果リターン・データ・バス724とデータ・バス74
4上の2つのブール・オペランドからなっている。バイ
パス・ユニット742は最高2つまでの同時に実行中の
ブール命令を表したブール・オペランドを、オペランド
・バス748を経由してブール演算機能ユニット746
へ転送することができる。また、バイパス・ユニット7
46は最高2個までのシングル・ビット・ブール・オペ
ランド・ビット(CF0、CF1)を制御フロー結果制
御ライン750、752を経由して同時に転送すること
ができる。
【0163】ブール演算データ経路の残り部分は、比較
結果バス723とブール結果バス724上に送出された
比較およびブール演算結果値を、その入力として受信す
る入力マルチプレクサ726を含んでいる。このバス7
24は最高2個までのブール結果ビットを同時にマルチ
プレクサ726へ転送することができる。さらに、最高
2個までの比較結果ビットをバス723を経由してマル
チプレクサ726へ転送することができる。マルチプレ
クサ726はマルチプレクサの入力端に現れた任意の2
個の信号ビットをマルチプレクサの出力端を経由して、
システム・クロックの各半サイクル時にブール演算一時
バッファ728へ転送することができる。一時バッファ
728は2つの重要な点が異なることを除けば、図6
(b)に示した一時バッファ752′と論理的に同じで
ある。第1の相違点は、一時バッファ728内の各レジ
スタ・エントリがシングル・ビットからなることであ
る。第2の相違点は8個の保留中命令スロットの各々に
一つのレジスタだけが設けられていることである。これ
は、ブール演算の結果全部が定義によって1つの結果ビ
ットによって定義されるためである。
【0164】一時バッファ728は最高4個までの出力
オペランド値を同時に出力する。これにより、各々2つ
のソース・レジスタへのアクセスを必要とする2個のブ
ール命令を同時に実行させることができる。4個のブー
ル・レジスタ値はシステム・クロックの各半サイクルご
とにオペランド・バス736上に送出し、マルチプレク
サ738へあるいはブール・オペランド・データバス7
34を経由してブール・レジスタ・ファイル配列732
へ転送することができる。ブール・レジスタ・ファイル
配列732は、図9に論理的に示すように、1個の32
ビット幅データ・レジスタであり、任意に組合せた最高
4個までのシングル・ビット・ロケーションを一時バッ
ファ728からのデータで修正し、システム・クロック
の各半サイクルごとにブール・レジスタ・ファイル配列
732から読み取って出力バス740上に送出すること
ができる。マルチプレクサ738はバス736、740
経由でその出力端から受信したブール・オペランドの任
意のペアをオペランド出力バス744上に送出してバイ
パス・ユニット742へ転送する。
【0165】ブール演算機能ユニット746は2個のソ
ース値についてブール演算を幅広く実行する機能を備え
ている。比較命令の場合には、ソース値は整数および浮
動少数点レジスタ・セットのいずれかから得たペアのオ
ペランドとIEU104へ送られる任意の即値オペラン
ドであり、ブール命令の場合は、ブール・レジスタ・オ
ペランドの任意の2つである。表IIIと表IVは、本
発明のアーキテクチャ100の好適実施例における論理
比較演算を示すものである。表Vは本発明のアーキテク
チャ100の好適実施例における直接ブール演算を示す
ものである。表III−Vに示されている命令条件コー
ドと機能コードは対応する命令のセグメントを表してい
る。また、命令はペアのソース・オペランド・レジスタ
と対応するブール演算結果をストアするための宛先ブー
ル・レジスタを指定する。
【0166】 表III ───────────────────────────── 整数の比較 命令 条件 * 記号 条件コード rs1はrs2より大 > 0000 rs1はrs2より大か等しい >= 0001 rs1はrs2より小 < 0010 rs1はrs2より小か等しい <= 0011 rs1はrs2と等しくない ≠ 0100 rs1はrs2と等しい == 0101 予備 0110 無条件 1111 ───────────────────────────── *rs=レジスタ・ソース 表IV ───────────────────────────── 浮動小数点の比較 命令 条件 記号 条件コード rs1はrs2より大 > 0000 rs1はrs2より大か等しい >= 0001 rs1はrs2より小 < 0010 rs1はrs2より小か等しい <= 0011 rs1はrs2と等しくない ≠ 0100 rs1はrs2と等しい == 0101 無順序 ? 1000 無順序またはrs1はrs2より大 ?> 1001 無順序、rs1はrs2より大か等しい ?>= 1010 無順序またはrs1はrs2より小 ?< 1011 無順序、rs1はrs2より小か等しい ?<= 1100 無順序またはrs1はrs2と等しい ?= 1101 予備 1110−1111 ─────────────────────────────── 表V ──────────────────────────── ブール演算 命令 演算* 記号 機能コード 0 Zero 0000 bs1&bs2 AND 0001 bs1&−bs2 ANN2 0010 bs1 bs1 0011 −bs1&bs2 ANN1 0100 bs2 bs2 0101 bs1- bs2 XOR 0110 bs1 bs2 OR 0111 −bs1&−bs2 NOR 1000 −bs1- bs2 XNOR 1001 −bs2 NOT2 1010 bs1 −bs2 ORN2 1011 −bs1 NOT1 1100 −bs1 bs2 ORN1 1101 −bs1 −bs2 NAND 1110 1 ONE 1111 ──────────────────────────── *bs=ブール・ソース・レジスタ。
【0167】B)ロード/ストア制御ユニット 図13はロード/ストア・ユニット760の例を示した
ものである。データ経路580、660に別々に示され
ているが、ロード/ストア・ユニット586、662は
一つの共用ロード/ストア・ユニット760として実現
するのが好ましい。それぞれのデータ経路580、66
0からのインタフェースはアドレス・バス762および
ロードとストア・データ・バス764(600、67
6)、766(632、702)を経由している。
【0168】ロード/ストア・ユニット760によって
使用されるアドレスは、IFU102およびIEU10
4の残り部分で使用される仮想アドレスとは対照的に、
物理アドレスである。IFU102は仮想アドレスで動
作し、CCU106とVMU108間の調整に依存して
物理アドレスを生成するのに対し、IEU104ではロ
ード/ストア・ユニット760を物理アドレス・モード
で直接に動作させる必要がある。この要件が必要になる
のは、順序外で実行されるために、物理アドレス・デー
タとストア・オペレーションがオーバラップするような
命令が存在する場合、およびCCU106からロード/
ストア・ユニット760への順序外のデータ・リターン
が存在する場合に、データ保全性を保つためである。デ
ータ保全性を保つためにロード/ストア・ユニット76
0はストア命令がIEU104によって退避されるま
で、ストア命令から得たデータをバッファに置いてお
く。その結果、ロード/ストア・ユニット760によっ
てバッファに置かれたストア・データはロード/ストア
・ユニット760に一つだけ存在することができる。実
行されたが退避されていないストア命令と同じ物理アド
レスを参照するロード命令は、ストア命令が実際に退避
されるまで実行が遅延される。その時点で、ストア・デ
ータをロード/ストア・ユニット760からCCU10
6へ転送し、CCUデータ・ロード・オペレーションの
実行によって即時にロード・バックすることができる。
【0169】具体的には、物理アドレス全体がVMU1
08からロード/ストア・アドレス・バス762上に送
出される。ロード・アドレスは一般的にはロード・アド
レス・レジスタ768O-3 にストアされる。ストア・ア
ドレスはストア・アドレス・レジスタ7703-0 にラッ
チされる。ロード/ストア制御ユニット774は命令発
行ユニット498から受信した制御信号を受けて動作
し、ロード・アドレスとストア・アドレスをレジスタ7
683-0 、7703-0 にラッチすることを調整する。ロ
ード/ストア制御ユニット774は、ロード・アドレス
をラッチするための制御信号を制御ライン778上に送
出し、ストア・アドレスをラッチするための制御信号を
制御ライン780上に送出する。ストア・データはスト
ア・データ・レジスタ・セット7823-0 の論理的に対
応するスロットにストア・アドレスをラッチするのと同
時にラッチされる。4x4x32ビット幅アドレス比較
ユニット772には、ロードおよびストア・アドレス・
レジスタ7683-0 、770 3-0 に入っているアドレス
の各々が同時に入力される。システム・クロックの各半
サイクル時の完全マトリックス・アドレス比較の実行
は、制御ライン776を介してロード/ストア制御ユニ
ット774によって制御される。ストア・アドレスに一
致するロード・アドレスの存在と論理ロケーションは、
制御ライン776を経由してロード/ストア制御ユニッ
ト774へ送られる。
【0170】ロード・アドレスがVMU108から与え
られ、保留中のストアがない場合は、ロード・アドレス
は、CCUロード・オペレーションの開始と同時に、バ
ス762から直接にアドレス・セレクタ786へバイパ
スされる。しかし、ストア・データが保留されている場
合は、ロード・アドレスは使用可能なロード・アドレス
・ラッチ768O-3 にラッチされる。対応するストア・
データ命令が退避されると制御信号を退避制御ユニット
500から受信すると、ロード/ストア制御ユニット7
74はCCUデータ転送操作を開始し、制御ライン78
4を通してCCU106へのアクセスの仲裁を行う。C
CU106がレディ(ready)を通知すると、ロー
ド/ストア制御ユニット774はCCU物理アドレスを
CCUPADDRアドレス・バス788上に送出するよ
うにセレクタ786に指示する。このアドレスはアドレ
ス・バス790を経由して対応するストア・レジスタ7
703-0 から得られる。対応するストア・データ・レジ
スタ7823-0 からのデータはCCUデータ・バス79
2上に送出される。
【0171】ロード命令が命令発行ユニット498から
出されると、ロード/ストア制御ユニット774はロー
ド・アドレス・ラッチ7683-0 の一つが要求されたロ
ード・アドレスをラッチすることを許可する。選択され
た特定のラッチ768O-3 は関係命令セット内のロード
命令の位置に論理的に対応している。命令発行ユニット
498は保留中の可能性のある2命令セットのどららか
の中のロード命令を示している5ビット・ベクトルをロ
ード/ストア制御ユニット774へ渡す。コンパレータ
772が一致するストア・アドレスを示していない場合
は、ロード・アドレスはアドレス・バス794を経由し
てセレクタ786へ送られ、CCU PADDRアドレ
ス・バス788上に出力される。アドレスの提供は、ロ
ード/ストア制御ユニット774とCCU106間でや
りとりされるCCU要求とレディ制御信号に従って行わ
れる。実行ID値(ExID値)もロード/ストア制御
ユニット774によって準備されてCCU106に対し
て出され、CCU106がExID値を含む要求データ
をそのあとで返却するときロード要求を識別する。この
ID値は4ビット・ベクトルからなり、現ロード要求を
出したそれぞれのロード・アドレス・ラッチ768O-3
を固有ビットで指定している。5番目のビットはロード
命令を収めている命令セットを識別するために使用され
る。このID値は、したがって命令発行ユニット498
からロード要求と一緒に送られるビット・ベクトルと同
じである。
【0172】先行する要求ロード・データが使用可能で
あることがCCU106からロード/ストア制御ユニッ
ト774へ通知されると、ロード/ストア制御ユニット
774は位置合わせユニットがデータを受信し、それを
ロード・データ・バス764上に送出することを許可す
る。位置合わせユニット798はロード・データを右寄
せする働きをする。
【0173】CCU106からデータが返却されると同
時に、ロード/ストア制御ユニット774は、CCU1
06からExID値を受信する。他方、ロード/ストア
制御ユニット774はロード・データがロード・データ
・バス764上に送出されることを知らせる制御信号を
命令発行ユニット498へ送り、さらに、どのロード命
令に対してロード・データが返却されるのかを示したビ
ット・ベクトルを返却する。
【0174】C)IEU制御経路の詳細 再び、図5を参照して、IEU制御経路のオペレーショ
ンを図14に示したタイミング図と関連づけて説明す
る。図14に示した命令の実行タイミングは、本発明の
オペレーションを例示したもので、種々態様に変更可能
であることは勿論である。
【0175】図14のタイミング図は、プロセッサ・シ
ステム・クロック・サイクルPO-6のシーケンスを示し
ている。各プロセッサ・サイクルは内部TサイクルTO
から始まる。本発明の好適実施例によるアーキテクチャ
100では、各プロセッサ・サイクルは2つのTサイク
ルからなっている。
【0176】プロセッサ・サイクル0のときは、IFU
102とVMU108は物理アドレスを生成するように
動作する。この物理アドレスはCCU106へ送られ、
命令用キャッシュ・アクセス・オペレーションが開始さ
れる。要求された命令セットが命令用キャッシュ132
にあると、命令セットはプロセッサ・サイクル1のほぼ
中間でIFU102へ戻される。そのあと、IFU10
2はプリフェッチ・ユニット260とIFIFO264
を経由する命令セットの転送を管理し、転送された命令
セットはまずIEU104へ実行のために渡される。
【0177】1)Eデコード・ユニットの詳細 Eデコード・ユニット490は全命令セットを並列に受
け取って、プロセッサ・サイクル1が完了する前にデコ
ードする。Eデコード・ユニット490は好適アーキテ
クチャ100では、バス124を経由して受け取ったす
べての有効命令を並列に直接デコードする機能を備えた
順列組合せ理論に基づくロジック・ブロックとして実現
されている。アーキテクチャ100によって認識される
命令は、各タイプ別に、命令、レジスタ要件および必要
な資源の仕様とともに表VIに示されている。
【0178】 表VI ──────────────────────────────────── 命令/仕様 命令 制御とオペランド情報* レジスタ間移動 論理/算術演算機能コード: 加算、減算、乗算、シフトその他の指定 宛先レジスタ PSRのみセット ソース・レジスタ1 ソース・レジスタ2または即値定数値 レジスタセットA/B選択 即値からレジスタへ 宛先レジスタ 移動 即値整数または浮動小数点定数値 レジスタ・セットA/B選択 ロード/ストア・レジスタ オペレーション機能コード: ロードまたはストアの指定、即値、ベースと 即値、またはベースとオフセットの使用 ソース/宛先レジスタ ベース・レジスタ インデックス・レジスタまたは即値定数値 レジスタ・セットA/B選択 即値コール 符号付き即値変位 制御フロー オペレーション機能コード: ブランチ・タイプとトリガ条件の指定 ベース・レジスタ インデックス・レジスタ、即値定数変位値、また はトラップ番号 レジスタ・セットA/B選択 特殊レジスタ移動 オペレーション機能コード: 特殊/整数レジスタとの間の移動の指定 特殊レジスタ・アドレス識別子ソース/宛先レジ スタ レジスタ・セットA/B選択 整数変換移動 オペレーション機能コード: 浮動小数点から整数への変換タイプの指定 ソース/宛先レジスタ レジスタ・セットA/B選択 ブール関数 ブール関数コード:AND、ORなどの指定 宛先ブール・レジスタ ソース・レジスタ1 ソース・レジスタ2 レジスタ・セットA/B選択 拡張プロシージャ プロシージャ指定子:プロシージャ・ベース値か らのアドレス・オフセットの指定 オペレーション:値をプロシージャ・ルーチンへ 渡す アトミック・プロシージャ プロシージャ指定子:アドレス値の指定 ──────────────────────────────────── *−命令は、デコードされて命令を識別するフィールドのほかにこれらのフィー ルドを含んでいる。
【0179】Eデコード・ユニット490は命令セット
の各命令を並列にデコードする。その結果の命令の識
別、命令機能、レジスタ参照および機能要件はEデコー
ド・ユニット490の出力から得られる。この情報は再
生成され、命令セット内のすべての命令が退避されるま
でプロセッサ・サイクルの各半サイクル期間、Eデコー
ド・ユニット490によってラッチされる。したがっ
て、保留状態の8命令すべてに関する情報がEデコード
・ユニット490の出力から絶えず得られるようになっ
ている。この情報は8エレメント・ビット・ベクトルの
形式で表示され、各ベクトルのビットまたはサブフィー
ルドは2つの保留中命令セット内の対応する命令の物理
ロケーションに論理的に対応している。したがって、8
個のベクトルが制御ライン502を経由してキャリー・
チェッカ492へ送られる。この場合、各ベクトルは対
応する命令がプロセッサ状況ワードのキャリー・ビット
に作用を及ぼしているか、あるいはそれに依存している
かを指定している。8個のベクトルが各命令の特定の内
容と機能ユニット要件を示すために制御ライン510を
経由して送られる。8個のベクトルが制御ライン506
を経由して送られ、8個の保留中命令の各々によって使
用されたレジスタ参照を指定している。これらのベクト
ルはプロセッサ・サイクル1が終了する前に送られる。
【0180】2)キャリー・チェッカ・ユニットの詳細 キャリー・チェッカ・ユニット492は図14に示すオ
ペレーションのデータ依存関係フェーズ期間の間に依存
関係検査ユニット494と並列に動作する。キャリー・
チェッカ・ユニット492は好適アーキテクチャ100
では順列組合せ理論に基づくロジックとして実現されて
いる。したがって、キャリー・チェッカ・ユニット49
2によるオペレーションの各繰り返し時に、命令がプロ
セッサ状態レジスタのキャリー・フラグを変更したかど
うかについて8個の命令すべてが考慮される。これが必
要とされるのは、その前の命令によって設定されたキャ
リー・ビットの状況に依存する命令を順序外で実行する
ことを可能にするためである。制御ライン504上に送
出された制御信号により、キャリー・チェッカ・ユニッ
ト492は、キャリー・フラグに対する先行命令の実行
に依存する特定の命令を識別することができる。
【0181】さらに、キャリー・チェッカ・ユニット4
92は8個の保留中命令の各々についてキャリー・ビッ
トの一時的コピーをもっている。キャリー・ビットを変
更していない命令については、キャリー・チェッカ・ユ
ニット492はプログラム命令ストリームの順序でキャ
リー・ビットを次の命令に伝える。したがって、順序外
で実行され、キャリー・ビットを変更する命令を実行さ
せることが可能であり、さらに、その順序外で実行され
る命令に依存する後続の命令も、キャリー・ビットを変
更する命令のあとに置かれていても、実行することが可
能である。さらに、キャリー・ビットがキャリー・チェ
ッカ・ユニット492によって維持されているので、こ
れらの命令の退避以前に例外が起こったとき、キャリー
・チェッカ・ユニットは内部一時キャリー・ビット・レ
ジスタをクリアするだけでよいことから、順序外で実行
することが容易になる。その結果、プロセッサ状況レジ
スタは、順序外で実行される命令の実行による影響を受
けない。キャリー・チェッカ・ユニット492が維持し
ている一時キャリー・ビット・レジスタは、順序外で実
行される各命令が完了すると更新される。順序外で実行
される命令が退避されると、プログラム命令ストリーム
の中で最後に退避された命令に対応するキャリー・ビッ
トはプロセッサ状況レジスタのキャリー・ビット・ロケ
ーションへ転送される。
【0182】3)データ依存関係チェッカ・ユニットの
詳細 データ依存関係チェッカ・ユニット494はEデコード
・ユニット490から制御ライン506を経由して8個
のレジスタ参照識別ベクトルを受け取る。各レジスタの
参照は32個のレジスタを一度に1つを識別するのに適
した5ビット値と“A”“B”またはブール・レジスタ
・セット内に置かれているレジスタ・バンクを識別する
2ビット値によって示されている。浮動小数点レジスタ
・セットは“B”レジスタ・セットとも呼ばれる。各命
令は最高3つまでのレジスタ参照フィールドを持つこと
ができる。2つのソース・レジスタ・フィールドと1つ
の宛先レジスタ・フィールドである。ある種の命令、特
にレジスタ間移動命令は、宛先レジスタを指定している
場合があっても、Eデコード・ユニット490によって
認識される命令ビット・フィールドは実際に作製される
出力データがないことを意味している場合がある。むし
ろ、命令の実行は、プロセッサ状況レジスタの値の変更
を判断することだけを目的としている。
【0183】データ依存関係チェッカ494も好適アー
キテクチャ100において純然たる組合せロジック(p
ure combinatorial logic)で
実現されているが、これはプログラム命令ストリーム内
に後に現れる命令のソース・レジスタ参照と相対的に前
に置かれた命令の宛先レジスタ参照との間の依存関係を
同時に判断するように動作する。ビット配列は、どの命
令が他の命令に依存するかを識別するだけでなく、各依
存関係がどのレジスタに基づいて生じたかを識別するデ
ータ依存関係チェッカ494によって作られる。キャリ
ーとレジスタ・データの依存関係は、第2プロセッサ・
サイクルの開始直後に判別される。
【0184】4)レジスタ改名ユニットの詳細 レジスタ改名ユニット496は8個の保留中の命令すべ
てのレジスタ参照のIDを制御ライン506を経由して
レジスタ依存関係を制御ライン508を経由して受け取
る。8個のエレメントからのマトリックスも制御ライン
542を経由して受け取る。これらのエレメントは保留
中命令の現セットの中でどの命令が実行されたか(完了
したか)を示している。この情報からレジスタ改名ユニ
ット496は制御信号の8エレメント配列を制御ライン
512を経由して命令発行ユニット498へ送る。この
ようにして送られた制御情報は、現セットのデータ依存
関係が判別された場合に、まだ実行されていない現在保
留中の命令のうちどの命令の実行が可能になったかにつ
いてレジスタ改名ユニット496が行った判断を反映し
ている。レジスタ改名ユニット496は実行のために同
時に出される最高6個までの命令を識別した選択制御信
号をライン516を経由して受信する。つまり、2個の
整数命令、2個の浮動小数点命令および2個のブール命
令である。
【0185】レジスタ改名ユニット496はバス518
を経由してレジスタ・ファイル配列472へ送られた制
御信号を通して、識別された命令を実行する際にアクセ
スするソース・レジスタを選択するというもう一つの機
能を備えている。順序外で実行される命令の宛先レジス
タは、対応するデータ経路の一時バッファ612、68
0、728に置かれているものとして選択される。順序
内で実行される命令は完了すると退避され、その結果デ
ータはレジスタ・ファイル614、684、732にス
トアされていく。ソース・レジスタの選択は、レジスタ
が以前に宛先として選択され、対応する以前の命令がま
だ退避されていないかどうかによって決まる。そのよう
な場合には、ソース・レジスタは対応する一時バッファ
612、680、728から選択される。以前の命令が
退避されていた場合は、対応するレジスタ・ファイル6
14、684、732のレジスタが選択される。その結
果、レジスタ改名ユニット496は順序外で実行される
命令の場合には、レジスタ・ファイル・レジスタの参照
を一時バッファ・レジスタの参照に実効的に置き換える
ように動作する。
【0186】アーキテクチャ100によれば、一時バッ
ファ612、680、728は対応するレジスタ・ファ
イル配列のレジスタ構造と重複していない。むしろ、8
個の保留命令の各々に対して1つの宛先レジスタ・スロ
ットが用意されている。その結果、一時バッファ宛先レ
ジスタ参照の置換は、保留レジスタ・セット内の対応す
る命令のロケーションによって判断される。そのあとの
ソース・レジスタ参照はソース依存関係が発生した命令
に対してデータ依存関係チェッカ494によって識別さ
れる。したがって、一時バッファ・レジスタ内の宛先ス
ロットはレジスタ改名ユニット496によって容易に判
断することが可能である。
【0187】5)命令発行ユニットの詳細 命令発行ユニット498は、発行できる命令のセットを
レジスタ改名ユニット496の出力とEデコード・ユニ
ット490によって識別された命令の機能要件に基づい
て判断する。命令発行ユニット498は制御ライン51
4を経由して報告された機能ユニット478O-n の各々
の状況に基づいてこの判断を行う。したがって、命令発
行ユニット498は発行すべき使用可能な命令セットを
レジスタ改名ユニット496から受信すると、オペレー
ションを開始する。各命令を実行するためにレジスタ・
ファイルへのアクセスが必要であるとすると、命令発行
ユニット498は現在命令を実行中の機能ユニット49
O-n が使用可能であることを予想する。レジスタ改名
ユニット496へ発行すべき命令を判別する際の遅延を
最小にするために、命令発行ユニット498は専用の組
合せロジックで実現されている。
【0188】発行すべき命令を判別すると、レジスタ改
名ユニット496はレジスタ・ファイルへのアクセスを
開始し、このアクセスは第3プロセッサ・サイクルP2
が終了するまで続けられる。プロセッサ・サイクルP3
が開始すると、命令発行ユニット498は「Execu
te 0」で示すように1つまたは2つ以上の機能ユニ
ット478O-n によるオペレーションを開始し、レジス
タ・ファイル配列472から送られてきたソース・デー
タを受信して処理する。
【0189】代表例として、アーキテクチャ100で処
理される大部分の命令は1プロセッサ・サイクルで機能
ユニットを通して実行される。しかし、一部の命令は、
「Execute 1」で示すように、同時に出された
命令を完了するのに複数のプロセッサ・サイクルを必要
とする。Execute 0命令とExecute1命
令は、例えばそれぞれALUと浮動小数点乗算機能ユニ
ットに実行させることができる。ALU機能ユニットは
図14に示すように、1プロセッサ・サイクル内で出力
データを発生し、この出力データはラッチしておくだけ
で、第5プロセッサ・サイクルP4 時に別の命令を実行
する際に使用することができる。浮動少数点乗算機能ユ
ニットは内部パイプライン化機能ユニットにすることが
好ましい。したがって、次のプロセッサ・サイクルで別
の浮動小数点命令を出すことができる。しかし、最初の
命令の結果はデータに依存するプロセッサ・サイクル数
の間使用することができない。図14に示す命令は、機
能ユニットでの処理を完了するためには、3プロセッサ
・サイクルを必要とする。
【0190】各プロセッサ・サイクルの間に、命令発行
ユニット498の機能は繰り返される。その結果、現在
の保留中の命令セットの状況と機能ユニット478O-n
の全セットの使用可能状況は各プロセッサ・サイクルの
間に再評価される。したがって、最適条件のとき、好適
アーキテクチャ100はプロセッサ・サイクルごとに最
高6個までの命令を実行することができる。しかし、代
表的な命令ミックスから得られる総平均実行命令数は1
プロセッサ・サイクル当たり1.5個ないし2.0個で
ある。
【0191】命令発行ユニット498の機能で最後に考
慮すべきことは、このユニットがトラップ条件の処理と
特定命令の実行に関与することである。トラップ条件を
発生するためには、まだ退避されていないすべての命令
をIEU104からクリアする必要がある。このような
事態は、算術演算エラーに応答して、機能ユニット47
O-n のいずれからか、あるいは例えば違法命令をデコ
ードしたときにEデコード・ユニット490から外部割
り込みを受信し、それが割り込み要求/受信確認制御ラ
イン340を経由して、IEU104へ中継されたのに
応答して、起こることがある。トラップ条件が発生しと
き、命令発行ユニット498は現在IEU104で保留
されているすべての非退避命令を中止または無効にする
ことを受け持つ。同時に退避できない命令はすべて無効
にされる。この結果は、プログラム命令ストリームを順
序内で実行する従来の方式に対して割り込みを正確に発
生させるために不可欠である。IEU104がトラップ
処理プログラム・ルーチンの実行を開始する準備状態に
なると、命令発行ユニット498は制御ライン340を
経由するリターン制御信号によって割り込みの受信を確
認する。また、従来の純然たる順序内ルーチンにおいて
ある命令が実行される前に変更されたプロセッサ状態ビ
ットに基づいてその命令に対する例外条件が認識される
可能性を防止するために命令発行ユニット498は、P
SRを変更する可能性のあるすべての命令(特殊移動や
トラップからのリターンなど)が厳格に順序内で実行さ
れるようにすることを受け持つ。
【0192】プログラム制御の流れを変更するある種の
命令は、Iデコード・ユニット262によって判別され
ない。この種の命令には、サブルーチン・リターン、プ
ロシージャ命令からのリターン、トラップからのリター
ンがある。命令発行ユニット498は判別制御信号をI
EUリターン制御ライン350を経由してIFU102
へ送る。特殊レジスタ412のうち対応するものが選択
されて、コール命令の実行時、トラップの発生時または
プロシージャ命令の出現時に存在していたIF PC実行
アドレスを出力する。
【0193】6)完了制御ユニットの詳細 完了制御ユニット540は機能ユニット478O-n をモ
ニタして、現在のオペレーションの完了状況を調べる。
好適アーキテクチャ100では、完了制御ユニット54
0は各機能ユニットによるオペレーションの完了を予想
して、現在保留中の命令セットの中の各命令の実行状況
を示した完了ベクトルを機能ユニット478O-n による
命令の実行完了よりも約半プロセッサ・サイクル前にレ
ジスタ改名ユニット496、バイパス制御ユニット52
0および退避制御ユニット500へ送る。これにより、
命令発行ユニット498はレジスタ改名ユニット496
を通して、実行を完了する機能ユニットを次の命令発行
サイクルに対して使用可能な資源として考慮することが
できる。バイパス制御ユニット520は、機能ユニット
から出力されたデータをバイパス・ユニット474を通
るようにバイパスする準備を行うことができる。最後
に、退避制御ユニット500は機能ユニット478O-n
からレジスタ・ファイル配列472へデータを転送する
のと同時に対応する命令を退避するように動作する。
【0194】7)退避制御ユニットの詳細 完了制御ユニット540から送られた命令完了ベクトル
の他に、退避制御ユニット500はEデコード・ユニッ
ト490から出力された最も古い命令セットをモニタす
る。命令ストリーム順序の中の各命令に完了制御ユニッ
ト540によって完了の印(マーク)が付けられると、
退避制御ユニット500は、制御ライン534上に送出
された制御信号を通して一時バッファ・スロットからレ
ジスタ・ファイル配列472内の対応する命令が指定し
たファイル・レジスタ・ロケーションへデータを転送す
ることを指示する。1つまたは複数の命令が同時に退避
されると、PC Inc/size制御信号が制御ライ
ン344上に送出される。各プロセッサ・サイクルごと
に最高4個までの命令を退避することが可能である。命
令セット全体が退避されると、IFIFO読取り制御信
号が制御ライン342上に送出されてIFIFO264
を前進させる。
【0195】8)制御フロー制御ユニットの詳細 制御フロー制御ユニット528は、現在の保留中命令セ
ット内の制御フロー命令が解決されたかどうか、さら
に、その結果ブランチが行われたかどうかを指定した情
報をIFU102に絶えず与えるように動作する。制御
フロー制御ユニット528はEデコード・ユニット49
0による制御フロー・ブランチ命令の識別情報を制御ラ
イン510を経由して取得する。現在のレジスタ依存関
係のセットは、制御ライン536を経由してデータ依存
関係チェッカ・ユニット494から制御フロー制御ユニ
ット528へ送られるので、制御フロー制御ユニット5
28はブランチ命令の結果が依存関係に拘束されている
かどうか、あるいは判明しているかどうかを判断するこ
とができる。レジスタ改名ユニット496からバス51
8を経由して、送られたレジスタの参照は制御フロー制
御ユニット528によってモニタされ、ブランチ決定を
定義するブール・レジスタが判別される。したがって、
ブランチ決定は制御フロー命令の順序外の実行以前でも
判断することが可能である。
【0196】制御フロー命令の実行と同時に、バイパス
・ユニット474は、制御フロー1と制御フロー2の制
御ライン750、752からなる制御ライン530を経
由して制御フローの結果を制御フロー制御ユニット52
8へ送るように指示される。最後に、制御フロー制御ユ
ニット528は各々が8ビットの2個のベクトルを制御
ライン348を経由して、IFU102へ連続して送
る。これらのベクトルは、ベクトル内のビットに対応す
る論理ロケーションに置かれた命令が解決されたか否か
およびその結果ブランチが行われたか否かを定義してい
る。好適アーキテクチャ100では、制御フロー制御ユ
ニット528は制御ユニット528への入力制御信号を
受けて連続的に動作する組合せロジックとして実現され
ている。
【0197】9)バイパス制御ユニットの詳細 命令発行ユニット498は、バイパス制御ユニット52
0と厳密に協働して、レジスタ・ファイル配列472と
機能ユニット478O-n 間のデータのルーチング(経路
指定)を制御する。バイパス制御ユニット520は図1
4に示すオペレーションのレジスタ・ファイル・アクセ
ス、出力およびストア・フェーズと関連して動作する。
レジスタ・ファイル・アクセスの間にはバイパス制御ユ
ニット520は命令の実行の出力フェーズの間に書き込
まれている途中にあるレジスタ・ファイル配列472内
の宛先レジスタのアクセスを制御ライン522を通して
認識することができる。この場合、バイパス制御ユニッ
ト520はバイパスして機能ユニット配布バス480に
返すように、機能ユニット出力バス482上に送出され
たデータを選択することを指示する。バイパス・ユニッ
ト520に対する制御は、制御ライン542を通して命
令発行ユニット498によって行われる。
【0198】IV.仮想メモリ制御ユニット VMU108のインタフェース定義は図15に示されて
いる。VMU108は主にVMU制御ロジック・ユニッ
ト800と内容アドレス(content addre
ssable)メモリ(CAM)802から構成されて
いる。VMU108の一般的機能は図16にブロック図
で示してある。同図において、仮想アドレスの表現はス
ペースID(sID〔31:28〕)、仮想ページ番号
(VADDR〔27:14〕)、ページ・オフセット
(PADDR〔13:4〕)、および要求ID(rID
〔3:0〕)に分割されている。物理アドレスを生成す
るためのアルゴリズムでは、スペースIDを使用して、
スペース・テーブル842内の16個のレジスタから1
つを選択するようになっている。選択したスペース・レ
ジスタの内容と仮想ページ番号とを組み合わせて、テー
ブル索引バッファ(TLB)844をアクセスするとき
のアドレスとして使用される。34ビット・アドレスは
内容アドレス・タグの働きをし、バッファ844内の対
応するバッファ・レジスタを指定するために使用され
る。タグに一致するものが見つかると、18ビット幅レ
ジスタ値が物理アドレス846の上位18ビットとして
得られる。ページ・オフセットと要求IDは物理アドレ
ス846の下位14ビットとして得られる。
【0199】タグに一致するものがテーブル索引バッフ
ァ844に見つからないと、VMU不一致が通知され
る。この場合は、MAU112に維持されている完全ペ
ージ・テーブル・データ構造をアクセスする従来のハッ
シュ・アルゴリズム848を採用したVMU高速トラッ
プ処理ルーチンを実行させる必要がある。このページ・
テーブル850はアーキテクチャ100によって現在使
用中のすべてのメモリ・ページのエントリを含んでい
る。ハッシュ・アルゴリズム848は現在の仮想ページ
変換操作を満たすために必要なページ・テーブル・エン
トリを判別する。これらのページ・テーブル・エントリ
はMAU112からレジスタ・セット“A”のトラップ
・レジスタへロードされ、そのあと特殊レジスタ移動命
令によってテーブル索引バッファ844へ転送される。
例外処理ルーチンから戻ると、VMU不一致例外を引き
起こした命令はIEU104によって再実行される。仮
想アドレスから物理アドレスへの変換操作は例外を引き
起こさないで完了するはずである。
【0200】VMU制御ロジック800はIFU102
およびIEU104とのデュアル・インタフェースとな
る。準備信号は制御ライン822を経由して、IEU1
04へ送られ、VMU108がアドレス変換のために使
用可能であることを通知する。好適実施例では、VMU
108は常にIFU102の変換要求を受け付ける準備
状態にある。IFU102およびIEU104は共に、
制御ライン328および804を経由して要求を提示す
ることができる。好適アーキテクチャ100では、IF
Uは優先してVMU108をアクセスすることができ
る。その結果、ビジー(使用中)制御ライン820は1
つだけがIEU104に出力される。
【0201】IFU102およびIEU104は共にス
ペースIDと仮想ページ番号フィールドをそれぞれ制御
ライン326および808を経由して、VMU制御ロジ
ック800へ送る。さらにIEU104は読み書き制御
信号を制御信号806で出力する。この制御信号は、参
照された仮想メモリのメモリ・アクセス保護属性を変更
するために、そのアドレスをロード・オペレーションに
使用すべきか、ストア・オペレーションに使用すべきか
を必要に応じて定義している。仮想アドレスのスペース
IDと仮想ページ・フィールドは、CAMユニット80
2に渡されて、実際の変換操作が行われる。ぺージ・オ
フセットとExIDフィールドは最終的にIEU104
から直接にCCU106へ送られる。物理ページと要求
IDフィールドは、アドレス・ライン836を経由して
CAMユニット802へ送られる。テーブル索引バッフ
ァに一致するものが見つかると、ヒット・ラインと制御
出力ライン830を経由してVMU制御ロジック・ユニ
ット800に通知される。その結果の18ビット長の物
理アドレスはアドレス出力ライン824上に出力され
る。
【0202】VMU制御ロジック・ユニット800は、
ライン830からヒットおよび制御出力制御信号を受け
ると、仮想メモリ不一致と仮想メモリ例外制御信号をラ
イン334、332上に出力する。仮想メモリ変換不一
致とは、テーブル索引バッファ844内のページ・テー
ブルIDと一致しなかったことを意味する。その他の変
換エラーはすべて仮想メモリ例外として報告される。
【0203】最後にCAMユニット802内のデータ・
テーブルは特殊レジスタ間移動命令をIEU104が実
行することによって変更することができる。読み書き、
レジスタ選択、リセット、ロードおよびクリア制御信号
はIEU104から制御ライン810、812、81
4、816、818を経由して出力される。CAMユニ
ット・レジスタに書くべきデータは、特殊アドレス・デ
ータ・バス354に接続されたアドレス・バス808を
経由してIEU104からVMU制御ロジック・ユニッ
ト800によって受信される。このデータは初期設定、
レジスタ選択、および読み書き制御信号を制御する制御
信号と同時にバス836を経由してCAMユニット80
2へ転送される。その結果、CAMユニット802内の
データ・レジスタは、より高レベルのオペレーティング
・システムで定義されているコンテキスト・スイッチを
処理するとき必要になるストアのための読出しを含め
て、アーキテクチャ100の動的オペレーションの間に
必要に応じて即座に書き出すことができる。
【0204】V.キャッシュ制御ユニット CCU106のデータ・インタフェースに対する制御
は、図17に示されている。この場合も、IFU102
とIEU104用に別々のインタフェースが設けられて
いる。さらに論理的に別個のインタフェースがCCU1
06に用意され、命令とデータ転送のためにMCU11
0と結ばれている。IFUインタフェースはアドレス・
ライン324上に送出される物理ページ・アドレス、ア
ドレス・ライン824上に送出されるVMU変換ページ
・アドレス、および制御ライン294、296上を別々
に転送される要求IDからなっている。単方向データ転
送バス114は命令セット全体をIFU102と並列に
転送するためのものである。最後に、読取り/使用中お
よび準備制御信号は制御ライン298、300、302
を経由して、CCU106へ送られる。
【0205】同様に、物理アドレス全体は物理アドレス
・バス788を経由して、IEU104へ送られる。要
求ExIDは制御ライン796を経由して、IEU10
4のロード/ストア・ユニットとの間で別々に受渡され
る。80ビット幅単方向データ・バスはCCU106か
らIEU104に出力される。しかし、アーキテクチャ
100の好適実施例では、下位の64ビットだけがIE
U104によって使用される。全80ビット・データ転
送バスをCCU106内で使用できるようにし、かつ、
サポートしているのは、本アーキテクチャ100の引き
続いての実行をサポートするためであり、浮動少数点デ
ータ経路660を変更することによって、IEEE標準
754に準拠する浮動小数点のオペレーションをサポー
トする。
【0206】IEU制御インターフェースは、要求、使
用中、準備、読み書きを通して、および制御信号784
を通して確立され、実質的にはIFU102によって使
用される対応する制御信号と同じである。例外は、ロー
ド・オペレーションとストア・オペレーションを区別す
るための読み書き制御信号が設けられていることであ
る。幅制御信号はIEU104による各CCU106へ
のアクセス時に転送されるバイト数を指定している。こ
れに対して、命令用キャッシュ132の全てのアクセス
は固定した128ビット幅データ・フェッチ・オペレー
ションになっている。
【0207】CCU106は命令用キャッシュ132と
データ用キャッシュ134に対して従来とほぼ同じキャ
ッシュ制御機能を備えている。好適アーキテクチャ10
0では,命令用キャッシュ132は256個の128ビ
ット幅命令セットをストアする機能を備えた高速メモリ
になっている。データ用キャッシュ134は1024個
の32ビット幅ワードのデータをストアする機能を備え
ている。命令用キャッシュ132とデータ用キャッシュ
134の内容から即時に満足できない命令要求とデータ
要求はMCU110に渡される。命令用キャッシュがミ
スした場合は、28ビット幅物理アドレスがアドレス・
バス860を経由してMCU110に渡される。要求I
DおよびCCU106とMCU110のオペレーション
を調整するための追加制御信号は制御ライン862上に
送出される。MCU110がMAU112の必要な読取
りアクセスを調整すると、2つの連続する64ビット幅
データ転送が直接にMAU112から命令用キャッシュ
132へ行われる。2つの転送が必要になるのは、デー
タ・バス136が好適アーキテクチャ100では、64
ビット幅バスになっているためである。要求したデータ
がMCU110を通して返却されると、要求オペレーシ
ョンの保留中に維持されていた要求IDも制御ライン8
62を経由してCCU106へ返却される。
【0208】データ用キャッシュ134とMCU110
との間のデータ転送オペレーションは、命令用キャッシ
ュの転送オペレーションとほぼ同じである。データ・ロ
ードとストア・オペレーションは単一バイトを参照でき
るので、全32ビット幅の物理アドレスがアドレス・バ
ス864を経由して、MCU110へ送られる。インタ
フェース制御信号と要求ExIDは制御ライン866を
経由して、転送される。双方向の64ビット幅データ転
送はデータ用キャッシュ・バス138を経由して行われ
る。
【0209】
【発明の効果】高性能RISCをベースとしたマイクロ
プロセッサ・アーキテクチャは以上に説明した通りであ
る。本発明のアーキテクチャによれば、命令を順序外に
実行することができ、メインとターゲット命令ストリー
ムのプリフェッチ命令転送経路を別々に設け、およびプ
ロシージャ命令認識と専用プリフェッチ経路を設けるこ
とができる。命令実行ユニットは最適化されているの
で、最適化された複数のデータ処理経路で整数、浮動小
数点およびブール演算をサポートすることができ、ま
た、それぞれの一時レジスタ・ファイルが設けられてい
るので、容易に設定されるマシン状態の状況を正確に維
持しながら、順序外の実行と命令取消しを容易に行うこ
とができる。したがって、上述した説明では、本発明の
好適実施例を開示しているが、当業者にとって本発明の
範囲内で種々変更および改良することが可能であること
は勿論である。
【図面の簡単な説明】
【図1】 本発明を実現するマイクロプロセッサ・アー
キテクチャを示す簡略図である。
【図2】 本発明の命令フェッチ・ユニットを示す詳細
ブロック図である。
【図3】 本発明のプログラム・カウンタ・ロジック・
ユニットを示すブロック図である。
【図4】 プログラム・カウンタ・データと制御経路ロ
ジックを示す別の詳細ブロック図である。
【図5】 本発明の命令実行ユニットを示す簡略ブロッ
ク図である。
【図6】 レジスタ・ファイル・アーキテクチャ及び1
次及び2次命令セットを図形で示す図である。
【図7】 1次整数レジスタの再構成ステージを図形で
示す図である。
【図8】 本発明の再構成可能浮動小数点及び2次整数
レジスタ・セットを図形で示す図である。
【図9】 本発明の3次ブール・レジスタ・セットを図
形で示す図である。
【図10】 本発明の命令実行ユニットの1次整数処理
データ経路部分を示す詳細ブロック図である。
【図11】 本発明の命令実行ユニットの1次浮動小数
点データ経路部分を示す詳細ブロック図である。
【図12】 本発明の命令実行ユニットのブール演算デ
ータ経路部分を示す詳細ブロック図である。
【図13】 本発明のロード/ストア・ユニットを示す
ブロック図である。
【図14】 本発明のオペレーション順序を示すタイミ
ング図である。
【図15】 本発明の仮想メモリ制御ユニットを示す簡
略ブロック図である。
【図16】 本発明の仮想メモリ制御アルゴリズムを示
すブロック図である。
【図17】 本発明のキャッシュ制御ユニットを示す簡
略ブロック図である。
【符号の説明】 100…アーキテクチャの概要、102…命令フェッチ
・ユニット(IFU)104…命令実行ユニット(IE
U)、106…キャッシュ制御ユニット(CUU)、1
08…仮想メモリ・ユニット(VMU)、110…メモ
リ制御ユニット(MCU)、112…メモリ・アレイ・
ユニット(MAU)。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 レンツ,デレク ジェイ. アメリカ合衆国 95032 カリフォルニア 州 ロス ゲイトス フィリップス アヴ ェニュー 17400 (72)発明者 ミヤヤマ,ヨシユキ アメリカ合衆国 95050 カリフォルニア 州 サンタ クララ ランチョ マコーミ ック ブールバード 2171 (72)発明者 ガルグ,サンジブ アメリカ合衆国 94539 カリフォルニア 州 フリーモント センティネル ドライ ブ 46820 (72)発明者 ハギワラ,ヤスアキ アメリカ合衆国 95050 カリフォルニア 州 サンタ クララ モンロー ストリー ト 2250 アパート 274 (72)発明者 ワン,ジョハネス アメリカ合衆国 94062 カリフォルニア 州 レッドウッド シティ キング スト リート 25 (72)発明者 ラウ,テーリ アメリカ合衆国 94306 カリフォルニア 州 パロ アルト カレッジ アヴェニュ ー 411 アパート イー (72)発明者 トラン,クワン エイチ. アメリカ合衆国 95130 カリフォルニア 州 サン ノゼ メイフィールド アヴェ ニュー 2045 Fターム(参考) 5B013 AA01 AA05 AA12 CC01 DD04 5B033 DD04

Claims (80)

    【特許請求の範囲】
  1. 【請求項1】 マイクロプロセッサを用い、命令ストア
    から得られた命令を実行する方法であって、 第1のプロセッササイクル中、プログラム順序にある複
    数の命令を前記命令ストアからフェッチする段階、 フェッチした複数の命令のうち所定命令を第2のプロセ
    ッササイクル中、FIFOバッファにバッファリングす
    る段階、 前記FIFOバッファにバッファリングされた前記複数
    の命令の所定命令を、第2のプロセッササイクル中に同
    時にデコードし、第3のプロセッササイクル中にディス
    パッチ回路を用いてディスパッチする段階、 前記ディスパッチ回路によりディスパッチされた複数の
    命令の少なくとも1つを複数の機能ユニッによりアウト
    オブオーダで実行する段階、 アウトオブオーダ実行結果を、前記複数の機能ユニット
    からレジスタファイルの一時レジスタへ一時ストアし、
    前記一時レジスタから前記レジスタファイルのレジスタ
    アレイへ実行結果をストアする段階、 前記レジスタファイルから前記複数の機能ユニットの1
    つ以上に同時にデータを供給し、前記複数の機能ユニッ
    トによって複数命令の1つ以上を同時に実行可能とする
    段階、 とを含むマイクロプロセッサを用いた命令実行方法。
  2. 【請求項2】 さらにアウトオブオータで実行された複
    数の命令をプログラム順序で退避させ、データ値を前記
    一時レジスタからレジスタアレイへ転送する段階を含む
    請求項1記載の方法。
  3. 【請求項3】 さらに、1つ以上の命令を前記FIFO
    バッファの第1、第2のレジスタから前記ディスパッチ
    回路へ同時に供給する段階を含む請求項1記載の方法。
  4. 【請求項4】 さらに、第1、第2のレジスタから前記
    ディスパッチ回路へ4命令からなる命令セットを同時に
    供給する段階を含む請求項1記載の方法。
  5. 【請求項5】 さらに、前記複数の機能ユニットが使用
    可能であることを条件に前記複数の機能ユニットに命令
    をアウトオブオーダで発行する段階を含む請求項1記載
    の方法。
  6. 【請求項6】 さらに、前記命令ストアを外部キャシュ
    に結合する段階を含む請求項1記載の方法。
  7. 【請求項7】 さらに、プロセッササイクルに前記バッ
    ファリングされた複数の命令を複数の機能ユニットに供
    給することにより命令を同時にディスパッチする段階を
    含む請求項1記載の方法。
  8. 【請求項8】 スーパースカラーマイクロプロセッサを
    用い、命令ストアから得た命令を実行する方法であっ
    て、 第1のプロセッササイクル中、プログラム順序にある複
    数の命令を前記命令ストアからフェッチする段階、 第2のプロセッササイクル中、前記複数の命令をバッフ
    ァリングする段階、 前記第2のプロセッササイクル中、分岐デコーダを第1
    の命令バッファに応答させる段階、 前記第2のプロセッササイクル中、前記分岐デコーダか
    らの複数の命令のうち所定命令をFIFOバッファにバ
    ッファリングする段階、 、第2のプロセッササイクル中に同時にデコードし、第
    3のプロセッササイクル中にディスパッチ回路を用いて
    FIFOバッファにバッファリングされた複数命令のう
    ち所定命令を同時にディスパッチする段階、 前記ディスパッチ回路によりアウトオブオーダでディス
    パッチされた複数の命令の少なくとも1つを複数の機能
    ユニッにより実行する段階、 アウトオブオーダ実行結果を、前記複数の機能ユニット
    からレジスタファイルの一時レジスタへ一時ストアし、
    前記一時レジスタから前記レジスタファイルのレジスタ
    アレイへ実行結果をストアする段階、 前記レジスタファイルから前記複数の機能ユニットの1
    つ以上に同時にデータを供給し、前記複数の機能ユニッ
    トによって複数命令の1つ以上を同時に実行可能とする
    段階、 とを含むスーパースカラーマイクロプロセッサを用いた
    命令実行方法。
  9. 【請求項9】 さらにアウトオブオータで実行された複
    数の命令をプログラム順序で退避させ、データ値を前記
    一時レジスタからレジスタアレイへ転送する段階を含む
    請求項8記載の方法。
  10. 【請求項10】 さらに、前記複数の機能ユニットが使
    用可能であることを条件に前記複数の機能ユニットに命
    令をアウトオブオーダで発行する段階を含む請求項8記
    載の方法。
  11. 【請求項11】 さらに、命令ストアをマイクロプロセ
    ッサにより実行される命令をストアする外部キャシュに
    結合する段階を含む請求項8記載の方法。
  12. 【請求項12】 さらに、プロセッササイクルに前記バ
    ッファリングされた複数の命令を複数の機能ユニットに
    供給することにより命令を同時にディスパッチする段階
    を含む請求項8記載の方法。
  13. 【請求項13】 スーパースカラーマイクロプロセッサ
    を用い、命令ストアから得た命令を実行する方法であっ
    て、 第1のプロセッササイクル中、プログラム順序にある複
    数の命令を前記命令ストアからフェッチする段階、 第2のプロセッササイクル中、前記複数の命令をバッフ
    ァリングする段階、 前記第2のプロセッササイクル中、分岐デコーダを第1
    の命令バッファに応答させる段階、 前記第2のプロセッササイクル中、前記分岐デコーダか
    らの複数の命令のうち所定命令をFIFOバッファにバ
    ッファリングする段階、 、第2のプロセッササイクル中に同時にデコードし、第
    3のプロセッササイクル中にディスパッチ回路を用いて
    FIFOバッファにバッファリングされた複数命令のう
    ち所定命令を同時にディスパッチする段階、 前記ディスパッチ回路によりアウトオブオーダでディス
    パッチされた複数の命令の少なくとも1つを複数の機能
    ユニットにより実行する段階、 アウトオブオーダ実行結果を、前記複数の機能ユニット
    からレジスタファイルの一時レジスタへ一時ストアし、
    前記一時レジスタから前記レジスタファイルのレジスタ
    アレイへ実行結果をストアする段階、 前記レジスタファイルから前記複数の機能ユニット1つ
    以上へデータを供給し、前記複数の機能ユニットによっ
    て複数命令の1つ以上を同時に実行可能とする段階、 とを含むスーパースカラーマイクロプロセッサを用いた
    命令実行方法。
  14. 【請求項14】 さらに、アウトオブオータで実行され
    た複数の命令をプログラム順序で退避させ、データ値を
    前記一時レジスタからレジスタアレイへ転送する段階を
    含む請求項13記載の方法。
  15. 【請求項15】 さらに、前記複数の機能ユニットが使
    用可能であることを条件に前記複数の機能ユニットに命
    令をアウトオブオーダで発行する段階を含む請求項13
    記載の方法。
  16. 【請求項16】 さらに、前記命令ストアをマイクロプ
    ロセッサにより実行される命令をストアする外部キャシ
    ュに結合する段階を含む請求項13記載の方法。
  17. 【請求項17】 さらに、プロセッササイクルに前記バ
    ッファリングされた複数の命令を複数の機能ユニットに
    供給することにより命令を同時にディスパッチする段階
    を含む請求項13記載の方法。
  18. 【請求項18】 さらに、第1命令バッファからFIF
    Oバッファへ前記複数の命令をバッファリングする段階
    を含む請求項13記載の方法。
  19. 【請求項19】 スーパースカラーマイクロプロセッサ
    を用い、命令ストアから得た命令を実行する方法であっ
    て、 プログラム順序にある複数の命令を前記命令ストアから
    フェッチする段階、 分岐デコーダをフェッチ回路に応答させる段階、 前記複数の命令を分岐デコーダからFIFOバッファへ
    バッファリングする段階、 前記FIFOバッファにバッファリングされた前記複数
    の命令の1つ以上をデコードし、同時にディスパッチす
    る段階、 ディスパッチ回路からアウトオブオーダでディスパッチ
    された複数の命令の少なくとも1つを複数の機能ユニッ
    トで実行する段階、 前記複数の機能ユニットからのアウトオブオーダ実行結
    果をレジスタファイルの一時レジスタにストアし、前記
    一時レジスタから前記レジスタファイルのレジスタアレ
    イへ実行結果をストアする段階、 前記レジスタファイルから前記機能ユニットの1つ以上
    へデータを供給し、前記複数の機能ユニットによって複
    数命令の1つ以上を同時に実行可能とする段階、 とを含むスーパースカラーマイクロプロセッサを用いた
    命令実行方法。
  20. 【請求項20】 さらに、アウトオブオータで実行され
    た複数の命令をプログラム順序で退避させ、データ値を
    前記一時レジスタからレジスタアレイへ転送する段階を
    含む請求項19記載の方法。
  21. 【請求項21】 さらに、プロセッササイクルに所定命
    令まで退避する段階を含む請求項20記載の方法。
  22. 【請求項22】 さらに、プロセッササイクルに所定以
    上の命令をFIFOバッファからディスパッチ回路へ供
    給する段階を含む請求項19記載の方法。
  23. 【請求項23】 さらに、プロセッササイクルに前記複
    数の機能ユニットへ4命令までディスパッチする段階を
    含む請求項19記載の方法。
  24. 【請求項24】 前記複数の機能ユニットが使用可能で
    あることを条件に前記複数の機能ユニットに命令をアウ
    トオブオーダで発行する段階を含む請求項19記載の方
    法。
  25. 【請求項25】 さらに、前記命令ストアをマイクロプ
    ロセッサにより実行される命令をストアする外部キャシ
    ュに結合する段階を含む請求項19記載の方法。
  26. 【請求項26】 さらに、プロセッササイクルに前記バ
    ッファリングされた複数の命令を複数の機能ユニットに
    供給することにより命令を同時にディスパッチする段階
    を含む請求項19記載の方法。
  27. 【請求項27】 スーパースカラーマイクロプロセッサ
    を用い、命令ストアから得た命令を実行する方法であっ
    て、 プログラム順序にある複数の命令を前記命令ストアから
    フェッチする段階、 第1のデコーダをフェッチ回路に応答させる段階、 前記複数の命令を前記デコーダからFIFOバッファへ
    バッファリングする段階、 FIFOバッファにバッファリングされた複数の命令の
    1つ以上をデコードする第2のデコーダと、同時にディ
    スパッチするディスパッチ部を有するディスパッチ回路
    を提供する段階と、 アウトオブオーダでディスパッチ回路によりディスパッ
    チされた複数の命令の少なくとも1つを複数の機能ユニ
    ットで実行する段階、 前記複数の機能ユニットからのアウトオブオーダ実行結
    果をレジスタファイルの一時レジスタに一時ストアし、
    前記一時レジスタから前記レジスタファイルのレジスタ
    アレイへ実行結果をストアする段階、 前記レジスタファイルから前記機能ユニットの1つ以上
    へデータを供給し、前記複数の機能ユニットによって複
    数命令の1つ以上を同時に実行可能とする段階、 とを含むスーパースカラーマイクロプロセッサを用いた
    命令実行方法。
  28. 【請求項28】 さらに、アウトオブオータで実行され
    た複数の命令をプログラム順序にして退避させ、データ
    値を前記一時レジスタからレジスタアレイへ転送する段
    階を含む請求項27記載の方法。
  29. 【請求項29】 さらに、プロセッササイクルに所定命
    令まで退避する段階を含む請求項28記載の方法。
  30. 【請求項30】 さらに、プロセッササイクルに4以上
    の命令をFIFOバッファからディスパッチ回路へ供給
    する段階を含む請求項27記載の方法。
  31. 【請求項31】 さらに、プロセッササイクルに前記複
    数の機能ユニットへ4命令までディスパッチする段階を
    含む請求項27記載の方法。
  32. 【請求項32】 前記複数の機能ユニットが使用可能で
    あることを条件に前記複数の機能ユニットに命令をアウ
    トオブオーダで発行する段階を含む請求項27記載の方
    法。
  33. 【請求項33】 さらに、前記命令ストアをマイクロプ
    ロセッサにより実行される命令をストアする外部キャシ
    ュに結合する段階を含む請求項27記載の方法。
  34. 【請求項34】 さらに、プロセッササイクルに前記バ
    ッファリングされた複数の命令を複数の機能ユニットに
    供給することにより命令を同時にディスパッチする段階
    を含む請求項27記載の方法。
  35. 【請求項35】 スーパースカラーマイクロプロセッサ
    を用い、命令ストアから得た命令を実行する方法であっ
    て、 プログラム順序にある複数の命令を前記命令ストアから
    フェッチする段階、 分岐デコーダをフェッチ回路に応答させる段階、 前記複数の命令を分岐デコーダからバッファへバッファ
    リングする段階、 ディスパッチ回路を用いて、バッファにバッファリング
    された複数の命令の1つ以上をデコードし、同時にディ
    スパッチする段階、 アウトオブオーダでディスパッチ回路によりディスパッ
    チされた複数の命令の少なくとも1つを複数の機能ユニ
    ットで実行する段階、 前記複数の機能ユニットからのアウトオブオーダ実行結
    果をレジスタファイルの一時レジスタに一時ストアし、
    前記一時レジスタから前記レジスタファイルのレジスタ
    アレイへ実行結果をストアする段階、 前記レジスタファイルから前記機能ユニットの1つ以上
    へデータを供給し、前記複数の機能ユニットによって複
    数命令の1つ以上を同時に実行可能とする段階、 とを含むスーパースカラーマイクロプロセッサを用いた
    命令実行方法。
  36. 【請求項36】 さらに、アウトオブオータで実行され
    た複数の命令をプログラム順序にして退避させ、データ
    値を前記一時レジスタからレジスタアレイへ転送する段
    階を含む請求項35記載の方法。
  37. 【請求項37】 さらに、プロセッササイクルに所定命
    令まで退避する段階を含む請求項36記載の方法。
  38. 【請求項38】 さらに、プロセッササイクルに所定以
    上の命令をバッファからディスパッチ回路へ供給する段
    階を含む請求項27記載の方法。
  39. 【請求項39】 さらに、プロセッササイクルに前記複
    数の機能ユニットへ所定命令までディスパッチする段階
    を含む請求項35記載の方法。
  40. 【請求項40】 前記複数の機能ユニットが使用可能で
    あることを条件に前記複数の機能ユニットに命令をアウ
    トオブオーダで発行する段階を含む請求項35記載の方
    法。
  41. 【請求項41】 さらに、前記命令ストアをマイクロプ
    ロセッサにより実行される命令をストアする外部キャシ
    ュに結合する段階を含む請求項35記載の方法。
  42. 【請求項42】 さらに、プロセッササイクルに前記バ
    ッファリングされた複数の命令を複数の機能ユニットに
    供給することにより命令を同時にディスパッチする段階
    を含む請求項35記載の方法。
  43. 【請求項43】 スーパースカラーマイクロプロセッサ
    を用い、命令ストアから得た命令を実行する方法であっ
    て、 プログラム順序にある複数の命令を前記命令ストアから
    フェッチする段階、 第1のデコーダをフェッチ回路に応答させる段階、 前記複数の命令を前記デコーダからバッファへバッファ
    リングする段階、 ディスパッチ回路を用いて、バッファにバッファリング
    された複数の命令の1つ以上をデコードし、同時にディ
    スパッチする段階、 アウトオブオーダでディスパッチ回路によりディスパッ
    チされた複数の命令の1つを複数の機能ユニットのそれ
    ぞれで実行する段階、 前記複数の機能ユニットからのアウトオブオーダ実行結
    果をレジスタファイルの一時レジスタに一時ストアし、
    前記一時レジスタから前記レジスタファイルのレジスタ
    アレイへ実行結果をストアする段階、 前記レジスタファイルから前記機能ユニットの1つ以上
    へデータを供給し、前記複数の機能ユニットによって複
    数命令の1つ以上を同時に実行可能とする段階、 とを含むスーパースカラーマイクロプロセッサを用いた
    命令実行方法。
  44. 【請求項44】 さらに、アウトオブオータで実行され
    た複数の命令をプログラム順序にして退避させ、データ
    値を前記一時レジスタからレジスタアレイへ転送する段
    階を含む請求項43記載の方法。
  45. 【請求項45】 さらに、プロセッササイクルに所定命
    令まで退避する段階を含む請求項43記載の方法。
  46. 【請求項46】 さらに、プロセッササイクルに所定以
    上の命令をディスパッチ回路へ供給する段階を含む請求
    項43記載の方法。
  47. 【請求項47】 さらに、プロセッササイクルに前記複
    数の機能ユニットへ所定命令までディスパッチする段階
    を含む請求項43記載の方法。
  48. 【請求項48】 前記複数の機能ユニットが使用可能で
    あることを条件に前記複数の機能ユニットに命令をアウ
    トオブオーダで発行する段階を含む請求項43記載の方
    法。
  49. 【請求項49】 さらに、前記命令ストアをマイクロプ
    ロセッサにより実行される命令をストアする外部キャシ
    ュに結合する段階を含む請求項35記載の方法。
  50. 【請求項50】 さらに、プロセッササイクルに前記バ
    ッファリングされた複数の命令を複数の機能ユニットに
    供給することにより命令を同時にディスパッチする段階
    を含む請求項43記載の方法。
  51. 【請求項51】 スーパースカラーマイクロプロセッサ
    を用い、命令ストアから得た命令を実行する方法であっ
    て、 プログラム順序にある複数の命令を前記命令ストアから
    フェッチする段階、 分岐デコーダをフェッチ回路に応答させる段階、 デコードし、ディスパッチ回路を用いて前記複数の命令
    の1つ以上を前記分岐デコーダから同時にディスパッチ
    する段階、 アウトオブオーダでディスパッチ回路によりディスパッ
    チされた複数の命令の少なくとも1つを複数の機能ユニ
    ットで実行する段階、 前記複数の機能ユニットからのアウトオブオーダ実行結
    果をレジスタファイルの一時レジスタに一時ストアし、
    前記一時レジスタから前記レジスタファイルのレジスタ
    アレイへ実行結果をストアする段階、 前記レジスタファイルから前記機能ユニットの1つ以上
    へデータを供給し、前記複数の機能ユニットによって複
    数命令の1つ以上を同時に実行可能とする段階、 とを含むスーパースカラーマイクロプロセッサを用いた
    命令実行方法。
  52. 【請求項52】 さらに、アウトオブオータで実行され
    た複数の命令をプログラム順序にして退避させ、データ
    値を前記一時レジスタからレジスタアレイへ転送する段
    階を含む請求項51記載の方法。
  53. 【請求項53】 さらに、プロセッササイクルに所定命
    令まで退避する段階を含む請求項52記載の方法。
  54. 【請求項54】 さらに、プロセッササイクルに所定以
    上の命令を機能ユニットへディスパッチする段階を含む
    請求項51記載の方法。
  55. 【請求項55】 前記複数の機能ユニットが使用可能で
    あることを条件に前記複数の機能ユニットに命令をアウ
    トオブオーダで発行する段階を含む請求項51記載の方
    法。
  56. 【請求項56】 さらに、前記命令ストアをマイクロプ
    ロセッサにより実行される命令をストアする外部キャシ
    ュに結合する段階を含む請求項51記載の方法。
  57. 【請求項57】 さらに、プロセッササイクルに前記バ
    ッファリングされた複数の命令を複数の機能ユニットに
    供給することにより命令を同時にディスパッチする段階
    を含む請求項51記載の方法。
  58. 【請求項58】 スーパースカラーマイクロプロセッサ
    を用い、命令ストアから得た命令を実行する方法であっ
    て、 プログラム順序にある複数の命令を前記命令ストアから
    フェッチする段階、 第1のデコーダをフェッチ回路に応答させる段階、 デコードし、ディスパッチ回路を用いて前記複数の命令
    の1つ以上を前記第1のデコーダから同時にディスパッ
    チする段階、 アウトオブオーダでディスパッチ回路によりディスパッ
    チされた複数の命令の少なくとも1つを複数の機能ユニ
    ットで実行する段階、 前記複数の機能ユニットからのアウトオブオーダ実行結
    果をレジスタファイルの一時レジスタに一時ストアし、
    前記一時レジスタから前記レジスタファイルのレジスタ
    アレイへ実行結果をストアする段階、 前記レジスタファイルから前記機能ユニットの1つ以上
    へデータを供給し、前記複数の機能ユニットによって複
    数命令の1つ以上を同時に実行可能とする段階、 とを含むスーパースカラーマイクロプロセッサを用いた
    命令実行方法。
  59. 【請求項59】 さらに、アウトオブオータで実行され
    た複数の命令をプログラム順序にして退避させ、データ
    値を前記一時レジスタからレジスタアレイへ転送する段
    階を含む請求項58記載の方法。
  60. 【請求項60】 さらに、プロセッササイクルに所定命
    令まで退避する段階を含む請求項59記載の方法。
  61. 【請求項61】 さらに、プロセッササイクルに所定以
    上の命令を機能ユニットへディスパッチする段階を含む
    請求項58記載の方法。
  62. 【請求項62】 前記複数の機能ユニットが使用可能で
    あることを条件に前記複数の機能ユニットに命令をアウ
    トオブオーダで発行する段階を含む請求項58記載の方
    法。
  63. 【請求項63】 さらに、前記命令ストアをマイクロプ
    ロセッサにより実行される命令をストアする外部キャシ
    ュに結合する段階を含む請求項51記載の方法。
  64. 【請求項64】 さらに、プロセッササイクルに前記バ
    ッファリングされた複数の命令を複数の機能ユニットに
    供給することにより命令を同時にディスパッチする段階
    を含む請求項58記載の方法。
  65. 【請求項65】 スーパースカラーマイクロプロセッサ
    を用い、命令ストアから得た命令を実行する方法であっ
    て、 プログラム順序にある複数の命令を前記命令ストアから
    フェッチする段階、 第1のデコーダをフェッチ回路に応答させる段階、 前記複数の命令を前記デコーダからFIFOバッファへ
    バッファリングする段階、 前記FIFOにバッファリングされた複数の命令の1つ
    以上を第2のデコーダでデコードする段階、 ディスパッチ回路を用いて第2のデコーダでデコードさ
    れた複数の命令の1つ以上をディスパッチする段階、 アウトオブオーダでディスパッチ回路によりディスパッ
    チされた複数の命令の少なくとも1つを複数の機能ユニ
    ットで実行する段階、 前記複数の機能ユニットからのアウトオブオーダ実行結
    果をレジスタファイルの一時レジスタに一時ストアし、
    前記一時レジスタから前記レジスタファイルのレジスタ
    アレイへ実行結果をストアする段階、 前記レジスタファイルから前記機能ユニットの1つ以上
    へデータを供給し、前記複数の機能ユニットによって複
    数命令の1つ以上を同時に実行可能とする段階、 とを含むスーパースカラーマイクロプロセッサを用いた
    命令実行方法。
  66. 【請求項66】 さらに、アウトオブオータで実行され
    た複数の命令をプログラム順序にして退避させ、データ
    値を前記一時レジスタからレジスタアレイへ転送する段
    階を含む請求項65記載の方法。
  67. 【請求項67】 さらに、プロセッササイクルに4命令
    まで退避する段階を含む請求項66記載の方法。
  68. 【請求項68】 さらに、プロセッササイクルに4以上
    の命令をFIFOバッファからディスパッチ回路へ供給
    する段階を含む請求項65記載の方法。
  69. 【請求項69】 さらに、プロセッササイクルに前記複
    数の機能ユニットへ4命令までディスパッチする段階を
    含む請求項65記載の方法。
  70. 【請求項70】 前記複数の機能ユニットが使用可能で
    あることを条件に前記複数の機能ユニットに命令をアウ
    トオブオーダで発行する段階を含む請求項65記載の方
    法。
  71. 【請求項71】 さらに、前記命令ストアをマイクロプ
    ロセッサにより実行される命令をストアする外部キャシ
    ュに結合する段階を含む請求項65記載の方法。
  72. 【請求項72】 さらに、プロセッササイクルに前記バ
    ッファリングされた複数の命令を複数の機能ユニットに
    供給することにより命令を同時にディスパッチする段階
    を含む請求項65記載の方法。
  73. 【請求項73】 スーパースカラーマイクロプロセッサ
    を用い、命令ストアから得た命令を実行する方法であっ
    て、 プログラム順序にある複数の命令を前記命令ストアから
    フェッチする段階、 第1のデコーダをフェッチ回路に応答させる段階、 前記複数の命令を前記第1のデコーダからバッファリン
    グする段階、 前記バッファにバッファリングされた複数の命令の1つ
    以上を第2のデコーダでデコードする段階、 第2のデコーダでデコードされた複数の命令の1つ以上
    をディスパッチする段階、 アウトオブオーダでディスパッチ回路によりディスパッ
    チされた複数の命令の少なくとも1つを複数の機能ユニ
    ットで実行する段階、 前記複数の機能ユニットからのアウトオブオーダ実行結
    果をレジスタファイルの一時レジスタに一時ストアし、
    前記一時レジスタから前記レジスタファイルのレジスタ
    アレイへ実行結果をストアする段階、 前記レジスタファイルから前記機能ユニットの1つ以上
    へデータを供給し、前記複数の機能ユニットによって複
    数命令の1つ以上を同時に実行可能とする段階、 とを含むスーパースカラーマイクロプロセッサを用いた
    命令実行方法。
  74. 【請求項74】 さらに、アウトオブオータで実行され
    た複数の命令をプログラム順序にして退避させ、データ
    値を前記一時レジスタからレジスタアレイへ転送する段
    階を含む請求項73記載の方法。
  75. 【請求項75】 さらに、プロセッササイクルに所定命
    令まで退避する段階を含む請求項74記載の方法。
  76. 【請求項76】 さらに、プロセッササイクルに4以上
    の命令をディスパッチ回路へ供給する段階を含む請求項
    73記載の方法。
  77. 【請求項77】 さらに、プロセッササイクルに前記バ
    ッファから前記複数の機能ユニットへ所定命令までディ
    スパッチする段階を含む請求項73記載の方法。
  78. 【請求項78】 前記複数の機能ユニットが使用可能で
    あることを条件に前記複数の機能ユニットに命令をアウ
    トオブオーダで発行する段階を含む請求項73記載の方
    法。
  79. 【請求項79】 さらに、前記命令ストアをマイクロプ
    ロセッサにより実行される命令をストアする外部キャシ
    ュに結合する段階を含む請求項73記載の方法。
  80. 【請求項80】 さらに、プロセッササイクルに前記バ
    ッファリングされた複数の命令を複数の機能ユニットに
    供給することにより命令を同時にディスパッチする段階
    を含む請求項65記載の方法。
JP2002267998A 1991-07-08 2002-09-13 マイクロプロセッサを用いた命令実行方法 Expired - Lifetime JP3702873B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US72700691A 1991-07-08 1991-07-08
US727,006 1991-07-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP50215093A Division JP3441070B2 (ja) 1991-07-08 1992-07-07 高性能riscマイクロプロセッサ及びデータ処理装置

Related Child Applications (3)

Application Number Title Priority Date Filing Date
JP2004266867A Division JP3729202B2 (ja) 1991-07-08 2004-09-14 スーパースカラーマイクロプロセッサ
JP2004367718A Division JP3760948B2 (ja) 1991-07-08 2004-12-20 スーパースカラーマイクロプロセッサ
JP2005119940A Division JP3791542B2 (ja) 1991-07-08 2005-04-18 スーパースカラーマイクロプロセッサおよびデータ処理装置

Publications (2)

Publication Number Publication Date
JP2003131869A true JP2003131869A (ja) 2003-05-09
JP3702873B2 JP3702873B2 (ja) 2005-10-05

Family

ID=24920949

Family Applications (23)

Application Number Title Priority Date Filing Date
JP50215093A Expired - Lifetime JP3441070B2 (ja) 1991-07-08 1992-07-07 高性能riscマイクロプロセッサ及びデータ処理装置
JP2000145123A Expired - Lifetime JP3654137B2 (ja) 1991-07-08 2000-05-17 スーパースカラー型マイクロプロセッサ及びデータ処理装置
JP2000145125A Expired - Lifetime JP3654138B2 (ja) 1991-07-08 2000-05-17 スーパースカラー型マイクロプロセッサ及びデータ処理装置
JP2000145124A Withdrawn JP2000339159A (ja) 1991-07-08 2000-05-17 スーパースカラー型マイクロプロセッサ
JP2000145126A Withdrawn JP2000357091A (ja) 1991-07-08 2000-05-17 コンピュータシステム
JP2000146441A Expired - Lifetime JP3654139B2 (ja) 1991-07-08 2000-05-18 スーパースカラーマイクロプロセッサ及びデータ処理装置
JP2000146442A Withdrawn JP2000339162A (ja) 1991-07-08 2000-05-18 スーパースカラーマイクロプロセッサ
JP2000146443A Withdrawn JP2000339163A (ja) 1991-07-08 2000-05-18 スーパースカラーマイクロプロセッサ、該プロセッサシステム、該プロセッサシステムにおけるデータ処理方法、及び該プロセッサを備えたコンピュータシステム
JP2002267998A Expired - Lifetime JP3702873B2 (ja) 1991-07-08 2002-09-13 マイクロプロセッサを用いた命令実行方法
JP2004266867A Expired - Lifetime JP3729202B2 (ja) 1991-07-08 2004-09-14 スーパースカラーマイクロプロセッサ
JP2004299657A Expired - Lifetime JP3838252B2 (ja) 1991-07-08 2004-10-14 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2004329902A Expired - Lifetime JP3760947B2 (ja) 1991-07-08 2004-11-15 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2004367718A Expired - Lifetime JP3760948B2 (ja) 1991-07-08 2004-12-20 スーパースカラーマイクロプロセッサ
JP2005011006A Expired - Lifetime JP3731604B2 (ja) 1991-07-08 2005-01-19 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005041740A Expired - Lifetime JP3731605B2 (ja) 1991-07-08 2005-02-18 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005119940A Expired - Lifetime JP3791542B2 (ja) 1991-07-08 2005-04-18 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005145542A Expired - Lifetime JP3791544B2 (ja) 1991-07-08 2005-05-18 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005178170A Expired - Lifetime JP3791545B2 (ja) 1991-07-08 2005-06-17 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005208079A Expired - Lifetime JP3791547B2 (ja) 1991-07-08 2005-07-19 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005237341A Expired - Lifetime JP3791548B2 (ja) 1991-07-08 2005-08-18 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005271886A Expired - Lifetime JP3791550B2 (ja) 1991-07-08 2005-09-20 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2006162315A Expired - Lifetime JP3915842B2 (ja) 1991-07-08 2006-06-12 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2006191594A Withdrawn JP2006313564A (ja) 1991-07-08 2006-07-12 スーパースカラーマイクロプロセッサおよびデータ処理装置

Family Applications Before (8)

Application Number Title Priority Date Filing Date
JP50215093A Expired - Lifetime JP3441070B2 (ja) 1991-07-08 1992-07-07 高性能riscマイクロプロセッサ及びデータ処理装置
JP2000145123A Expired - Lifetime JP3654137B2 (ja) 1991-07-08 2000-05-17 スーパースカラー型マイクロプロセッサ及びデータ処理装置
JP2000145125A Expired - Lifetime JP3654138B2 (ja) 1991-07-08 2000-05-17 スーパースカラー型マイクロプロセッサ及びデータ処理装置
JP2000145124A Withdrawn JP2000339159A (ja) 1991-07-08 2000-05-17 スーパースカラー型マイクロプロセッサ
JP2000145126A Withdrawn JP2000357091A (ja) 1991-07-08 2000-05-17 コンピュータシステム
JP2000146441A Expired - Lifetime JP3654139B2 (ja) 1991-07-08 2000-05-18 スーパースカラーマイクロプロセッサ及びデータ処理装置
JP2000146442A Withdrawn JP2000339162A (ja) 1991-07-08 2000-05-18 スーパースカラーマイクロプロセッサ
JP2000146443A Withdrawn JP2000339163A (ja) 1991-07-08 2000-05-18 スーパースカラーマイクロプロセッサ、該プロセッサシステム、該プロセッサシステムにおけるデータ処理方法、及び該プロセッサを備えたコンピュータシステム

Family Applications After (14)

Application Number Title Priority Date Filing Date
JP2004266867A Expired - Lifetime JP3729202B2 (ja) 1991-07-08 2004-09-14 スーパースカラーマイクロプロセッサ
JP2004299657A Expired - Lifetime JP3838252B2 (ja) 1991-07-08 2004-10-14 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2004329902A Expired - Lifetime JP3760947B2 (ja) 1991-07-08 2004-11-15 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2004367718A Expired - Lifetime JP3760948B2 (ja) 1991-07-08 2004-12-20 スーパースカラーマイクロプロセッサ
JP2005011006A Expired - Lifetime JP3731604B2 (ja) 1991-07-08 2005-01-19 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005041740A Expired - Lifetime JP3731605B2 (ja) 1991-07-08 2005-02-18 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005119940A Expired - Lifetime JP3791542B2 (ja) 1991-07-08 2005-04-18 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005145542A Expired - Lifetime JP3791544B2 (ja) 1991-07-08 2005-05-18 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005178170A Expired - Lifetime JP3791545B2 (ja) 1991-07-08 2005-06-17 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005208079A Expired - Lifetime JP3791547B2 (ja) 1991-07-08 2005-07-19 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005237341A Expired - Lifetime JP3791548B2 (ja) 1991-07-08 2005-08-18 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2005271886A Expired - Lifetime JP3791550B2 (ja) 1991-07-08 2005-09-20 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2006162315A Expired - Lifetime JP3915842B2 (ja) 1991-07-08 2006-06-12 スーパースカラーマイクロプロセッサおよびデータ処理装置
JP2006191594A Withdrawn JP2006313564A (ja) 1991-07-08 2006-07-12 スーパースカラーマイクロプロセッサおよびデータ処理装置

Country Status (8)

Country Link
US (19) US5539911A (ja)
EP (3) EP0547241B1 (ja)
JP (23) JP3441070B2 (ja)
KR (42) KR100633578B1 (ja)
AT (3) ATE450826T1 (ja)
DE (4) DE03024585T1 (ja)
HK (2) HK1014782A1 (ja)
WO (1) WO1993001545A1 (ja)

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5226126A (en) * 1989-02-24 1993-07-06 Nexgen Microsystems Processor having plurality of functional units for orderly retiring outstanding operations based upon its associated tags
US5768575A (en) * 1989-02-24 1998-06-16 Advanced Micro Devices, Inc. Semi-Autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for sepculative and out-of-order execution of complex instructions
US5781753A (en) 1989-02-24 1998-07-14 Advanced Micro Devices, Inc. Semi-autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for speculative and out-of-order execution of complex instructions
JPH04367936A (ja) * 1991-06-17 1992-12-21 Mitsubishi Electric Corp スーパースカラープロセッサ
US5961629A (en) * 1991-07-08 1999-10-05 Seiko Epson Corporation High performance, superscalar-based computer system with out-of-order instruction execution
DE69233493T2 (de) 1991-07-08 2005-07-28 Seiko Epson Corp. RISC-Prozessor mit erweiterbarer Architektur
US5539911A (en) 1991-07-08 1996-07-23 Seiko Epson Corporation High-performance, superscalar-based computer system with out-of-order instruction execution
US5493687A (en) 1991-07-08 1996-02-20 Seiko Epson Corporation RISC microprocessor architecture implementing multiple typed register sets
US5438668A (en) * 1992-03-31 1995-08-01 Seiko Epson Corporation System and method for extraction, alignment and decoding of CISC instructions into a nano-instruction bucket for execution by a RISC computer
EP0636256B1 (en) 1992-03-31 1997-06-04 Seiko Epson Corporation Superscalar risc processor instruction scheduling
US5371684A (en) * 1992-03-31 1994-12-06 Seiko Epson Corporation Semiconductor floor plan for a register renaming circuit
EP0638183B1 (en) * 1992-05-01 1997-03-05 Seiko Epson Corporation A system and method for retiring instructions in a superscalar microprocessor
DE69333319T2 (de) * 1992-09-18 2004-09-16 Hitachi, Ltd. Datenverarbeitungssystem mit synchronem, dynamischem Speicher in integrierter Schaltkreistechnik
US6735685B1 (en) 1992-09-29 2004-05-11 Seiko Epson Corporation System and method for handling load and/or store operations in a superscalar microprocessor
EP0663083B1 (en) * 1992-09-29 2000-12-20 Seiko Epson Corporation System and method for handling load and/or store operations in a superscalar microprocessor
US5628021A (en) 1992-12-31 1997-05-06 Seiko Epson Corporation System and method for assigning tags to control instruction processing in a superscalar processor
JP3531166B2 (ja) 1992-12-31 2004-05-24 セイコーエプソン株式会社 レジスタ・リネーミングのシステム及び方法
JP2596712B2 (ja) * 1993-07-01 1997-04-02 インターナショナル・ビジネス・マシーンズ・コーポレイション 近接した分岐命令を含む命令の実行を管理するシステム及び方法
JP2801135B2 (ja) * 1993-11-26 1998-09-21 富士通株式会社 パイプラインプロセッサの命令読み出し方法及び命令読み出し装置
US6393550B1 (en) * 1993-12-30 2002-05-21 Intel Corporation Method and apparatus for pipeline streamlining where resources are immediate or certainly retired
US5956753A (en) * 1993-12-30 1999-09-21 Intel Corporation Method and apparatus for handling speculative memory access operations
US5724536A (en) * 1994-01-04 1998-03-03 Intel Corporation Method and apparatus for blocking execution of and storing load operations during their execution
US5546597A (en) * 1994-02-28 1996-08-13 Intel Corporation Ready selection of data dependent instructions using multi-cycle cams in a processor performing out-of-order instruction execution
US5564056A (en) * 1994-03-01 1996-10-08 Intel Corporation Method and apparatus for zero extension and bit shifting to preserve register parameters in a microprocessor utilizing register renaming
JP3212213B2 (ja) * 1994-03-16 2001-09-25 株式会社日立製作所 データ処理装置
US5903741A (en) * 1995-01-25 1999-05-11 Advanced Micro Devices, Inc. Method of allocating a fixed reorder buffer storage line for execution results regardless of a number of concurrently dispatched instructions
US5901302A (en) * 1995-01-25 1999-05-04 Advanced Micro Devices, Inc. Superscalar microprocessor having symmetrical, fixed issue positions each configured to execute a particular subset of instructions
US5878244A (en) * 1995-01-25 1999-03-02 Advanced Micro Devices, Inc. Reorder buffer configured to allocate storage capable of storing results corresponding to a maximum number of concurrently receivable instructions regardless of a number of instructions received
US6237082B1 (en) 1995-01-25 2001-05-22 Advanced Micro Devices, Inc. Reorder buffer configured to allocate storage for instruction results corresponding to predefined maximum number of concurrently receivable instructions independent of a number of instructions received
US5778434A (en) * 1995-06-07 1998-07-07 Seiko Epson Corporation System and method for processing multiple requests and out of order returns
US5710902A (en) * 1995-09-06 1998-01-20 Intel Corporation Instruction dependency chain indentifier
US5694565A (en) * 1995-09-11 1997-12-02 International Business Machines Corporation Method and device for early deallocation of resources during load/store multiple operations to allow simultaneous dispatch/execution of subsequent instructions
US5897665A (en) * 1995-12-15 1999-04-27 Intel Corporation Register addressing for register-register architectures used for microprocessors and microcontrollers
US5930490A (en) * 1996-01-02 1999-07-27 Advanced Micro Devices, Inc. Microprocessor configured to switch instruction sets upon detection of a plurality of consecutive instructions
US5860000A (en) * 1996-01-31 1999-01-12 Hitachi Micro Systems, Inc. Floating point unit pipeline synchronized with processor pipeline
US5715425A (en) * 1996-02-22 1998-02-03 Sun Microsystems, Inc. Apparatus and method for prefetching data into an external cache
US5652774A (en) * 1996-07-08 1997-07-29 International Business Machines Corporation Method and apparatus for decreasing the cycle times of a data processing system
US5872951A (en) * 1996-07-26 1999-02-16 Advanced Micro Design, Inc. Reorder buffer having a future file for storing speculative instruction execution results
US5915110A (en) * 1996-07-26 1999-06-22 Advanced Micro Devices, Inc. Branch misprediction recovery in a reorder buffer having a future file
US5946468A (en) * 1996-07-26 1999-08-31 Advanced Micro Devices, Inc. Reorder buffer having an improved future file for storing speculative instruction execution results
US5983342A (en) * 1996-09-12 1999-11-09 Advanced Micro Devices, Inc. Superscalar microprocessor employing a future file for storing results into multiportion registers
US5774694A (en) * 1996-09-25 1998-06-30 Intel Corporation Method and apparatus for emulating status flag
US5815688A (en) * 1996-10-09 1998-09-29 Hewlett-Packard Company Verification of accesses in a functional model of a speculative out-of-order computer system
US5838941A (en) * 1996-12-30 1998-11-17 Intel Corporation Out-of-order superscalar microprocessor with a renaming device that maps instructions from memory to registers
US6195746B1 (en) 1997-01-31 2001-02-27 International Business Machines Corporation Dynamically typed register architecture
US5864701A (en) * 1997-02-14 1999-01-26 Integrated Device Technology, Inc. Apparatus and method for managing interrupt delay associated with mask flag transition
US5974538A (en) * 1997-02-21 1999-10-26 Wilmot, Ii; Richard Byron Method and apparatus for annotating operands in a computer system with source instruction identifiers
US6263416B1 (en) * 1997-06-27 2001-07-17 Sun Microsystems, Inc. Method for reducing number of register file ports in a wide instruction issue processor
US6035388A (en) 1997-06-27 2000-03-07 Sandcraft, Inc. Method and apparatus for dual issue of program instructions to symmetric multifunctional execution units
US5944810A (en) * 1997-06-27 1999-08-31 Sun Microsystems, Inc. Superscalar processor for retiring multiple instructions in working register file by changing the status bits associated with each execution result to identify valid data
US6128728A (en) * 1997-08-01 2000-10-03 Micron Technology, Inc. Virtual shadow registers and virtual register windows
US5966142A (en) * 1997-09-19 1999-10-12 Cirrus Logic, Inc. Optimized FIFO memory
US6249857B1 (en) * 1997-10-20 2001-06-19 Motorola, Inc. Apparatus using a multiple instruction register logarithm based processor
US6112293A (en) * 1997-11-17 2000-08-29 Advanced Micro Devices, Inc. Processor configured to generate lookahead results from operand collapse unit and for inhibiting receipt/execution of the first instruction based on the lookahead result
US6493790B1 (en) * 1998-01-30 2002-12-10 Sun Microsystems, Inc. Translation-lookaside buffer with current tracking reference circuit
US6345355B1 (en) 1998-05-29 2002-02-05 Telefonaktiebolaget Lm Ericsson (Publ) Method and apparatus for distributing commands to a plurality of circuit blocks
US6317820B1 (en) 1998-06-05 2001-11-13 Texas Instruments Incorporated Dual-mode VLIW architecture providing a software-controlled varying mix of instruction-level and task-level parallelism
US6266761B1 (en) * 1998-06-12 2001-07-24 International Business Machines Corporation Method and system in an information processing system for efficient maintenance of copies of values stored within registers
EP1105793A4 (en) * 1998-08-21 2007-07-25 California Inst Of Techn TREATMENT ELEMENT APPLYING PARTICULARLY TO BRANCHING FUNCTIONS
US6308262B1 (en) * 1998-09-30 2001-10-23 Intel Corporation System and method for efficient processing of instructions using control unit to select operations
US6163155A (en) * 1999-01-28 2000-12-19 Dresser Industries, Inc. Electromagnetic wave resistivity tool having a tilted antenna for determining the horizontal and vertical resistivities and relative dip angle in anisotropic earth formations
US6882642B1 (en) * 1999-10-14 2005-04-19 Nokia, Inc. Method and apparatus for input rate regulation associated with a packet processing pipeline
US6470427B1 (en) 1999-11-09 2002-10-22 International Business Machines Corporation Programmable agent and method for managing prefetch queues
US6889315B2 (en) * 1999-12-17 2005-05-03 Fujitsu Limited Processor and method of controlling the same
US6609193B1 (en) * 1999-12-30 2003-08-19 Intel Corporation Method and apparatus for multi-thread pipelined instruction decoder
US6467027B1 (en) * 1999-12-30 2002-10-15 Intel Corporation Method and system for an INUSE field resource management scheme
US6601162B1 (en) * 2000-01-19 2003-07-29 Kabushiki Kaisha Toshiba Processor which executes pipeline processing having a plurality of stages and which has an operand bypass predicting function
US7149883B1 (en) * 2000-03-30 2006-12-12 Intel Corporation Method and apparatus selectively to advance a write pointer for a queue based on the indicated validity or invalidity of an instruction stored within the queue
US6606684B1 (en) 2000-03-31 2003-08-12 Intel Corporation Multi-tiered memory bank having different data buffer sizes with a programmable bank select
US6446181B1 (en) * 2000-03-31 2002-09-03 Intel Corporation System having a configurable cache/SRAM memory
US6785802B1 (en) 2000-06-01 2004-08-31 Stmicroelectronics, Inc. Method and apparatus for priority tracking in an out-of-order instruction shelf of a high performance superscalar microprocessor
US7080183B1 (en) * 2000-08-16 2006-07-18 Koninklijke Philips Electronics N.V. Reprogrammable apparatus supporting the processing of a digital signal stream and method
SE0003398D0 (sv) * 2000-09-22 2000-09-22 Ericsson Telefon Ab L M Optimization of a pipelined processor system
US6754808B1 (en) * 2000-09-29 2004-06-22 Intel Corporation Valid bit generation and tracking in a pipelined processor
US7149878B1 (en) * 2000-10-30 2006-12-12 Mips Technologies, Inc. Changing instruction set architecture mode by comparison of current instruction execution address with boundary address register values
US7079133B2 (en) * 2000-11-16 2006-07-18 S3 Graphics Co., Ltd. Superscalar 3D graphics engine
US7162718B1 (en) * 2000-12-12 2007-01-09 International Business Machines Corporation Language extension for light weight threading in a JVM
US7069422B2 (en) * 2000-12-22 2006-06-27 Modelski Richard P Load-shift carry instruction
US7007156B2 (en) * 2000-12-28 2006-02-28 Intel Corporation Multiple coprocessor architecture to process a plurality of subtasks in parallel
US6643755B2 (en) * 2001-02-20 2003-11-04 Koninklijke Philips Electronics N.V. Cyclically sequential memory prefetch
US6493814B2 (en) 2001-03-08 2002-12-10 International Business Machines Corporation Reducing resource collisions associated with memory units in a multi-level hierarchy memory system
US7711926B2 (en) * 2001-04-18 2010-05-04 Mips Technologies, Inc. Mapping system and method for instruction set processing
US6826681B2 (en) * 2001-06-18 2004-11-30 Mips Technologies, Inc. Instruction specified register value saving in allocated caller stack or not yet allocated callee stack
US7107439B2 (en) * 2001-08-10 2006-09-12 Mips Technologies, Inc. System and method of controlling software decompression through exceptions
US7191430B2 (en) * 2001-09-24 2007-03-13 Hewlett-Packard Development Company, L.P. Providing instruction execution hints to a processor using break instructions
JP2003140886A (ja) * 2001-10-31 2003-05-16 Seiko Epson Corp インストラクションセット及びコンパイラ
JP4272371B2 (ja) * 2001-11-05 2009-06-03 パナソニック株式会社 デバッグ支援装置、コンパイラ装置、デバッグ支援プログラム、コンパイラプログラム、及びコンピュータ読取可能な記録媒体。
US7376811B2 (en) * 2001-11-06 2008-05-20 Netxen, Inc. Method and apparatus for performing computations and operations on data using data steering
JP3878508B2 (ja) * 2001-11-08 2007-02-07 松下電器産業株式会社 回路群制御システム
US6895460B2 (en) * 2002-07-19 2005-05-17 Hewlett-Packard Development Company, L.P. Synchronization of asynchronous emulated interrupts
US7120068B2 (en) * 2002-07-29 2006-10-10 Micron Technology, Inc. Column/row redundancy architecture using latches programmed from a look up table
US7493478B2 (en) * 2002-12-05 2009-02-17 International Business Machines Corporation Enhanced processor virtualization mechanism via saving and restoring soft processor/system states
US7502910B2 (en) * 2003-01-28 2009-03-10 Sun Microsystems, Inc. Sideband scout thread processor for reducing latency associated with a main processor
US20040148489A1 (en) * 2003-01-28 2004-07-29 Sun Microsystems, Inc. Sideband VLIW processor
US20040199749A1 (en) * 2003-04-03 2004-10-07 Robert Golla Method and apparatus to limit register file read ports in an out-of-order, multi-stranded processor
US7873110B2 (en) * 2003-06-17 2011-01-18 Broadcom Corporation MPEG smart video transport processor with different transport packet handling
US7428631B2 (en) * 2003-07-31 2008-09-23 Intel Corporation Apparatus and method using different size rename registers for partial-bit and bulk-bit writes
US7552426B2 (en) * 2003-10-14 2009-06-23 Microsoft Corporation Systems and methods for using synthetic instructions in a virtual machine
US7310722B2 (en) 2003-12-18 2007-12-18 Nvidia Corporation Across-thread out of order instruction dispatch in a multithreaded graphics processor
US20050138297A1 (en) * 2003-12-23 2005-06-23 Intel Corporation Register file cache
US7171545B2 (en) * 2003-12-30 2007-01-30 Intel Corporation Predictive filtering of register cache entry
US7484045B2 (en) 2004-03-30 2009-01-27 Intel Corporation Store performance in strongly-ordered microprocessor architecture
US7437536B2 (en) * 2004-05-03 2008-10-14 Sony Computer Entertainment Inc. Systems and methods for task migration
US7868902B1 (en) * 2004-05-14 2011-01-11 Nvidia Corporation System and method for pixel data row forwarding in a 3-D graphics pipeline
US7724263B2 (en) * 2004-05-14 2010-05-25 Nvidia Corporation System and method for a universal data write unit in a 3-D graphics pipeline including generic cache memories
US20050273559A1 (en) 2004-05-19 2005-12-08 Aris Aristodemou Microprocessor architecture including unified cache debug unit
US8225034B1 (en) * 2004-06-30 2012-07-17 Oracle America, Inc. Hybrid instruction buffer
US7200693B2 (en) * 2004-08-27 2007-04-03 Micron Technology, Inc. Memory system and method having unidirectional data buses
US20080162877A1 (en) * 2005-02-24 2008-07-03 Erik Richter Altman Non-Homogeneous Multi-Processor System With Shared Memory
JP2007041837A (ja) * 2005-08-03 2007-02-15 Nec Electronics Corp 命令プリフェッチ装置及び命令プリフェッチ方法
JP4841861B2 (ja) * 2005-05-06 2011-12-21 ルネサスエレクトロニクス株式会社 演算処理装置及びデータ転送処理の実行方法
US20070028027A1 (en) * 2005-07-26 2007-02-01 Micron Technology, Inc. Memory device and method having separate write data and read data buses
US8375247B2 (en) 2005-08-29 2013-02-12 The Invention Science Fund I, Llc Handling processor computational errors
US8181004B2 (en) * 2005-08-29 2012-05-15 The Invention Science Fund I, Llc Selecting a resource management policy for a resource available to a processor
US8423824B2 (en) 2005-08-29 2013-04-16 The Invention Science Fund I, Llc Power sparing synchronous apparatus
US8209524B2 (en) * 2005-08-29 2012-06-26 The Invention Science Fund I, Llc Cross-architecture optimization
US20070050605A1 (en) * 2005-08-29 2007-03-01 Bran Ferren Freeze-dried ghost pages
US8214191B2 (en) * 2005-08-29 2012-07-03 The Invention Science Fund I, Llc Cross-architecture execution optimization
US8255745B2 (en) * 2005-08-29 2012-08-28 The Invention Science Fund I, Llc Hardware-error tolerant computing
US7647487B2 (en) 2005-08-29 2010-01-12 Searete, Llc Instruction-associated processor resource optimization
US7877584B2 (en) * 2005-08-29 2011-01-25 The Invention Science Fund I, Llc Predictive processor resource management
US7627739B2 (en) * 2005-08-29 2009-12-01 Searete, Llc Optimization of a hardware resource shared by a multiprocessor
US7739524B2 (en) * 2005-08-29 2010-06-15 The Invention Science Fund I, Inc Power consumption management
US7725693B2 (en) 2005-08-29 2010-05-25 Searete, Llc Execution optimization using a processor resource management policy saved in an association with an instruction group
US20070050606A1 (en) * 2005-08-29 2007-03-01 Searete Llc, A Limited Liability Corporation Of The State Of Delaware Runtime-based optimization profile
US8516300B2 (en) * 2005-08-29 2013-08-20 The Invention Science Fund I, Llc Multi-votage synchronous systems
US7653834B2 (en) 2005-08-29 2010-01-26 Searete, Llc Power sparing synchronous apparatus
US7779213B2 (en) * 2005-08-29 2010-08-17 The Invention Science Fund I, Inc Optimization of instruction group execution through hardware resource management policies
US7774558B2 (en) * 2005-08-29 2010-08-10 The Invention Science Fund I, Inc Multiprocessor resource optimization
US8981996B2 (en) * 2005-09-27 2015-03-17 Qualcomm Incorporated Position location using transmitters with timing offset and phase adjustment
US8212823B2 (en) 2005-09-28 2012-07-03 Synopsys, Inc. Systems and methods for accelerating sub-pixel interpolation in video processing applications
WO2007069000A1 (en) 2005-12-16 2007-06-21 Freescale Semiconductor, Inc. Device and method for processing instructions
US8266413B2 (en) * 2006-03-14 2012-09-11 The Board Of Trustees Of The University Of Illinois Processor architecture for multipass processing of instructions downstream of a stalled instruction
US8327115B2 (en) 2006-04-12 2012-12-04 Soft Machines, Inc. Plural matrices of execution units for processing matrices of row dependent instructions in single clock cycle in super or separate mode
US7685467B2 (en) * 2006-04-27 2010-03-23 Texas Instruments Incorporated Data system simulated event and matrix debug of pipelined processor
US7647486B2 (en) 2006-05-02 2010-01-12 Atmel Corporation Method and system having instructions with different execution times in different modes, including a selected execution time different from default execution times in a first mode and a random execution time in a second mode
US20080077777A1 (en) * 2006-09-25 2008-03-27 Arm Limited Register renaming for instructions having unresolved condition codes
CN107368285B (zh) 2006-11-14 2020-10-09 英特尔公司 多线程架构
US7664932B2 (en) 2007-04-13 2010-02-16 Microsoft Corporation Scalable and configurable execution pipeline of handlers having policy information for selectively acting on payload
US8082540B2 (en) * 2007-04-19 2011-12-20 International Business Machines Corporation Method for visually indicating preceding and succeeding source code lines that are executed in a graphical debugging environment
JP2008305185A (ja) * 2007-06-07 2008-12-18 Nec Electronics Corp プロセッサ装置及び複合条件処理方法
JP4896837B2 (ja) * 2007-08-20 2012-03-14 株式会社東芝 携帯可能電子装置および携帯可能電子装置の制御方法
US7823117B1 (en) * 2007-12-21 2010-10-26 Xilinx, Inc. Separating a high-level programming language program into hardware and software components
US8176406B2 (en) * 2008-03-19 2012-05-08 International Business Machines Corporation Hard error detection
US20090249035A1 (en) * 2008-03-28 2009-10-01 International Business Machines Corporation Multi-cycle register file bypass
US20090289943A1 (en) * 2008-05-22 2009-11-26 Howard Teece Anti-aliasing system and method
KR101012121B1 (ko) * 2008-06-05 2011-02-07 경상북도 (관련부서:경상북도축산기술연구소장) 송아지 사육 케이지
KR100892857B1 (ko) * 2008-07-30 2009-04-15 주식회사 유비콘테크놀로지 시스템온칩의 내부 메모리 장치 및 그 운영방법
GB2463278B (en) * 2008-09-05 2012-05-16 Advanced Risc Mach Ltd Scheduling control within a data processing system
US8312442B2 (en) * 2008-12-10 2012-11-13 Oracle America, Inc. Method and system for interprocedural prefetching
US9690625B2 (en) * 2009-06-16 2017-06-27 Oracle America, Inc. System and method for out-of-order resource allocation and deallocation in a threaded machine
KR101032771B1 (ko) * 2009-05-29 2011-05-06 광운대학교 산학협력단 구성형 프로세서에서 risc 명령어와 확장 명령어를 병렬 처리하기 위한 방법 및 그에 따른 구성형 프로세서
KR200448337Y1 (ko) * 2009-12-31 2010-04-05 임준기 통풍기능을 구비한 농산물 받침대
CN102193775B (zh) * 2010-04-27 2015-07-29 威盛电子股份有限公司 微处理器融合搬运/算术逻辑运算/条件跳跃指令
EP3156896B1 (en) 2010-09-17 2020-04-08 Soft Machines, Inc. Single cycle multi-branch prediction including shadow cache for early far branch prediction
CN103262027B (zh) 2010-10-12 2016-07-20 索夫特机械公司 用于存储具有可可靠预测的指令序列的分支的指令序列缓冲器
TWI541721B (zh) * 2010-10-12 2016-07-11 軟體機器公司 使用指令序列緩衝器來增強分支預測效能的方法、系統及微處理器
US9710270B2 (en) * 2010-12-20 2017-07-18 International Business Machines Corporation Exception control method, system, and program
KR101826121B1 (ko) 2011-03-25 2018-02-06 인텔 코포레이션 분할가능한 엔진에 의해 인스턴스화된 가상 코어를 이용한 코드 블록의 실행을 지원하는 메모리 프래그먼트
WO2012135041A2 (en) 2011-03-25 2012-10-04 Soft Machines, Inc. Register file segments for supporting code block execution by using virtual cores instantiated by partitionable engines
US9766893B2 (en) 2011-03-25 2017-09-19 Intel Corporation Executing instruction sequence code blocks by using virtual cores instantiated by partitionable engines
CN102789377B (zh) 2011-05-18 2015-09-30 国际商业机器公司 处理指令分组信息的方法和装置
TWI548994B (zh) 2011-05-20 2016-09-11 軟體機器公司 以複數個引擎支援指令序列的執行之互連結構
CN107729267B (zh) 2011-05-20 2022-01-25 英特尔公司 资源的分散分配以及用于支持由多个引擎执行指令序列的互连结构
US8683261B2 (en) 2011-07-20 2014-03-25 International Business Machines Corporation Out of order millicode control operation
US9354874B2 (en) 2011-10-03 2016-05-31 International Business Machines Corporation Scalable decode-time instruction sequence optimization of dependent instructions
US8615745B2 (en) 2011-10-03 2013-12-24 International Business Machines Corporation Compiling code for an enhanced application binary interface (ABI) with decode time instruction optimization
US9286072B2 (en) 2011-10-03 2016-03-15 International Business Machines Corporation Using register last use infomation to perform decode-time computer instruction optimization
US10078515B2 (en) 2011-10-03 2018-09-18 International Business Machines Corporation Tracking operand liveness information in a computer system and performing function based on the liveness information
US9690583B2 (en) 2011-10-03 2017-06-27 International Business Machines Corporation Exploiting an architected list-use operand indication in a computer system operand resource pool
US9329869B2 (en) 2011-10-03 2016-05-03 International Business Machines Corporation Prefix computer instruction for compatibily extending instruction functionality
US9697002B2 (en) 2011-10-03 2017-07-04 International Business Machines Corporation Computer instructions for activating and deactivating operands
US8612959B2 (en) 2011-10-03 2013-12-17 International Business Machines Corporation Linking code for an enhanced application binary interface (ABI) with decode time instruction optimization
US8756591B2 (en) 2011-10-03 2014-06-17 International Business Machines Corporation Generating compiled code that indicates register liveness
US10191746B2 (en) 2011-11-22 2019-01-29 Intel Corporation Accelerated code optimizer for a multiengine microprocessor
KR101703400B1 (ko) 2011-11-22 2017-02-06 소프트 머신즈, 인크. 마이크로프로세서 가속 코드 최적화기
KR101912427B1 (ko) 2011-12-12 2018-10-29 삼성전자주식회사 재구성가능 프로세서 및 재구성가능 프로세서의 미니 코어
WO2013095597A1 (en) * 2011-12-22 2013-06-27 Intel Corporation Systems, apparatuses, and methods for performing an absolute difference calculation between corresponding packed data elements of two vector registers
US20130326196A1 (en) * 2011-12-23 2013-12-05 Elmoustapha Ould-Ahmed-Vall Systems, apparatuses, and methods for performing vector packed unary decoding using masks
US8930674B2 (en) 2012-03-07 2015-01-06 Soft Machines, Inc. Systems and methods for accessing a unified translation lookaside buffer
US9152566B2 (en) * 2012-06-15 2015-10-06 International Business Machines Corporation Prefetch address translation using prefetch buffer based on availability of address translation logic
US10255944B2 (en) * 2012-06-27 2019-04-09 Marvell World Trade Ltd. Systems and methods for reading and decoding encoded data from a storage device
US9916253B2 (en) 2012-07-30 2018-03-13 Intel Corporation Method and apparatus for supporting a plurality of load accesses of a cache in a single cycle to maintain throughput
US9710399B2 (en) 2012-07-30 2017-07-18 Intel Corporation Systems and methods for flushing a cache with modified data
US9229873B2 (en) 2012-07-30 2016-01-05 Soft Machines, Inc. Systems and methods for supporting a plurality of load and store accesses of a cache
US9740612B2 (en) 2012-07-30 2017-08-22 Intel Corporation Systems and methods for maintaining the coherency of a store coalescing cache and a load cache
US9678882B2 (en) 2012-10-11 2017-06-13 Intel Corporation Systems and methods for non-blocking implementation of cache flush instructions
US10299934B2 (en) * 2012-12-11 2019-05-28 Globus Medical, Inc Expandable vertebral implant
US20140281413A1 (en) * 2013-03-14 2014-09-18 Mips Technologies, Inc. Superforwarding Processor
KR101708591B1 (ko) 2013-03-15 2017-02-20 소프트 머신즈, 인크. 블록들로 그룹화된 멀티스레드 명령어들을 실행하기 위한 방법
US9436476B2 (en) * 2013-03-15 2016-09-06 Soft Machines Inc. Method and apparatus for sorting elements in hardware structures
US9904625B2 (en) 2013-03-15 2018-02-27 Intel Corporation Methods, systems and apparatus for predicting the way of a set associative cache
US10140138B2 (en) 2013-03-15 2018-11-27 Intel Corporation Methods, systems and apparatus for supporting wide and efficient front-end operation with guest-architecture emulation
WO2014150806A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for populating register view data structure by using register template snapshots
US9811342B2 (en) 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
WO2014150991A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for implementing a reduced size register view data structure in a microprocessor
US9569216B2 (en) 2013-03-15 2017-02-14 Soft Machines, Inc. Method for populating a source view data structure by using register template snapshots
US20140281116A1 (en) 2013-03-15 2014-09-18 Soft Machines, Inc. Method and Apparatus to Speed up the Load Access and Data Return Speed Path Using Early Lower Address Bits
US9582322B2 (en) 2013-03-15 2017-02-28 Soft Machines Inc. Method and apparatus to avoid deadlock during instruction scheduling using dynamic port remapping
EP2972836B1 (en) 2013-03-15 2022-11-09 Intel Corporation A method for emulating a guest centralized flag architecture by using a native distributed flag architecture
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
US9627038B2 (en) 2013-03-15 2017-04-18 Intel Corporation Multiport memory cell having improved density area
US9886279B2 (en) 2013-03-15 2018-02-06 Intel Corporation Method for populating and instruction view data structure by using register template snapshots
US10275255B2 (en) 2013-03-15 2019-04-30 Intel Corporation Method for dependency broadcasting through a source organized source view data structure
WO2014150971A1 (en) 2013-03-15 2014-09-25 Soft Machines, Inc. A method for dependency broadcasting through a block organized source view data structure
GB2523823B (en) * 2014-03-07 2021-06-16 Advanced Risc Mach Ltd Data processing apparatus and method for processing vector operands
US9841974B2 (en) * 2014-04-25 2017-12-12 Avago Technologies General Ip (Singapore) Pte. Ltd. Renaming with generation numbers
WO2015175555A1 (en) 2014-05-12 2015-11-19 Soft Machines, Inc. Method and apparatus for providing hardware support for self-modifying code
CN104156196B (zh) * 2014-06-12 2017-10-27 龚伟峰 重命名预处理方法
US9678758B2 (en) 2014-09-26 2017-06-13 Qualcomm Incorporated Coprocessor for out-of-order loads
US9483409B2 (en) 2015-02-05 2016-11-01 International Business Machines Corporation Store forwarding cache
US10620952B2 (en) 2015-06-24 2020-04-14 International Business Machines Corporation Conversion of boolean conditions
US10705841B2 (en) 2015-06-24 2020-07-07 International Business Machines Corporation Instruction to perform a logical operation on conditions and to quantize the Boolean result of that operation
US10698688B2 (en) 2015-06-24 2020-06-30 International Business Machines Corporation Efficient quantization of compare results
US9794660B2 (en) 2015-09-25 2017-10-17 Intel Corporation Integrated sound bar hinge assembly for mobile electronic device
US10445091B1 (en) * 2016-03-30 2019-10-15 Apple Inc. Ordering instructions in a processing core instruction buffer
US11687345B2 (en) 2016-04-28 2023-06-27 Microsoft Technology Licensing, Llc Out-of-order block-based processors and instruction schedulers using ready state data indexed by instruction position identifiers
US10496409B2 (en) 2016-11-22 2019-12-03 The Arizona Board Of Regents Method and system for managing control of instruction and process execution in a programmable computing system
US10162680B2 (en) * 2016-12-13 2018-12-25 GM Global Technology Operations LLC Control of data exchange between a primary core and a secondary core using a freeze process flag and a data frozen flag in real-time
US10983799B1 (en) 2017-12-19 2021-04-20 Apple Inc. Selection of instructions to issue in a processor
US11422821B1 (en) 2018-09-04 2022-08-23 Apple Inc. Age tracking for independent pipelines
CN109614145B (zh) * 2018-10-18 2021-03-09 中国科学院计算技术研究所 一种处理器核心结构及数据访存方法
CN111488176B (zh) * 2019-01-25 2023-04-18 阿里巴巴集团控股有限公司 一种指令调度方法、装置、设备及存储介质
US11176055B1 (en) 2019-08-06 2021-11-16 Marvell Asia Pte, Ltd. Managing potential faults for speculative page table access
US11573802B2 (en) * 2019-10-23 2023-02-07 Texas Instruments Incorporated User mode event handling
US11579884B2 (en) * 2020-06-26 2023-02-14 Advanced Micro Devices, Inc. Instruction address translation and caching for primary and alternate branch prediction paths
US11656876B2 (en) 2020-10-29 2023-05-23 Cadence Design Systems, Inc. Removal of dependent instructions from an execution pipeline
US11243778B1 (en) * 2020-12-31 2022-02-08 Microsoft Technology Licensing, Llc Instruction dispatch for superscalar processors
US11886883B2 (en) 2021-08-26 2024-01-30 International Business Machines Corporation Dependency skipping in a load-compare-jump sequence of instructions by incorporating compare functionality into the jump instruction and auto-finishing the compare instruction
US11809874B2 (en) 2022-02-01 2023-11-07 Apple Inc. Conditional instructions distribution and execution on pipelines having different latencies for mispredictions
WO2023150114A1 (en) * 2022-02-01 2023-08-10 Apple Inc. Conditional instructions prediction

Family Cites Families (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3346851A (en) * 1964-07-08 1967-10-10 Control Data Corp Simultaneous multiprocessing computer system
US3718912A (en) * 1970-12-22 1973-02-27 Ibm Instruction execution unit
US3789365A (en) * 1971-06-03 1974-01-29 Bunker Ramo Processor interrupt system
US3771138A (en) * 1971-08-31 1973-11-06 Ibm Apparatus and method for serializing instructions from two independent instruction streams
US3913074A (en) 1973-12-18 1975-10-14 Honeywell Inf Systems Search processing apparatus
JPS5110746A (ja) 1974-07-17 1976-01-28 Hitachi Ltd
US4041461A (en) * 1975-07-25 1977-08-09 International Business Machines Corporation Signal analyzer system
US4034349A (en) * 1976-01-29 1977-07-05 Sperry Rand Corporation Apparatus for processing interrupts in microprocessing systems
US4128880A (en) 1976-06-30 1978-12-05 Cray Research, Inc. Computer vector register processing
US4212076A (en) 1976-09-24 1980-07-08 Giddings & Lewis, Inc. Digital computer structure providing arithmetic and boolean logic operations, the latter controlling the former
US4101086A (en) 1977-07-20 1978-07-18 Eastman Kodak Company Yarn tie-up and transfer tail method, and yarn package tube and apparatus for the method
US4210960A (en) * 1977-09-02 1980-07-01 Sperry Corporation Digital computer with overlapped operation utilizing conditional control to minimize time losses
US4199811A (en) * 1977-09-02 1980-04-22 Sperry Corporation Microprogrammable computer utilizing concurrently operating processors
US4237532A (en) * 1977-09-02 1980-12-02 Sperry Corporation Table driven decision and control logic for digital computers
US4296465A (en) * 1977-11-03 1981-10-20 Honeywell Information Systems Inc. Data mover
AU529675B2 (en) * 1977-12-07 1983-06-16 Honeywell Information Systems Incorp. Cache memory unit
US4315314A (en) * 1977-12-30 1982-02-09 Rca Corporation Priority vectored interrupt having means to supply branch address directly
US4200927A (en) * 1978-01-03 1980-04-29 International Business Machines Corporation Multi-instruction stream branch processing mechanism
US4228495A (en) * 1978-12-19 1980-10-14 Allen-Bradley Company Multiprocessor numerical control system
US4315308A (en) 1978-12-21 1982-02-09 Intel Corporation Interface between a microprocessor chip and peripheral subsystems
US4296470A (en) * 1979-06-21 1981-10-20 International Business Machines Corp. Link register storage and restore system for use in an instruction pre-fetch micro-processor interrupt system
JPS5616248A (en) * 1979-07-17 1981-02-17 Matsushita Electric Ind Co Ltd Processing system for interruption
US4336024A (en) * 1980-02-22 1982-06-22 Airwick Industries, Inc. Process for cleaning clothes at home
JPS6028015B2 (ja) * 1980-08-28 1985-07-02 日本電気株式会社 情報処理装置
US4434461A (en) * 1980-09-15 1984-02-28 Motorola, Inc. Microprocessor with duplicate registers for processing interrupts
JPS5757345A (en) * 1980-09-24 1982-04-06 Toshiba Corp Data controller
JPS57150040A (en) 1981-03-11 1982-09-16 Mitsubishi Electric Corp Pipeline computer
JPS57155666A (en) * 1981-03-20 1982-09-25 Fujitsu Ltd Instruction controlling system of vector processor
US4574349A (en) 1981-03-30 1986-03-04 International Business Machines Corp. Apparatus for addressing a larger number of instruction addressable central processor registers than can be identified by a program instruction
US4814979A (en) 1981-04-01 1989-03-21 Teradata Corporation Network to transmit prioritized subtask pockets to dedicated processors
JPS57204125A (en) 1981-06-10 1982-12-14 Hitachi Ltd Electron-ray drawing device
US4482950A (en) 1981-09-24 1984-11-13 Dshkhunian Valery Single-chip microcomputer
US4498134A (en) 1982-01-26 1985-02-05 Hughes Aircraft Company Segregator functional plane for use in a modular array processor
JPS58151655A (ja) * 1982-03-03 1983-09-08 Fujitsu Ltd 情報処理装置
US4434641A (en) * 1982-03-11 1984-03-06 Ball Corporation Buckle resistance for metal container closures
US4484272A (en) * 1982-07-14 1984-11-20 Burroughs Corporation Digital computer for executing multiple instruction sets in a simultaneous-interleaved fashion
JPS5932045A (ja) * 1982-08-16 1984-02-21 Hitachi Ltd 情報処理装置
US4500963A (en) 1982-11-29 1985-02-19 The United States Of America As Represented By The Secretary Of The Army Automatic layout program for hybrid microcircuits (HYPAR)
US4597054A (en) 1982-12-02 1986-06-24 Ncr Corporation Arbiter circuit and method
US4594655A (en) * 1983-03-14 1986-06-10 International Business Machines Corporation (k)-Instructions-at-a-time pipelined processor for parallel execution of inherently sequential instructions
US4800486A (en) * 1983-09-29 1989-01-24 Tandem Computers Incorporated Multiple data patch CPU architecture
US4807115A (en) * 1983-10-07 1989-02-21 Cornell Research Foundation, Inc. Instruction issuing mechanism for processors with multiple functional units
GB8329509D0 (en) * 1983-11-04 1983-12-07 Inmos Ltd Computer
JPS60120439A (ja) * 1983-12-05 1985-06-27 Nec Corp 演算処理装置
JPS60168238A (ja) * 1984-02-10 1985-08-31 Hitachi Ltd パイプラインデータ処理装置
US4561051A (en) 1984-02-10 1985-12-24 Prime Computer, Inc. Memory access method and apparatus in multiple processor systems
AU553416B2 (en) * 1984-02-24 1986-07-17 Fujitsu Limited Pipeline processing
JPS60225943A (ja) * 1984-04-25 1985-11-11 Hitachi Ltd 例外割込み処理方式
US4648045A (en) 1984-05-23 1987-03-03 The Board Of Trustees Of The Leland Standford Jr. University High speed memory and processor system for raster display
JPS6140650A (ja) 1984-08-02 1986-02-26 Nec Corp マイクロコンピユ−タ
US4766564A (en) * 1984-08-13 1988-08-23 International Business Machines Corporation Dual putaway/bypass busses for multiple arithmetic units
US4677545A (en) 1984-10-12 1987-06-30 American Telephone And Telegraph Company Microprocessor having macro-rom and main program queues
US4991081A (en) 1984-10-31 1991-02-05 Texas Instruments Incorporated Cache memory addressable by both physical and virtual addresses
US4775927A (en) 1984-10-31 1988-10-04 International Business Machines Corporation Processor including fetch operation for branch instruction with control tag
JPH0731603B2 (ja) * 1984-11-21 1995-04-10 ノビツクス Forth特定言語マイクロプロセサ
JPS61133439A (ja) 1984-12-04 1986-06-20 Nec Corp 命令先取り制御方式
JPH0652784B2 (ja) 1984-12-07 1994-07-06 富士通株式会社 ゲートアレイ集積回路装置及びその製造方法
US4829467A (en) 1984-12-21 1989-05-09 Canon Kabushiki Kaisha Memory controller including a priority order determination circuit
CA1242803A (en) * 1984-12-27 1988-10-04 Nobuhisa Watanabe Microprocessor with option area facilitating interfacing with peripheral devices
US5255384A (en) 1985-02-22 1993-10-19 Intergraph Corporation Memory address translation system having modifiable and non-modifiable translation mechanisms
US4714994A (en) * 1985-04-30 1987-12-22 International Business Machines Corp. Instruction prefetch buffer control
JPH0762823B2 (ja) * 1985-05-22 1995-07-05 株式会社日立製作所 デ−タ処理装置
CA1254661A (en) * 1985-06-28 1989-05-23 Allen J. Baum Method and means for instruction combination for code compression
US4613941A (en) 1985-07-02 1986-09-23 The United States Of America As Represented By The Secretary Of The Army Routing method in computer aided customization of a two level automated universal array
US4945479A (en) 1985-07-31 1990-07-31 Unisys Corporation Tightly coupled scientific processing system
US4734852A (en) * 1985-08-30 1988-03-29 Advanced Micro Devices, Inc. Mechanism for performing data references to storage in parallel with instruction execution on a reduced instruction-set processor
US4777588A (en) 1985-08-30 1988-10-11 Advanced Micro Devices, Inc. General-purpose register file optimized for intraprocedural register allocation, procedure calls, and multitasking performance
US4722049A (en) * 1985-10-11 1988-01-26 Unisys Corporation Apparatus for out-of-order program execution
US4719569A (en) 1985-10-11 1988-01-12 Sun Microsystems, Inc. Arbitrator for allocating access to data processing resources
JPH0622035B2 (ja) * 1985-11-13 1994-03-23 株式会社日立製作所 ベクトル処理装置
JPS62152043A (ja) * 1985-12-26 1987-07-07 Nec Corp 命令コ−ドアクセス制御方式
EP0239081B1 (en) * 1986-03-26 1995-09-06 Hitachi, Ltd. Pipelined data processor capable of decoding and executing plural instructions in parallel
IL81762A0 (en) * 1986-04-11 1987-10-20 Symbolics Inc Instruction prefetch unit
JP2545789B2 (ja) 1986-04-14 1996-10-23 株式会社日立製作所 情報処理装置
US4809169A (en) * 1986-04-23 1989-02-28 Advanced Micro Devices, Inc. Parallel, multiple coprocessor computer architecture having plural execution modes
US4903196A (en) * 1986-05-02 1990-02-20 International Business Machines Corporation Method and apparatus for guaranteeing the logical integrity of data in the general purpose registers of a complex multi-execution unit uniprocessor
US4811208A (en) 1986-05-16 1989-03-07 Intel Corporation Stack frame cache on a microprocessor chip
US5051940A (en) * 1990-04-04 1991-09-24 International Business Machines Corporation Data dependency collapsing hardware apparatus
JP2684362B2 (ja) 1986-06-18 1997-12-03 株式会社日立製作所 可変長データの記憶方式
US4814978A (en) 1986-07-15 1989-03-21 Dataflow Computer Corporation Dataflow processing element, multiprocessor, and processes
JPS6324428A (ja) * 1986-07-17 1988-02-01 Mitsubishi Electric Corp キヤツシユメモリ
US4942323A (en) * 1986-07-28 1990-07-17 Decesare Dominic Two pole electric motor with stator winding encircling the rotor
US4766566A (en) * 1986-08-18 1988-08-23 International Business Machines Corp. Performance enhancement scheme for a RISC type VLSI processor using dual execution units for parallel instruction processing
JPS6393041A (ja) * 1986-10-07 1988-04-23 Mitsubishi Electric Corp 計算機
JPH0793358B2 (ja) 1986-11-10 1995-10-09 日本電気株式会社 ブロック配置処理方式
US4841453A (en) 1986-11-10 1989-06-20 Ibm Corporation Multidirectional scan and print capability
JPS63131230A (ja) * 1986-11-21 1988-06-03 Hitachi Ltd 情報処理装置
JPH0810430B2 (ja) 1986-11-28 1996-01-31 株式会社日立製作所 情報処理装置
US5283903A (en) 1986-12-25 1994-02-01 Nec Corporation Priority selector
US5226170A (en) * 1987-02-24 1993-07-06 Digital Equipment Corporation Interface between processor and special instruction processor in digital data processing system
US5179689A (en) 1987-03-13 1993-01-12 Texas Instruments Incorporated Dataprocessing device with instruction cache
US4833599A (en) * 1987-04-20 1989-05-23 Multiflow Computer, Inc. Hierarchical priority branch handling for parallel execution in a parallel processor
US4858116A (en) 1987-05-01 1989-08-15 Digital Equipment Corporation Method and apparatus for managing multiple lock indicators in a multiprocessor computer system
JP2510591B2 (ja) * 1987-06-12 1996-06-26 株式会社日立製作所 命令処理装置
JPH07113903B2 (ja) 1987-06-26 1995-12-06 株式会社日立製作所 キャッシュ記憶制御方式
US4992938A (en) * 1987-07-01 1991-02-12 International Business Machines Corporation Instruction control mechanism for a computing system with register renaming, map table and queues indicating available registers
US5134561A (en) 1987-07-20 1992-07-28 International Business Machines Corporation Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries
US4901233A (en) 1987-07-20 1990-02-13 International Business Machines Corporation Computer system with logic for writing instruction identifying data into array control lists for precise post-branch recoveries
JP2624484B2 (ja) 1987-07-31 1997-06-25 三井東圧化学株式会社 中国語の入力処理方法
US5150309A (en) 1987-08-04 1992-09-22 Texas Instruments Incorporated Comprehensive logic circuit layout system
US4980817A (en) 1987-08-31 1990-12-25 Digital Equipment Vector register system for executing plural read/write commands concurrently and independently routing data to plural read/write ports
US4991078A (en) * 1987-09-29 1991-02-05 Digital Equipment Corporation Apparatus and method for a pipelined central processing unit in a data processing system
EP0312764A3 (en) 1987-10-19 1991-04-10 International Business Machines Corporation A data processor having multiple execution units for processing plural classes of instructions in parallel
US5089951A (en) 1987-11-05 1992-02-18 Kabushiki Kaisha Toshiba Microcomputer incorporating memory
US5197136A (en) * 1987-11-12 1993-03-23 Matsushita Electric Industrial Co., Ltd. Processing system for branch instruction
US4823201A (en) 1987-11-16 1989-04-18 Technology, Inc. 64 Processor for expanding a compressed video signal
US5185878A (en) 1988-01-20 1993-02-09 Advanced Micro Device, Inc. Programmable cache memory as well as system incorporating same and method of operating programmable cache memory
US4926323A (en) * 1988-03-03 1990-05-15 Advanced Micro Devices, Inc. Streamlined instruction processor
JPH0769821B2 (ja) * 1988-03-04 1995-07-31 日本電気株式会社 情報処理装置におけるバイパスライン制御方式
JPH01228865A (ja) 1988-03-09 1989-09-12 Minolta Camera Co Ltd プリンタ制御装置
US5187796A (en) * 1988-03-29 1993-02-16 Computer Motion, Inc. Three-dimensional vector co-processor having I, J, and K register files and I, J, and K execution units
US5155817A (en) 1988-04-01 1992-10-13 Kabushiki Kaisha Toshiba Microprocessor
US5301278A (en) 1988-04-29 1994-04-05 International Business Machines Corporation Flexible dynamic memory controller
US5003462A (en) * 1988-05-31 1991-03-26 International Business Machines Corporation Apparatus and method for implementing precise interrupts on a pipelined processor with multiple functional units with separate address translation interrupt means
US4897810A (en) * 1988-06-13 1990-01-30 Advanced Micro Devices, Inc. Asynchronous interrupt status bit circuit
US5261057A (en) 1988-06-30 1993-11-09 Wang Laboratories, Inc. I/O bus to system interface
US5097409A (en) 1988-06-30 1992-03-17 Wang Laboratories, Inc. Multi-processor system with cache memories
JP2761506B2 (ja) 1988-07-08 1998-06-04 株式会社日立製作所 主記憶制御装置
JPH0222736A (ja) 1988-07-12 1990-01-25 Nec Corp 中央処理装置
US5032985A (en) 1988-07-21 1991-07-16 International Business Machines Corporation Multiprocessor system with memory fetch buffer invoked during cross-interrogation
US5148536A (en) 1988-07-25 1992-09-15 Digital Equipment Corporation Pipeline having an integral cache which processes cache misses and loads data in parallel
US5291615A (en) 1988-08-11 1994-03-01 Kabushiki Kaisha Toshiba Instruction pipeline microprocessor
JPH0673105B2 (ja) * 1988-08-11 1994-09-14 株式会社東芝 命令パイプライン方式のマイクロプロセッサ
US4974155A (en) * 1988-08-15 1990-11-27 Evans & Sutherland Computer Corp. Variable delay branch system
US5101341A (en) * 1988-08-25 1992-03-31 Edgcore Technology, Inc. Pipelined system for reducing instruction access time by accumulating predecoded instruction bits a FIFO
US5167035A (en) * 1988-09-08 1992-11-24 Digital Equipment Corporation Transferring messages between nodes in a network
JPH0287229A (ja) 1988-09-24 1990-03-28 Nec Corp 実行命令の先取り制御方式
US4879787A (en) 1988-10-03 1989-11-14 Walls Thomas J Shoe lace knot securing device
DE68927218T2 (de) 1988-10-18 1997-02-06 Hewlett Packard Co Verfahren und Vorrichtung für Zustandskode in einem Zentralprozessor
JP2672599B2 (ja) * 1988-10-18 1997-11-05 ヤマハ 株式会社 コンピュータシステム
JP2810068B2 (ja) * 1988-11-11 1998-10-15 株式会社日立製作所 プロセッサシステム、コンピュータシステム及び命令処理方法
JPH0769824B2 (ja) 1988-11-11 1995-07-31 株式会社日立製作所 複数命令同時処理方式
US5058451A (en) * 1988-11-11 1991-10-22 Kabushiki Kaisha Kobe Seiko Sho Control lever apparatus and actuator operation apparatus
KR920006613B1 (ko) * 1988-12-01 1992-08-10 재단법인한국전자통신연구소 파이프라인으로 동작하는 프로세서의 명령어 페취 유니트
GB8828817D0 (en) * 1988-12-09 1989-01-18 Int Computers Ltd Data processing apparatus
IL92605A0 (en) * 1988-12-19 1990-08-31 Bull Hn Information Syst Production line method and apparatus for high performance instruction execution
JPH0769811B2 (ja) * 1988-12-21 1995-07-31 松下電器産業株式会社 データ処理装置
US5148533A (en) 1989-01-05 1992-09-15 Bull Hn Information Systems Inc. Apparatus and method for data group coherency in a tightly coupled data processing system with plural execution and data cache units
US5125092A (en) 1989-01-09 1992-06-23 International Business Machines Corporation Method and apparatus for providing multiple condition code fields to to allow pipelined instructions contention free access to separate condition codes
JP2736092B2 (ja) 1989-01-10 1998-04-02 株式会社東芝 バッファ装置
US5127091A (en) * 1989-01-13 1992-06-30 International Business Machines Corporation System for reducing delay in instruction execution by executing branch instructions in separate processor while dispatching subsequent instructions to primary processor
US5075840A (en) * 1989-01-13 1991-12-24 International Business Machines Corporation Tightly coupled multiprocessor instruction synchronization
US5142634A (en) 1989-02-03 1992-08-25 Digital Equipment Corporation Branch prediction
US5109495A (en) 1989-02-03 1992-04-28 Digital Equipment Corp. Method and apparatus using a source operand list and a source operand pointer queue between the execution unit and the instruction decoding and operand processing units of a pipelined data processor
US5067069A (en) * 1989-02-03 1991-11-19 Digital Equipment Corporation Control of multiple functional units with parallel operation in a microcoded execution unit
US4985825A (en) * 1989-02-03 1991-01-15 Digital Equipment Corporation System for delaying processing of memory access exceptions until the execution stage of an instruction pipeline of a virtual memory system based digital computer
US5167026A (en) 1989-02-03 1992-11-24 Digital Equipment Corporation Simultaneously or sequentially decoding multiple specifiers of a variable length pipeline instruction based on detection of modified value of specifier registers
US5222223A (en) 1989-02-03 1993-06-22 Digital Equipment Corporation Method and apparatus for ordering and queueing multiple memory requests
US5142633A (en) * 1989-02-03 1992-08-25 Digital Equipment Corporation Preprocessing implied specifiers in a pipelined processor
US5125083A (en) 1989-02-03 1992-06-23 Digital Equipment Corporation Method and apparatus for resolving a variable number of potential memory access conflicts in a pipelined computer system
US5133074A (en) 1989-02-08 1992-07-21 Acer Incorporated Deadlock resolution with cache snooping
US5293500A (en) 1989-02-10 1994-03-08 Mitsubishi Denki K.K. Parallel processing method and apparatus
US5226166A (en) 1989-02-10 1993-07-06 Mitsubishi Denki K.K. Parallel operation processor with second command unit
JPH0630063B2 (ja) * 1989-02-17 1994-04-20 株式会社東芝 マイクロプロセッサ
US5768575A (en) * 1989-02-24 1998-06-16 Advanced Micro Devices, Inc. Semi-Autonomous RISC pipelines for overlapped execution of RISC-like instructions within the multiple superscalar execution units of a processor having distributed pipeline control for sepculative and out-of-order execution of complex instructions
US5226126A (en) * 1989-02-24 1993-07-06 Nexgen Microsystems Processor having plurality of functional units for orderly retiring outstanding operations based upon its associated tags
US5239633A (en) * 1989-03-24 1993-08-24 Mitsubishi Denki Kabushiki Kaisha Data processor executing memory indirect addressing and register indirect addressing
JPH02278337A (ja) 1989-04-19 1990-11-14 Nec Corp 命令キュー装置
US5119485A (en) 1989-05-15 1992-06-02 Motorola, Inc. Method for data bus snooping in a data processing system by selective concurrent read and invalidate cache operation
CN1168004C (zh) * 1989-05-17 2004-09-22 国际商业机器公司 在数据处理系统中提供容错环境和体系结构的装置
US5155809A (en) 1989-05-17 1992-10-13 International Business Machines Corp. Uncoupling a central processing unit from its associated hardware for interaction with data handling apparatus alien to the operating system controlling said unit and hardware
US5072364A (en) 1989-05-24 1991-12-10 Tandem Computers Incorporated Method and apparatus for recovering from an incorrect branch prediction in a processor that executes a family of instructions in parallel
CA2016068C (en) 1989-05-24 2000-04-04 Robert W. Horst Multiple instruction issue computer architecture
US5136697A (en) * 1989-06-06 1992-08-04 Advanced Micro Devices, Inc. System for reducing delay for execution subsequent to correctly predicted branch instruction using fetch information stored with each block of instructions in cache
US5129067A (en) 1989-06-06 1992-07-07 Advanced Micro Devices, Inc. Multiple instruction decoder for minimizing register port requirements
JPH0314025A (ja) * 1989-06-13 1991-01-22 Nec Corp 命令実行制御方式
DE69032812T2 (de) 1989-07-07 1999-04-29 Hitachi Ltd Vorrichtung und Verfahren zur parallelen Verarbeitung
JP2505887B2 (ja) * 1989-07-14 1996-06-12 富士通株式会社 命令処理システム
KR940000968B1 (ko) * 1989-08-28 1994-02-07 니뽄 덴끼 가부시끼가이샤 마이크로프로세서
US5317734A (en) * 1989-08-29 1994-05-31 North American Philips Corporation Method of synchronizing parallel processors employing channels and compiling method minimizing cross-processor data dependencies
JPH07120284B2 (ja) * 1989-09-04 1995-12-20 三菱電機株式会社 データ処理装置
US5303382A (en) 1989-09-21 1994-04-12 Digital Equipment Corporation Arbiter with programmable dynamic request prioritization
DE69031257T2 (de) * 1989-09-21 1998-02-12 Texas Instruments Inc Integrierte Schaltung mit einem eingebetteten digitalen Signalprozessor
JPH03137729A (ja) 1989-10-23 1991-06-12 Hokuriku Nippon Denki Software Kk 先行制御方式
JP2835103B2 (ja) * 1989-11-01 1998-12-14 富士通株式会社 命令指定方法及び命令実行方式
JPH03147134A (ja) 1989-11-02 1991-06-24 Oki Electric Ind Co Ltd 命令シーケンス制御装置
US5179530A (en) * 1989-11-03 1993-01-12 Zoran Corporation Architecture for integrated concurrent vector signal processor
EP0429733B1 (en) 1989-11-17 1999-04-28 Texas Instruments Incorporated Multiprocessor with crossbar between processors and memories
US5226125A (en) 1989-11-17 1993-07-06 Keith Balmer Switch matrix having integrated crosspoint logic and method of operation
US5487156A (en) * 1989-12-15 1996-01-23 Popescu; Valeri Processor architecture having independently fetching issuing and updating operations of instructions which are sequentially assigned and stored in order fetched
JPH03186928A (ja) * 1989-12-16 1991-08-14 Mitsubishi Electric Corp データ処理装置
US5179673A (en) 1989-12-18 1993-01-12 Digital Equipment Corporation Subroutine return prediction mechanism using ring buffer and comparing predicated address with actual address to validate or flush the pipeline
US5197130A (en) 1989-12-29 1993-03-23 Supercomputer Systems Limited Partnership Cluster architecture for a highly parallel scalar/vector multiprocessor system
US5251306A (en) 1990-01-16 1993-10-05 Advanced Micro Devices, Inc. Apparatus for controlling execution of a program in a computing device
JPH061463B2 (ja) 1990-01-16 1994-01-05 インターナショナル・ビジネス・マシーンズ・コーポレーション マルチプロセッサ・システムおよびそのプライベート・キャッシュ制御方法
US5222240A (en) 1990-02-14 1993-06-22 Intel Corporation Method and apparatus for delaying writing back the results of instructions to a processor
US5241636A (en) 1990-02-14 1993-08-31 Intel Corporation Method for parallel instruction execution in a computer
US5230068A (en) * 1990-02-26 1993-07-20 Nexgen Microsystems Cache memory system for dynamically altering single cache memory line as either branch target entry or pre-fetch instruction queue based upon instruction sequence
US5185872A (en) 1990-02-28 1993-02-09 Intel Corporation System for executing different cycle instructions by selectively bypassing scoreboard register and canceling the execution of conditionally issued instruction if needed resources are busy
US5120083A (en) 1990-03-19 1992-06-09 Henkels & Mccoy, Inc. Expansion joint for conduit for cables
JP2818249B2 (ja) 1990-03-30 1998-10-30 株式会社東芝 電子計算機
US5333280A (en) * 1990-04-06 1994-07-26 Nec Corporation Parallel pipelined instruction processing system for very long instruction word
IT1247640B (it) 1990-04-26 1994-12-28 St Microelectronics Srl Operazioni booleane tra due qualsiasi bit di due qualsiasi registri
US5201056A (en) 1990-05-02 1993-04-06 Motorola, Inc. RISC microprocessor architecture with multi-bit tag extended instructions for selectively attaching tag from either instruction or input data to arithmetic operation output
US5214763A (en) 1990-05-10 1993-05-25 International Business Machines Corporation Digital computer system capable of processing two or more instructions in parallel and having a coche and instruction compounding mechanism
DE69128741T2 (de) * 1990-05-18 1998-07-16 Koninkl Philips Electronics Nv Mehrstufiger Befehlscachespeicher und Verwendungsverfahren dafür
US5249286A (en) 1990-05-29 1993-09-28 National Semiconductor Corporation Selectively locking memory locations within a microprocessor's on-chip cache
CA2038264C (en) * 1990-06-26 1995-06-27 Richard James Eickemeyer In-memory preprocessor for a scalable compound instruction set machine processor
EP0463965B1 (en) * 1990-06-29 1998-09-09 Digital Equipment Corporation Branch prediction unit for high-performance processor
US5155843A (en) * 1990-06-29 1992-10-13 Digital Equipment Corporation Error transition mode for multi-processor system
EP0468831B1 (en) 1990-06-29 1997-10-15 Digital Equipment Corporation Bus protocol for write-back cache processor
CA2045773A1 (en) 1990-06-29 1991-12-30 Compaq Computer Corporation Byte-compare operation for high-performance processor
US5197132A (en) 1990-06-29 1993-03-23 Digital Equipment Corporation Register mapping system having a log containing sequential listing of registers that were changed in preceding cycles for precise post-branch recovery
JPH0475139A (ja) * 1990-07-18 1992-03-10 Toshiba Corp ループ並列化装置
JPH0814492B2 (ja) * 1990-09-21 1996-02-14 日本航空電子工業株式会社 光ファイバジャイロ
DE69130723T2 (de) * 1990-10-05 1999-07-22 Koninkl Philips Electronics Nv Verarbeitungsgerät mit Speicherschaltung und eine Gruppe von Funktionseinheiten
US5301340A (en) * 1990-10-31 1994-04-05 International Business Machines Corporation IC chips including ALUs and identical register files whereby a number of ALUs directly and concurrently write results to every register file per cycle
USH1291H (en) 1990-12-20 1994-02-01 Hinton Glenn J Microprocessor in which multiple instructions are executed in one clock cycle by providing separate machine bus access to a register file for different types of instructions
US5222244A (en) 1990-12-20 1993-06-22 Intel Corporation Method of modifying a microinstruction with operands specified by an instruction held in an alias register
US5303362A (en) 1991-03-20 1994-04-12 Digital Equipment Corporation Coupled memory multiprocessor computer system including cache coherency management protocols
US5261071A (en) 1991-03-21 1993-11-09 Control Data System, Inc. Dual pipe cache memory with out-of-order issue capability
US5287467A (en) * 1991-04-18 1994-02-15 International Business Machines Corporation Pipeline for removing and concurrently executing two or more branch instructions in synchronization with other instructions executing in the execution unit
US5488729A (en) * 1991-05-15 1996-01-30 Ross Technology, Inc. Central processing unit architecture with symmetric instruction scheduling to achieve multiple instruction launch and execution
US5355457A (en) 1991-05-21 1994-10-11 Motorola, Inc. Data processor for performing simultaneous instruction retirement and backtracking
US5630157A (en) 1991-06-13 1997-05-13 International Business Machines Corporation Computer organization for multiple and out-of-order execution of condition code testing and setting instructions
US5278963A (en) 1991-06-21 1994-01-11 International Business Machines Corporation Pretranslation of virtual addresses prior to page crossing
DE69233493T2 (de) 1991-07-08 2005-07-28 Seiko Epson Corp. RISC-Prozessor mit erweiterbarer Architektur
US5493687A (en) 1991-07-08 1996-02-20 Seiko Epson Corporation RISC microprocessor architecture implementing multiple typed register sets
US5539911A (en) 1991-07-08 1996-07-23 Seiko Epson Corporation High-performance, superscalar-based computer system with out-of-order instruction execution
US5961629A (en) * 1991-07-08 1999-10-05 Seiko Epson Corporation High performance, superscalar-based computer system with out-of-order instruction execution
DE69224887T2 (de) 1991-07-08 1998-07-23 Seiko Epson Corp Single-chip seitendrucker-steuerschaltung
US5440752A (en) 1991-07-08 1995-08-08 Seiko Epson Corporation Microprocessor architecture with a switch network for data transfer between cache, memory port, and IOU
JP3333196B2 (ja) 1991-07-08 2002-10-07 セイコーエプソン株式会社 トラップ処理方法
US5826055A (en) 1991-07-08 1998-10-20 Seiko Epson Corporation System and method for retiring instructions in a superscalar microprocessor
US5345569A (en) 1991-09-20 1994-09-06 Advanced Micro Devices, Inc. Apparatus and method for resolving dependencies among a plurality of instructions within a storage device
GB2260628A (en) 1991-10-11 1993-04-21 Intel Corp Line buffer for cache memory
JPH0820949B2 (ja) 1991-11-26 1996-03-04 松下電器産業株式会社 情報処理装置
US5285527A (en) 1991-12-11 1994-02-08 Northern Telecom Limited Predictive historical cache memory
US5617554A (en) 1992-02-10 1997-04-01 Intel Corporation Physical address size selection and page size selection in an address translator
US5398330A (en) 1992-03-05 1995-03-14 Seiko Epson Corporation Register file backup queue
JPH07504773A (ja) 1992-03-18 1995-05-25 セイコーエプソン株式会社 マルチ幅のメモリ・サブシステムをサポートするためのシステム並びに方法
US5371684A (en) 1992-03-31 1994-12-06 Seiko Epson Corporation Semiconductor floor plan for a register renaming circuit
EP0636256B1 (en) 1992-03-31 1997-06-04 Seiko Epson Corporation Superscalar risc processor instruction scheduling
JP3137729B2 (ja) 1992-04-09 2001-02-26 本田技研工業株式会社 ガバリ部品の製造方法
EP0638183B1 (en) 1992-05-01 1997-03-05 Seiko Epson Corporation A system and method for retiring instructions in a superscalar microprocessor
US5442756A (en) 1992-07-31 1995-08-15 Intel Corporation Branch prediction and resolution apparatus for a superscalar computer processor
US5619668A (en) 1992-08-10 1997-04-08 Intel Corporation Apparatus for register bypassing in a microprocessor
US6735685B1 (en) 1992-09-29 2004-05-11 Seiko Epson Corporation System and method for handling load and/or store operations in a superscalar microprocessor
US5524225A (en) 1992-12-18 1996-06-04 Advanced Micro Devices Inc. Cache system and method for providing software controlled writeback
JP3531166B2 (ja) 1992-12-31 2004-05-24 セイコーエプソン株式会社 レジスタ・リネーミングのシステム及び方法
US5628021A (en) 1992-12-31 1997-05-06 Seiko Epson Corporation System and method for assigning tags to control instruction processing in a superscalar processor
US5604912A (en) 1992-12-31 1997-02-18 Seiko Epson Corporation System and method for assigning tags to instructions to control instruction execution
US5627984A (en) 1993-03-31 1997-05-06 Intel Corporation Apparatus and method for entry allocation for a buffer resource utilizing an internal two cycle pipeline
US5577217A (en) 1993-05-14 1996-11-19 Intel Corporation Method and apparatus for a branch target buffer with shared branch pattern tables for associated branch predictions
JPH09500989A (ja) 1993-05-14 1997-01-28 インテル・コーポレーション 分岐ターゲット・バッファにおける推論履歴
JPH0728695A (ja) 1993-07-08 1995-01-31 Nec Corp メモリコントローラ
US5613132A (en) 1993-09-30 1997-03-18 Intel Corporation Integer and floating point register alias table within processor device
US5446912A (en) * 1993-09-30 1995-08-29 Intel Corporation Partial width stalls within register alias table
US5630149A (en) 1993-10-18 1997-05-13 Cyrix Corporation Pipelined processor with register renaming hardware to accommodate multiple size registers
EP0651321B1 (en) 1993-10-29 2001-11-14 Advanced Micro Devices, Inc. Superscalar microprocessors
US5689672A (en) 1993-10-29 1997-11-18 Advanced Micro Devices, Inc. Pre-decoded instruction cache and method therefor particularly suitable for variable byte-length instructions
JP3218524B2 (ja) 1993-12-22 2001-10-15 村田機械株式会社 ワークホルダーのはみ出し検出装置
US5574935A (en) 1993-12-29 1996-11-12 Intel Corporation Superscalar processor with a multi-port reorder buffer
US5630075A (en) 1993-12-30 1997-05-13 Intel Corporation Write combining buffer for sequentially addressed partial line operations originating from a single instruction
US5452426A (en) 1994-01-04 1995-09-19 Intel Corporation Coordinating speculative and committed state register source data and immediate source data in a processor
US5627985A (en) 1994-01-04 1997-05-06 Intel Corporation Speculative and committed resource files in an out-of-order processor
US5604877A (en) 1994-01-04 1997-02-18 Intel Corporation Method and apparatus for resolving return from subroutine instructions in a computer processor
US5619664A (en) 1994-01-04 1997-04-08 Intel Corporation Processor with architecture for improved pipelining of arithmetic instructions by forwarding redundant intermediate data forms
US5577200A (en) 1994-02-28 1996-11-19 Intel Corporation Method and apparatus for loading and storing misaligned data on an out-of-order execution computer system
US5564056A (en) 1994-03-01 1996-10-08 Intel Corporation Method and apparatus for zero extension and bit shifting to preserve register parameters in a microprocessor utilizing register renaming
US5608885A (en) 1994-03-01 1997-03-04 Intel Corporation Method for handling instructions from a branch prior to instruction decoding in a computer which executes variable-length instructions
US5630083A (en) 1994-03-01 1997-05-13 Intel Corporation Decoder for decoding multiple instructions in parallel
US5586278A (en) 1994-03-01 1996-12-17 Intel Corporation Method and apparatus for state recovery following branch misprediction in an out-of-order microprocessor
US5625788A (en) 1994-03-01 1997-04-29 Intel Corporation Microprocessor with novel instruction for signaling event occurrence and for providing event handling information in response thereto
US5623628A (en) 1994-03-02 1997-04-22 Intel Corporation Computer system and method for maintaining memory consistency in a pipelined, non-blocking caching bus request queue
US5394351A (en) 1994-03-11 1995-02-28 Nexgen, Inc. Optimized binary adder and comparator having an implicit constant for an input
US5574927A (en) * 1994-03-25 1996-11-12 International Meta Systems, Inc. RISC architecture computer configured for emulation of the instruction set of a target computer
US5490280A (en) 1994-03-31 1996-02-06 Intel Corporation Apparatus and method for entry allocation for a resource buffer
US5615126A (en) 1994-08-24 1997-03-25 Lsi Logic Corporation High-speed internal interconnection technique for integrated circuits that reduces the number of signal lines through multiplexing
US5819101A (en) 1994-12-02 1998-10-06 Intel Corporation Method for packing a plurality of packed data elements in response to a pack instruction
KR100329338B1 (ko) 1994-12-02 2002-07-18 피터 엔. 데트킨 복합피연산자의팩연산을수행하는마이크로프로세서
US5666494A (en) 1995-03-31 1997-09-09 Samsung Electronics Co., Ltd. Queue management mechanism which allows entries to be processed in any order
US6385634B1 (en) 1995-08-31 2002-05-07 Intel Corporation Method for performing multiply-add operations on packed data
US5745375A (en) 1995-09-29 1998-04-28 Intel Corporation Apparatus and method for controlling power usage
US5778210A (en) * 1996-01-11 1998-07-07 Intel Corporation Method and apparatus for recovering the state of a speculatively scheduled operation in a processor which cannot be executed at the speculated time
US5832205A (en) * 1996-08-20 1998-11-03 Transmeta Corporation Memory controller for a microprocessor for detecting a failure of speculation on the physical nature of a component being addressed
US5961129A (en) * 1997-02-07 1999-10-05 Post; Peter G. Quick-Release interlocking frame assembly for interchangeably mounting operative sports devices to a boot sole
US6418529B1 (en) 1998-03-31 2002-07-09 Intel Corporation Apparatus and method for performing intra-add operation
JP3147134U (ja) 2008-09-17 2008-12-18 洋 吉迫 プランター

Also Published As

Publication number Publication date
KR100449238B1 (ja) 2004-09-20
JP3760948B2 (ja) 2006-03-29
HK1014782A1 (en) 1999-09-30
US5539911A (en) 1996-07-23
KR100469959B1 (ja) 2005-02-11
KR100403167B1 (ja) 2003-10-30
JP2000339163A (ja) 2000-12-08
KR20040000381A (ja) 2004-01-03
US6915412B2 (en) 2005-07-05
JP2005044383A (ja) 2005-02-17
KR100449236B1 (ja) 2004-09-20
KR20070058594A (ko) 2007-06-08
JP2005122740A (ja) 2005-05-12
JP2006012195A (ja) 2006-01-12
US6948052B2 (en) 2005-09-20
US7721070B2 (en) 2010-05-18
JP3654139B2 (ja) 2005-06-02
KR100559468B1 (ko) 2006-03-10
KR930702718A (ko) 1993-09-09
KR20060015783A (ko) 2006-02-20
EP1385085B1 (en) 2009-12-02
KR20040004505A (ja) 2004-01-13
JP3654138B2 (ja) 2005-06-02
US20040054872A1 (en) 2004-03-18
KR100449242B1 (ja) 2004-09-20
KR100393494B1 (ja) 2003-08-02
KR100469968B1 (ja) 2005-02-04
US6128723A (en) 2000-10-03
US7487333B2 (en) 2009-02-03
KR20040000385A (ja) 2004-01-03
KR100469954B1 (ja) 2005-02-04
KR20070058597A (ko) 2007-06-08
KR100764895B1 (ko) 2007-10-09
US6986024B2 (en) 2006-01-10
DE69233777D1 (de) 2010-01-14
KR20040004501A (ja) 2004-01-13
KR100393497B1 (ja) 2003-08-02
JP3791545B2 (ja) 2006-06-28
KR100393495B1 (ja) 2003-08-02
KR20040004499A (ja) 2004-01-13
JP3760947B2 (ja) 2006-03-29
KR100764894B1 (ko) 2007-10-09
JP3915842B2 (ja) 2007-05-16
KR100449244B1 (ja) 2004-09-20
US20030056086A1 (en) 2003-03-20
US20040093482A1 (en) 2004-05-13
JP3791547B2 (ja) 2006-06-28
DE69233313D1 (de) 2004-04-01
KR100633574B1 (ko) 2006-10-16
KR20040000382A (ja) 2004-01-03
KR20070058596A (ko) 2007-06-08
EP1024426A3 (en) 2001-08-08
JP3441070B2 (ja) 2003-08-25
KR100875252B1 (ko) 2008-12-19
JP2000339161A (ja) 2000-12-08
KR100559455B1 (ko) 2006-03-10
US6256720B1 (en) 2001-07-03
US20090019261A1 (en) 2009-01-15
KR100469952B1 (ja) 2005-02-04
KR100403166B1 (ja) 2003-10-30
KR20060015782A (ko) 2006-02-20
KR100559465B1 (ko) 2006-03-10
JP2005251227A (ja) 2005-09-15
JP3729202B2 (ja) 2005-12-21
US6959375B2 (en) 2005-10-25
JP3654137B2 (ja) 2005-06-02
KR100559463B1 (ko) 2006-03-10
US20020029328A1 (en) 2002-03-07
JP2000339160A (ja) 2000-12-08
US20030079113A1 (en) 2003-04-24
JP2000357091A (ja) 2000-12-26
KR20040000386A (ja) 2004-01-03
KR100875262B1 (ko) 2008-12-22
KR100559482B1 (ko) 2006-03-10
KR100469964B1 (ja) 2005-02-11
US6272619B1 (en) 2001-08-07
KR20060015781A (ko) 2006-02-20
DE03024585T1 (de) 2004-07-08
KR20040004504A (ja) 2004-01-13
KR100469971B1 (ja) 2005-02-04
KR100393496B1 (ja) 2003-08-02
KR20040004508A (ja) 2004-01-13
JP3791550B2 (ja) 2006-06-28
EP0547241A1 (en) 1993-06-23
JP2005149534A (ja) 2005-06-09
JP3791544B2 (ja) 2006-06-28
KR20040000410A (ja) 2004-01-03
KR20070058595A (ko) 2007-06-08
DE69232113T2 (de) 2002-03-14
DE69232113D1 (de) 2001-11-15
KR100403164B1 (ja) 2003-10-30
JP3702873B2 (ja) 2005-10-05
WO1993001545A1 (en) 1993-01-21
JP2005267664A (ja) 2005-09-29
DE69233313T2 (de) 2004-07-15
ATE450826T1 (de) 2009-12-15
JP3791542B2 (ja) 2006-06-28
ATE260485T1 (de) 2004-03-15
US6941447B2 (en) 2005-09-06
US6038654A (en) 2000-03-14
US20070106878A1 (en) 2007-05-10
JPH06501122A (ja) 1994-01-27
US5689720A (en) 1997-11-18
US6647485B2 (en) 2003-11-11
KR100875259B1 (ko) 2008-12-22
US20030056087A1 (en) 2003-03-20
EP1385085A1 (en) 2004-01-28
KR20040004502A (ja) 2004-01-13
KR100559475B1 (ko) 2006-03-10
KR100633578B1 (ko) 2006-10-16
US6092181A (en) 2000-07-18
KR100325175B1 (ko) 2002-02-25
JP3838252B2 (ja) 2006-10-25
KR100886000B1 (ko) 2009-03-03
JP2000339162A (ja) 2000-12-08
KR100875266B1 (ko) 2008-12-22
KR20070055585A (ko) 2007-05-30
JP2006031729A (ja) 2006-02-02
JP2005149524A (ja) 2005-06-09
KR100403165B1 (ja) 2003-10-30
US20040093483A1 (en) 2004-05-13
JP2006313564A (ja) 2006-11-16
US20030070060A1 (en) 2003-04-10
JP2006236396A (ja) 2006-09-07
KR20040004500A (ja) 2004-01-13
KR20070056140A (ko) 2007-05-31
KR20040004506A (ja) 2004-01-13
KR20070055586A (ko) 2007-05-30
KR20080109099A (ko) 2008-12-16
JP2004348772A (ja) 2004-12-09
JP3791548B2 (ja) 2006-06-28
JP3731604B2 (ja) 2006-01-05
JP2000339159A (ja) 2000-12-08
KR100325177B1 (ko) 2002-02-21
JP2005293612A (ja) 2005-10-20
JP2005108264A (ja) 2005-04-21
ATE206829T1 (de) 2001-10-15
KR100875257B1 (ko) 2008-12-19
KR100294475B1 (ko) 2001-09-17
KR20070056141A (ko) 2007-05-31
US6934829B2 (en) 2005-08-23
KR20040000384A (ja) 2004-01-03
KR20040000411A (ja) 2004-01-03
US7162610B2 (en) 2007-01-09
KR100764898B1 (ko) 2007-10-09
JP2005310187A (ja) 2005-11-04
KR100325176B1 (ko) 2002-02-25
KR20040004507A (ja) 2004-01-13
US20040093485A1 (en) 2004-05-13
US6101594A (en) 2000-08-08
EP0547241B1 (en) 2001-10-10
EP1024426B1 (en) 2004-02-25
KR20040004503A (ja) 2004-01-13
JP2000353090A (ja) 2000-12-19
US7739482B2 (en) 2010-06-15
KR20040000383A (ja) 2004-01-03
EP1024426A2 (en) 2000-08-02
KR100464272B1 (ja) 2005-01-03
JP3731605B2 (ja) 2006-01-05
HK1060417A1 (en) 2004-08-06

Similar Documents

Publication Publication Date Title
JP3552995B2 (ja) データ処理装置
JP3729202B2 (ja) スーパースカラーマイクロプロセッサ
JP3757982B2 (ja) スーパースカラープロセッサ及びデータ処理装置
JP2000039996A (ja) ス―パ―スカラ処理システム及びデ―タ処理方法
JP2000122865A (ja) 命令実行処理方法
JP2000122866A (ja) デ―タ処理装置
JP2000122867A (ja) トラップ処理方法
JP2000029697A (ja) ス―パ―スカラ処理装置、デ―タ処理方法及びコンピュ―タシステム
JP2000029698A (ja) ス―パ―スカラ処理システム及びデ―タ処理方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040716

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20040730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040914

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041019

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050418

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050628

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050711

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080729

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090729

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100729

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110729

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110729

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120729

Year of fee payment: 7

EXPY Cancellation because of completion of term