US6370623B1
(en)
*
|
1988-12-28 |
2002-04-09 |
Philips Electronics North America Corporation |
Multiport register file to accommodate data of differing lengths
|
CA2016068C
(en)
*
|
1989-05-24 |
2000-04-04 |
Robert W. Horst |
Multiple instruction issue computer architecture
|
US5493687A
(en)
|
1991-07-08 |
1996-02-20 |
Seiko Epson Corporation |
RISC microprocessor architecture implementing multiple typed register sets
|
US5539911A
(en)
*
|
1991-07-08 |
1996-07-23 |
Seiko Epson Corporation |
High-performance, superscalar-based computer system with out-of-order instruction execution
|
US5961629A
(en)
*
|
1991-07-08 |
1999-10-05 |
Seiko Epson Corporation |
High performance, superscalar-based computer system with out-of-order instruction execution
|
US5398330A
(en)
|
1992-03-05 |
1995-03-14 |
Seiko Epson Corporation |
Register file backup queue
|
EP0636256B1
(de)
|
1992-03-31 |
1997-06-04 |
Seiko Epson Corporation |
Befehlsablauffolgeplanung von einem risc-superskalarprozessor
|
US5438668A
(en)
|
1992-03-31 |
1995-08-01 |
Seiko Epson Corporation |
System and method for extraction, alignment and decoding of CISC instructions into a nano-instruction bucket for execution by a RISC computer
|
WO1993022722A1
(en)
*
|
1992-05-01 |
1993-11-11 |
Seiko Epson Corporation |
A system and method for retiring instructions in a superscalar microprocessor
|
EP0663083B1
(de)
|
1992-09-29 |
2000-12-20 |
Seiko Epson Corporation |
System und verfahren zur handhabung von laden und/oder speichern in einem superskalar mikroprozessor
|
US6735685B1
(en)
*
|
1992-09-29 |
2004-05-11 |
Seiko Epson Corporation |
System and method for handling load and/or store operations in a superscalar microprocessor
|
US5628021A
(en)
*
|
1992-12-31 |
1997-05-06 |
Seiko Epson Corporation |
System and method for assigning tags to control instruction processing in a superscalar processor
|
EP0682789B1
(de)
|
1992-12-31 |
1998-09-09 |
Seiko Epson Corporation |
System und verfahren zur änderung der namen von registern
|
DE69427265T2
(de)
*
|
1993-10-29 |
2002-05-02 |
Advanced Micro Devices Inc |
Superskalarbefehlsdekoder
|
US5630082A
(en)
*
|
1993-10-29 |
1997-05-13 |
Advanced Micro Devices, Inc. |
Apparatus and method for instruction queue scanning
|
SG47981A1
(en)
*
|
1994-03-01 |
1998-04-17 |
Intel Corp |
Pipeline process of instructions in a computer system
|
TW353732B
(en)
*
|
1994-03-31 |
1999-03-01 |
Ibm |
Processing system and method of operation
|
US5559976A
(en)
*
|
1994-03-31 |
1996-09-24 |
International Business Machines Corporation |
System for instruction completion independent of result write-back responsive to both exception free completion of execution and completion of all logically prior instructions
|
US5559975A
(en)
*
|
1994-06-01 |
1996-09-24 |
Advanced Micro Devices, Inc. |
Program counter update mechanism
|
US5649225A
(en)
*
|
1994-06-01 |
1997-07-15 |
Advanced Micro Devices, Inc. |
Resynchronization of a superscalar processor
|
US5632023A
(en)
*
|
1994-06-01 |
1997-05-20 |
Advanced Micro Devices, Inc. |
Superscalar microprocessor including flag operand renaming and forwarding apparatus
|
US5675758A
(en)
*
|
1994-11-15 |
1997-10-07 |
Advanced Micro Devices, Inc. |
Processor having primary integer execution unit and supplemental integer execution unit for performing out-of-order add and move operations
|
CN102841776B
(zh)
|
1994-12-02 |
2016-06-29 |
英特尔公司 |
可以对复合操作数进行压缩操作的微处理器
|
JP3442175B2
(ja)
*
|
1995-02-02 |
2003-09-02 |
株式会社リコー |
中央演算処理装置
|
US5832297A
(en)
*
|
1995-04-12 |
1998-11-03 |
Advanced Micro Devices, Inc. |
Superscalar microprocessor load/store unit employing a unified buffer and separate pointers for load and store operations
|
US5692170A
(en)
*
|
1995-04-28 |
1997-11-25 |
Metaflow Technologies, Inc. |
Apparatus for detecting and executing traps in a superscalar processor
|
US5867726A
(en)
*
|
1995-05-02 |
1999-02-02 |
Hitachi, Ltd. |
Microcomputer
|
US5968169A
(en)
*
|
1995-06-07 |
1999-10-19 |
Advanced Micro Devices, Inc. |
Superscalar microprocessor stack structure for judging validity of predicted subroutine return addresses
|
US6112019A
(en)
*
|
1995-06-12 |
2000-08-29 |
Georgia Tech Research Corp. |
Distributed instruction queue
|
US5742840A
(en)
|
1995-08-16 |
1998-04-21 |
Microunity Systems Engineering, Inc. |
General purpose, multiple precision parallel operation, programmable media processor
|
US7301541B2
(en)
|
1995-08-16 |
2007-11-27 |
Microunity Systems Engineering, Inc. |
Programmable processor and method with wide operations
|
US6643765B1
(en)
|
1995-08-16 |
2003-11-04 |
Microunity Systems Engineering, Inc. |
Programmable processor with group floating point operations
|
US5987561A
(en)
|
1995-08-31 |
1999-11-16 |
Advanced Micro Devices, Inc. |
Superscalar microprocessor employing a data cache capable of performing store accesses in a single clock cycle
|
US5845323A
(en)
*
|
1995-08-31 |
1998-12-01 |
Advanced Micro Devices, Inc. |
Way prediction structure for predicting the way of a cache in which an access hits, thereby speeding cache access time
|
US5850563A
(en)
*
|
1995-09-11 |
1998-12-15 |
International Business Machines Corporation |
Processor and method for out-of-order completion of floating-point operations during load/store multiple operations
|
US5694565A
(en)
*
|
1995-09-11 |
1997-12-02 |
International Business Machines Corporation |
Method and device for early deallocation of resources during load/store multiple operations to allow simultaneous dispatch/execution of subsequent instructions
|
US5761105A
(en)
*
|
1995-09-26 |
1998-06-02 |
Advanced Micro Devices, Inc. |
Reservation station including addressable constant store for a floating point processing unit
|
US5748516A
(en)
*
|
1995-09-26 |
1998-05-05 |
Advanced Micro Devices, Inc. |
Floating point processing unit with forced arithmetic results
|
US5878266A
(en)
*
|
1995-09-26 |
1999-03-02 |
Advanced Micro Devices, Inc. |
Reservation station for a floating point processing unit
|
US5872947A
(en)
*
|
1995-10-24 |
1999-02-16 |
Advanced Micro Devices, Inc. |
Instruction classification circuit configured to classify instructions into a plurality of instruction types prior to decoding said instructions
|
US5881278A
(en)
*
|
1995-10-30 |
1999-03-09 |
Advanced Micro Devices, Inc. |
Return address prediction system which adjusts the contents of return stack storage to enable continued prediction after a mispredicted branch
|
US5864707A
(en)
|
1995-12-11 |
1999-01-26 |
Advanced Micro Devices, Inc. |
Superscalar microprocessor configured to predict return addresses from a return stack storage
|
US6792523B1
(en)
|
1995-12-19 |
2004-09-14 |
Intel Corporation |
Processor with instructions that operate on different data types stored in the same single logical register file
|
US5857096A
(en)
*
|
1995-12-19 |
1999-01-05 |
Intel Corporation |
Microarchitecture for implementing an instruction to clear the tags of a stack reference register file
|
US5701508A
(en)
|
1995-12-19 |
1997-12-23 |
Intel Corporation |
Executing different instructions that cause different data type operations to be performed on single logical register file
|
US5852726A
(en)
*
|
1995-12-19 |
1998-12-22 |
Intel Corporation |
Method and apparatus for executing two types of instructions that specify registers of a shared logical register file in a stack and a non-stack referenced manner
|
US5911057A
(en)
*
|
1995-12-19 |
1999-06-08 |
Texas Instruments Incorporated |
Superscalar microprocessor having combined register and memory renaming circuits, systems, and methods
|
US5940859A
(en)
*
|
1995-12-19 |
1999-08-17 |
Intel Corporation |
Emptying packed data state during execution of packed data instructions
|
US5778246A
(en)
*
|
1995-12-27 |
1998-07-07 |
Intel Corporation |
Method and apparatus for efficient propagation of attribute bits in an instruction decode pipeline
|
US5930490A
(en)
*
|
1996-01-02 |
1999-07-27 |
Advanced Micro Devices, Inc. |
Microprocessor configured to switch instruction sets upon detection of a plurality of consecutive instructions
|
JP3658072B2
(ja)
*
|
1996-02-07 |
2005-06-08 |
株式会社ルネサステクノロジ |
データ処理装置およびデータ処理方法
|
SE509499C2
(sv)
*
|
1996-05-03 |
1999-02-01 |
Ericsson Telefon Ab L M |
Metod och anordning för hantering av villkorliga hopp vid instruktionsbehandling i en pipeline-arkitektur
|
US6108769A
(en)
|
1996-05-17 |
2000-08-22 |
Advanced Micro Devices, Inc. |
Dependency table for reducing dependency checking hardware
|
EP1310864A2
(de)
*
|
1996-05-30 |
2003-05-14 |
Matsushita Electric Industrial Co., Ltd. |
Verfahren und Schaltkreis zur Steuerung der Neueinstellung von Zustandsflaggen
|
US5784603A
(en)
*
|
1996-06-19 |
1998-07-21 |
Sun Microsystems, Inc. |
Fast handling of branch delay slots on mispredicted branches
|
US5652774A
(en)
*
|
1996-07-08 |
1997-07-29 |
International Business Machines Corporation |
Method and apparatus for decreasing the cycle times of a data processing system
|
US5802556A
(en)
*
|
1996-07-16 |
1998-09-01 |
International Business Machines Corporation |
Method and apparatus for correcting misaligned instruction data
|
US5867680A
(en)
*
|
1996-07-24 |
1999-02-02 |
Advanced Micro Devices, Inc. |
Microprocessor configured to simultaneously dispatch microcode and directly-decoded instructions
|
US6049863A
(en)
*
|
1996-07-24 |
2000-04-11 |
Advanced Micro Devices, Inc. |
Predecoding technique for indicating locations of opcode bytes in variable byte-length instructions within a superscalar microprocessor
|
US5903740A
(en)
*
|
1996-07-24 |
1999-05-11 |
Advanced Micro Devices, Inc. |
Apparatus and method for retiring instructions in excess of the number of accessible write ports
|
US5915110A
(en)
*
|
1996-07-26 |
1999-06-22 |
Advanced Micro Devices, Inc. |
Branch misprediction recovery in a reorder buffer having a future file
|
US5946468A
(en)
*
|
1996-07-26 |
1999-08-31 |
Advanced Micro Devices, Inc. |
Reorder buffer having an improved future file for storing speculative instruction execution results
|
US6058465A
(en)
*
|
1996-08-19 |
2000-05-02 |
Nguyen; Le Trong |
Single-instruction-multiple-data processing in a multimedia signal processor
|
US5882993A
(en)
|
1996-08-19 |
1999-03-16 |
Advanced Micro Devices, Inc. |
Integrated circuit with differing gate oxide thickness and process for making same
|
JP2933027B2
(ja)
*
|
1996-08-30 |
1999-08-09 |
日本電気株式会社 |
複数命令並列発行/実行管理装置
|
US5884062A
(en)
*
|
1996-08-30 |
1999-03-16 |
Texas Instruments Incorporated |
Microprocessor with pipeline status integrity logic for handling multiple stage writeback exceptions
|
JP2933026B2
(ja)
*
|
1996-08-30 |
1999-08-09 |
日本電気株式会社 |
複数命令並列発行/実行管理装置
|
US6055598A
(en)
*
|
1996-09-26 |
2000-04-25 |
Vlsi Technology, Inc. |
Arrangement and method for allowing sequence-independent command responses across a computer bus bridge
|
US5991884A
(en)
*
|
1996-09-30 |
1999-11-23 |
Intel Corporation |
Method for reducing peak power in dispatching instructions to multiple execution units
|
US5754811A
(en)
*
|
1996-10-08 |
1998-05-19 |
Putrino; Michael |
Instruction dispatch queue for improved instruction cache to queue timing
|
AU7720096A
(en)
*
|
1996-11-04 |
1998-05-29 |
Advanced Micro Devices Inc. |
A way prediction structure
|
US6631454B1
(en)
|
1996-11-13 |
2003-10-07 |
Intel Corporation |
Processor and data cache with data storage unit and tag hit/miss logic operated at a first and second clock frequencies
|
US6256745B1
(en)
*
|
1998-06-05 |
2001-07-03 |
Intel Corporation |
Processor having execution core sections operating at different clock rates
|
US6175906B1
(en)
|
1996-12-06 |
2001-01-16 |
Advanced Micro Devices, Inc. |
Mechanism for fast revalidation of virtual tags
|
US5887160A
(en)
*
|
1996-12-10 |
1999-03-23 |
Fujitsu Limited |
Method and apparatus for communicating integer and floating point data over a shared data path in a single instruction pipeline processor
|
US5881306A
(en)
*
|
1996-12-17 |
1999-03-09 |
International Business Machines Corporation |
Instruction fetch bandwidth analysis
|
US6088793A
(en)
*
|
1996-12-30 |
2000-07-11 |
Intel Corporation |
Method and apparatus for branch execution on a multiple-instruction-set-architecture microprocessor
|
US5983321A
(en)
*
|
1997-03-12 |
1999-11-09 |
Advanced Micro Devices, Inc. |
Cache holding register for receiving instruction packets and for providing the instruction packets to a predecode unit and instruction cache
|
US6542998B1
(en)
|
1997-02-08 |
2003-04-01 |
Pact Gmbh |
Method of self-synchronization of configurable elements of a programmable module
|
US5881307A
(en)
*
|
1997-02-24 |
1999-03-09 |
Samsung Electronics Co., Ltd. |
Deferred store data read with simple anti-dependency pipeline inter-lock control in superscalar processor
|
US5909567A
(en)
*
|
1997-02-28 |
1999-06-01 |
Advanced Micro Devices, Inc. |
Apparatus and method for native mode processing in a RISC-based CISC processor
|
US5887185A
(en)
*
|
1997-03-19 |
1999-03-23 |
Advanced Micro Devices, Inc. |
Interface for coupling a floating point unit to a reorder buffer
|
US5828873A
(en)
*
|
1997-03-19 |
1998-10-27 |
Advanced Micro Devices, Inc. |
Assembly queue for a floating point unit
|
US5887161A
(en)
*
|
1997-03-31 |
1999-03-23 |
International Business Machines Corporation |
Issuing instructions in a processor supporting out-of-order execution
|
US5987235A
(en)
*
|
1997-04-04 |
1999-11-16 |
Advanced Micro Devices, Inc. |
Method and apparatus for predecoding variable byte length instructions for fast scanning of instructions
|
US5974535A
(en)
*
|
1997-05-09 |
1999-10-26 |
International Business Machines Corporation |
Method and system in data processing system of permitting concurrent processing of instructions of a particular type
|
US5845101A
(en)
*
|
1997-05-13 |
1998-12-01 |
Advanced Micro Devices, Inc. |
Prefetch buffer for storing instructions prior to placing the instructions in an instruction cache
|
US6122729A
(en)
|
1997-05-13 |
2000-09-19 |
Advanced Micro Devices, Inc. |
Prefetch buffer which stores a pointer indicating an initial predecode position
|
US5923898A
(en)
*
|
1997-05-14 |
1999-07-13 |
International Business Machines Corporation |
System for executing I/O request when an I/O request queue entry matches a snoop table entry or executing snoop when not matched
|
JPH10333908A
(ja)
*
|
1997-05-30 |
1998-12-18 |
Mitsubishi Electric Corp |
分岐予測方法
|
US6009511A
(en)
*
|
1997-06-11 |
1999-12-28 |
Advanced Micro Devices, Inc. |
Apparatus and method for tagging floating point operands and results for rapid detection of special floating point numbers
|
US5940602A
(en)
*
|
1997-06-11 |
1999-08-17 |
Advanced Micro Devices, Inc. |
Method and apparatus for predecoding variable byte length instructions for scanning of a number of RISC operations
|
US5898851A
(en)
*
|
1997-06-11 |
1999-04-27 |
Advanced Micro Devices, Inc. |
Method and apparatus for five bit predecoding variable length instructions for scanning of a number of RISC operations
|
US5933626A
(en)
*
|
1997-06-12 |
1999-08-03 |
Advanced Micro Devices, Inc. |
Apparatus and method for tracing microprocessor instructions
|
US5930491A
(en)
*
|
1997-06-18 |
1999-07-27 |
International Business Machines Corporation |
Identification of related instructions resulting from external to internal translation by use of common ID field for each group
|
US6044222A
(en)
*
|
1997-06-23 |
2000-03-28 |
International Business Machines Corporation |
System, method, and program product for loop instruction scheduling hardware lookahead
|
US6085305A
(en)
*
|
1997-06-25 |
2000-07-04 |
Sun Microsystems, Inc. |
Apparatus for precise architectural update in an out-of-order processor
|
US5884070A
(en)
*
|
1997-06-25 |
1999-03-16 |
Sun Microsystems, Inc. |
Method for processing single precision arithmetic operations in system where two single precision registers are aliased to one double precision register
|
US6094719A
(en)
*
|
1997-06-25 |
2000-07-25 |
Sun Microsystems, Inc. |
Reducing data dependent conflicts by converting single precision instructions into microinstructions using renamed phantom registers in a processor having double precision registers
|
US6052775A
(en)
*
|
1997-06-25 |
2000-04-18 |
Sun Microsystems, Inc. |
Method for non-intrusive cache fills and handling of load misses
|
US6035388A
(en)
|
1997-06-27 |
2000-03-07 |
Sandcraft, Inc. |
Method and apparatus for dual issue of program instructions to symmetric multifunctional execution units
|
US5987259A
(en)
*
|
1997-06-30 |
1999-11-16 |
Sun Microsystems, Inc. |
Functional unit switching for the allocation of registers
|
US6021489A
(en)
*
|
1997-06-30 |
2000-02-01 |
Intel Corporation |
Apparatus and method for sharing a branch prediction unit in a microprocessor implementing a two instruction set architecture
|
US5978901A
(en)
*
|
1997-08-21 |
1999-11-02 |
Advanced Micro Devices, Inc. |
Floating point and multimedia unit with data type reclassification capability
|
US6289437B1
(en)
*
|
1997-08-27 |
2001-09-11 |
International Business Machines Corporation |
Data processing system and method for implementing an efficient out-of-order issue mechanism
|
US5870575A
(en)
*
|
1997-09-22 |
1999-02-09 |
International Business Machines Corporation |
Indirect unconditional branches in data processing system emulation mode
|
US5864703A
(en)
*
|
1997-10-09 |
1999-01-26 |
Mips Technologies, Inc. |
Method for providing extended precision in SIMD vector arithmetic operations
|
US7197625B1
(en)
*
|
1997-10-09 |
2007-03-27 |
Mips Technologies, Inc. |
Alignment and ordering of vector elements for single instruction multiple data processing
|
US6029244A
(en)
|
1997-10-10 |
2000-02-22 |
Advanced Micro Devices, Inc. |
Microprocessor including an efficient implementation of extreme value instructions
|
US6237077B1
(en)
|
1997-10-13 |
2001-05-22 |
Idea Corporation |
Instruction template for efficient processing clustered branch instructions
|
US6014739A
(en)
*
|
1997-10-27 |
2000-01-11 |
Advanced Micro Devices, Inc. |
Increasing general registers in X86 processors
|
US6032252A
(en)
*
|
1997-10-28 |
2000-02-29 |
Advanced Micro Devices, Inc. |
Apparatus and method for efficient loop control in a superscalar microprocessor
|
US5974542A
(en)
*
|
1997-10-30 |
1999-10-26 |
Advanced Micro Devices, Inc. |
Branch prediction unit which approximates a larger number of branch predictions using a smaller number of branch predictions and an alternate target indication
|
US6230259B1
(en)
|
1997-10-31 |
2001-05-08 |
Advanced Micro Devices, Inc. |
Transparent extended state save
|
US6157996A
(en)
*
|
1997-11-13 |
2000-12-05 |
Advanced Micro Devices, Inc. |
Processor programably configurable to execute enhanced variable byte length instructions including predicated execution, three operand addressing, and increased register space
|
DE69804489T2
(de)
*
|
1997-11-14 |
2002-11-14 |
Marathon Techn Corp |
Verfahren zur erhaltung von synchronisierter ausführung bei fehler-betriebssicheren/ fehlertoleranten rechnersystemen
|
US6199154B1
(en)
|
1997-11-17 |
2001-03-06 |
Advanced Micro Devices, Inc. |
Selecting cache to fetch in multi-level cache system based on fetch address source and pre-fetching additional data to the cache for future access
|
US6112293A
(en)
*
|
1997-11-17 |
2000-08-29 |
Advanced Micro Devices, Inc. |
Processor configured to generate lookahead results from operand collapse unit and for inhibiting receipt/execution of the first instruction based on the lookahead result
|
US5974432A
(en)
*
|
1997-12-05 |
1999-10-26 |
Advanced Micro Devices, Inc. |
On-the-fly one-hot encoding of leading zero count
|
US5870578A
(en)
*
|
1997-12-09 |
1999-02-09 |
Advanced Micro Devices, Inc. |
Workload balancing in a microprocessor for reduced instruction dispatch stalling
|
US6061775A
(en)
*
|
1997-12-12 |
2000-05-09 |
Advanced Micro Devices, Inc. |
Apparatus and method for predicting a first microcode instruction of a cache line and using predecode instruction data to identify instruction boundaries and types
|
US6134650A
(en)
*
|
1997-12-12 |
2000-10-17 |
Advanced Micro Devices, Inc. |
Apparatus and method for predicting a first scanned instruction as microcode instruction prior to scanning predecode data
|
US6157986A
(en)
*
|
1997-12-16 |
2000-12-05 |
Advanced Micro Devices, Inc. |
Fast linear tag validation unit for use in microprocessor
|
US6016545A
(en)
*
|
1997-12-16 |
2000-01-18 |
Advanced Micro Devices, Inc. |
Reduced size storage apparatus for storing cache-line-related data in a high frequency microprocessor
|
US6016533A
(en)
*
|
1997-12-16 |
2000-01-18 |
Advanced Micro Devices, Inc. |
Way prediction logic for cache array
|
US6041405A
(en)
*
|
1997-12-18 |
2000-03-21 |
Advanced Micro Devices, Inc. |
Instruction length prediction using an instruction length pattern detector
|
US6112018A
(en)
|
1997-12-18 |
2000-08-29 |
Advanced Micro Devices, Inc. |
Apparatus for exchanging two stack registers
|
US6018798A
(en)
*
|
1997-12-18 |
2000-01-25 |
Advanced Micro Devices, Inc. |
Floating point unit using a central window for storing instructions capable of executing multiple instructions in a single clock cycle
|
US6112296A
(en)
*
|
1997-12-18 |
2000-08-29 |
Advanced Micro Devices, Inc. |
Floating point stack manipulation using a register map and speculative top of stack values
|
US6125441A
(en)
*
|
1997-12-18 |
2000-09-26 |
Advanced Micro Devices, Inc. |
Predicting a sequence of variable instruction lengths from previously identified length pattern indexed by an instruction fetch address
|
US5951671A
(en)
*
|
1997-12-18 |
1999-09-14 |
Advanced Micro Devices, Inc. |
Sharing instruction predecode information in a multiprocessor system
|
US6012138A
(en)
*
|
1997-12-19 |
2000-01-04 |
Lsi Logic Corporation |
Dynamically variable length CPU pipeline for efficiently executing two instruction sets
|
US6047367A
(en)
*
|
1998-01-20 |
2000-04-04 |
International Business Machines Corporation |
Microprocessor with improved out of order support
|
US6009510A
(en)
*
|
1998-02-06 |
1999-12-28 |
Ip First Llc |
Method and apparatus for improved aligned/misaligned data load from cache
|
US5881260A
(en)
*
|
1998-02-09 |
1999-03-09 |
Hewlett-Packard Company |
Method and apparatus for sequencing and decoding variable length instructions with an instruction boundary marker within each instruction
|
US6065110A
(en)
*
|
1998-02-09 |
2000-05-16 |
International Business Machines Corporation |
Method and apparatus for loading an instruction buffer of a processor capable of out-of-order instruction issue
|
US6105129A
(en)
*
|
1998-02-18 |
2000-08-15 |
Advanced Micro Devices, Inc. |
Converting register data from a first format type to a second format type if a second type instruction consumes data produced by a first type instruction
|
US6175908B1
(en)
|
1998-04-30 |
2001-01-16 |
Advanced Micro Devices, Inc. |
Variable byte-length instructions using state of function bit of second byte of plurality of instructions bytes as indicative of whether first byte is a prefix byte
|
US6141745A
(en)
*
|
1998-04-30 |
2000-10-31 |
Advanced Micro Devices, Inc. |
Functional bit identifying a prefix byte via a particular state regardless of type of instruction
|
US6092182A
(en)
*
|
1998-06-24 |
2000-07-18 |
Advanced Micro Devices, Inc. |
Using ECC/parity bits to store predecode information
|
US6212621B1
(en)
|
1998-06-24 |
2001-04-03 |
Advanced Micro Devices Inc |
Method and system using tagged instructions to allow out-of-program-order instruction decoding
|
US6988183B1
(en)
|
1998-06-26 |
2006-01-17 |
Derek Chi-Lan Wong |
Methods for increasing instruction-level parallelism in microprocessors and digital system
|
WO2000000878A2
(en)
*
|
1998-06-26 |
2000-01-06 |
Chi Lan Wong Derek |
Methods for increasing instruction-level parallelism in microprocessors and digital systems
|
US6278838B1
(en)
*
|
1998-06-26 |
2001-08-21 |
Lsi Logic Corporation |
Peak-ahead FIFO for DVD system stream parsing
|
US6230262B1
(en)
|
1998-07-31 |
2001-05-08 |
Advanced Micro Devices, Inc. |
Processor configured to selectively free physical registers upon retirement of instructions
|
US6119223A
(en)
*
|
1998-07-31 |
2000-09-12 |
Advanced Micro Devices, Inc. |
Map unit having rapid misprediction recovery
|
US6122656A
(en)
*
|
1998-07-31 |
2000-09-19 |
Advanced Micro Devices, Inc. |
Processor configured to map logical register numbers to physical register numbers using virtual register numbers
|
US6304953B1
(en)
*
|
1998-07-31 |
2001-10-16 |
Intel Corporation |
Computer processor with instruction-specific schedulers
|
US6240510B1
(en)
*
|
1998-08-06 |
2001-05-29 |
Intel Corporation |
System for processing a cluster of instructions where the instructions are issued to the execution units having a priority order according to a template associated with the cluster of instructions
|
US6304960B1
(en)
|
1998-08-06 |
2001-10-16 |
Intel Corporation |
Validating prediction for branches in a cluster via comparison of predicted and condition selected tentative target addresses and validation of branch conditions
|
US6212623B1
(en)
|
1998-08-24 |
2001-04-03 |
Advanced Micro Devices, Inc. |
Universal dependency vector/queue entry
|
US6122727A
(en)
*
|
1998-08-24 |
2000-09-19 |
Advanced Micro Devices, Inc. |
Symmetrical instructions queue for high clock frequency scheduling
|
US6212622B1
(en)
|
1998-08-24 |
2001-04-03 |
Advanced Micro Devices, Inc. |
Mechanism for load block on store address generation
|
US6742111B2
(en)
*
|
1998-08-31 |
2004-05-25 |
Stmicroelectronics, Inc. |
Reservation stations to increase instruction level parallelism
|
US6418527B1
(en)
*
|
1998-10-13 |
2002-07-09 |
Motorola, Inc. |
Data processor instruction system for grouping instructions with or without a common prefix and data processing system that uses two or more instruction grouping methods
|
US6434689B2
(en)
|
1998-11-09 |
2002-08-13 |
Infineon Technologies North America Corp. |
Data processing unit with interface for sharing registers by a processor and a coprocessor
|
US6223254B1
(en)
*
|
1998-12-04 |
2001-04-24 |
Stmicroelectronics, Inc. |
Parcel cache
|
US6266763B1
(en)
|
1999-01-05 |
2001-07-24 |
Advanced Micro Devices, Inc. |
Physical rename register for efficiently storing floating point, integer, condition code, and multimedia values
|
SG81954A1
(en)
*
|
1999-01-21 |
2001-07-24 |
Ibm |
Microprocessor with improved out of order support via register management with synchronization of multiple pipelines
|
US6260133B1
(en)
*
|
1999-02-08 |
2001-07-10 |
Kabushiki Kaisha Toshiba |
Processor having operating instruction which uses operation units in different pipelines simultaneously
|
US7242414B1
(en)
|
1999-07-30 |
2007-07-10 |
Mips Technologies, Inc. |
Processor having a compare extension of an instruction set architecture
|
US6732259B1
(en)
|
1999-07-30 |
2004-05-04 |
Mips Technologies, Inc. |
Processor having a conditional branch extension of an instruction set architecture
|
US6178496B1
(en)
*
|
1999-02-17 |
2001-01-23 |
Motorola, Inc. |
System for converting instructions, and method therefore
|
US6338133B1
(en)
|
1999-03-12 |
2002-01-08 |
International Business Machines Corporation |
Measured, allocation of speculative branch instructions to processor execution units
|
US20040158695A1
(en)
*
|
1999-05-03 |
2004-08-12 |
Laurent Ugen |
Method and apparatus for handling transfer of guarded instructions in a computer system
|
US7089404B1
(en)
*
|
1999-06-14 |
2006-08-08 |
Transmeta Corporation |
Method and apparatus for enhancing scheduling in an advanced microprocessor
|
US6374345B1
(en)
*
|
1999-07-22 |
2002-04-16 |
Advanced Micro Devices, Inc. |
Apparatus and method for handling tiny numbers using a super sticky bit in a microprocessor
|
US7346643B1
(en)
|
1999-07-30 |
2008-03-18 |
Mips Technologies, Inc. |
Processor with improved accuracy for multiply-add operations
|
US6912559B1
(en)
|
1999-07-30 |
2005-06-28 |
Mips Technologies, Inc. |
System and method for improving the accuracy of reciprocal square root operations performed by a floating-point unit
|
US6697832B1
(en)
|
1999-07-30 |
2004-02-24 |
Mips Technologies, Inc. |
Floating-point processor with improved intermediate result handling
|
US6714197B1
(en)
|
1999-07-30 |
2004-03-30 |
Mips Technologies, Inc. |
Processor having an arithmetic extension of an instruction set architecture
|
US6631392B1
(en)
|
1999-07-30 |
2003-10-07 |
Mips Technologies, Inc. |
Method and apparatus for predicting floating-point exceptions
|
US7089367B1
(en)
*
|
1999-08-11 |
2006-08-08 |
Intel Corporation |
Reducing memory access latencies from a bus using pre-fetching and caching
|
US6438664B1
(en)
|
1999-10-27 |
2002-08-20 |
Advanced Micro Devices, Inc. |
Microcode patch device and method for patching microcode using match registers and patch routines
|
US6876991B1
(en)
|
1999-11-08 |
2005-04-05 |
Collaborative Decision Platforms, Llc. |
System, method and computer program product for a collaborative decision platform
|
US6542986B1
(en)
|
1999-11-09 |
2003-04-01 |
Advanced Micro Devices, Inc. |
Resolving dependencies among concurrently dispatched instructions in a superscalar microprocessor
|
US6539467B1
(en)
*
|
1999-11-15 |
2003-03-25 |
Texas Instruments Incorporated |
Microprocessor with non-aligned memory access
|
US7107434B2
(en)
*
|
1999-12-20 |
2006-09-12 |
Board Of Regents, The University Of Texas |
System, method and apparatus for allocating hardware resources using pseudorandom sequences
|
US6694424B1
(en)
|
2000-01-03 |
2004-02-17 |
Advanced Micro Devices, Inc. |
Store load forward predictor training
|
US6622235B1
(en)
|
2000-01-03 |
2003-09-16 |
Advanced Micro Devices, Inc. |
Scheduler which retries load/store hit situations
|
US6564315B1
(en)
|
2000-01-03 |
2003-05-13 |
Advanced Micro Devices, Inc. |
Scheduler which discovers non-speculative nature of an instruction after issuing and reissues the instruction
|
US6542984B1
(en)
|
2000-01-03 |
2003-04-01 |
Advanced Micro Devices, Inc. |
Scheduler capable of issuing and reissuing dependency chains
|
US6651161B1
(en)
|
2000-01-03 |
2003-11-18 |
Advanced Micro Devices, Inc. |
Store load forward predictor untraining
|
US6622237B1
(en)
|
2000-01-03 |
2003-09-16 |
Advanced Micro Devices, Inc. |
Store to load forward predictor training using delta tag
|
US6662361B1
(en)
|
2000-01-14 |
2003-12-09 |
International Business Machines Corporation |
Method, system, program, and data structures for transforming an instruction in a first bit architecture to an instruction in a second bit architecture
|
US6453405B1
(en)
*
|
2000-02-18 |
2002-09-17 |
Texas Instruments Incorporated |
Microprocessor with non-aligned circular addressing
|
US8095508B2
(en)
*
|
2000-04-07 |
2012-01-10 |
Washington University |
Intelligent data storage and processing using FPGA devices
|
US7139743B2
(en)
*
|
2000-04-07 |
2006-11-21 |
Washington University |
Associative database scanning and information retrieval using FPGA devices
|
US6711558B1
(en)
*
|
2000-04-07 |
2004-03-23 |
Washington University |
Associative database scanning and information retrieval
|
US6751724B1
(en)
*
|
2000-04-19 |
2004-06-15 |
Motorola, Inc. |
Method and apparatus for instruction fetching
|
US6996596B1
(en)
|
2000-05-23 |
2006-02-07 |
Mips Technologies, Inc. |
Floating-point processor with operating mode having improved accuracy and high performance
|
US6735686B1
(en)
*
|
2000-06-30 |
2004-05-11 |
Hitachi, Ltd. |
Data processing device including two instruction decoders for decoding branch instructions
|
US6981132B2
(en)
|
2000-08-09 |
2005-12-27 |
Advanced Micro Devices, Inc. |
Uniform register addressing using prefix byte
|
US6877084B1
(en)
|
2000-08-09 |
2005-04-05 |
Advanced Micro Devices, Inc. |
Central processing unit (CPU) accessing an extended register set in an extended register mode
|
US6633969B1
(en)
|
2000-08-11 |
2003-10-14 |
Lsi Logic Corporation |
Instruction translation system and method achieving single-cycle translation of variable-length MIPS16 instructions
|
US6804815B1
(en)
*
|
2000-09-18 |
2004-10-12 |
Cisco Technology, Inc. |
Sequence control mechanism for enabling out of order context processing
|
US8058899B2
(en)
|
2000-10-06 |
2011-11-15 |
Martin Vorbach |
Logic cell array and bus system
|
US7149878B1
(en)
|
2000-10-30 |
2006-12-12 |
Mips Technologies, Inc. |
Changing instruction set architecture mode by comparison of current instruction execution address with boundary address register values
|
US6484241B2
(en)
*
|
2000-12-28 |
2002-11-19 |
International Business Machines Corporation |
Multiprocessor computer system with sectored cache line system bus protocol mechanism
|
US6553462B2
(en)
*
|
2000-12-28 |
2003-04-22 |
International Business Machines Corporation |
Multiprocessor computer system with sectored cache line mechanism for load and store operations
|
US6571322B2
(en)
*
|
2000-12-28 |
2003-05-27 |
International Business Machines Corporation |
Multiprocessor computer system with sectored cache line mechanism for cache intervention
|
US6449431B1
(en)
*
|
2001-07-16 |
2002-09-10 |
Non Typical Inc. |
Enclosure for wildlife surveillance system and security apparatus therefore
|
US7599981B2
(en)
*
|
2001-02-21 |
2009-10-06 |
Mips Technologies, Inc. |
Binary polynomial multiplier
|
US7711763B2
(en)
*
|
2001-02-21 |
2010-05-04 |
Mips Technologies, Inc. |
Microprocessor instructions for performing polynomial arithmetic operations
|
US7181484B2
(en)
*
|
2001-02-21 |
2007-02-20 |
Mips Technologies, Inc. |
Extended-precision accumulation of multiplier output
|
US7162621B2
(en)
|
2001-02-21 |
2007-01-09 |
Mips Technologies, Inc. |
Virtual instruction expansion based on template and parameter selector information specifying sign-extension or concentration
|
US7444531B2
(en)
|
2001-03-05 |
2008-10-28 |
Pact Xpp Technologies Ag |
Methods and devices for treating and processing data
|
US9037807B2
(en)
|
2001-03-05 |
2015-05-19 |
Pact Xpp Technologies Ag |
Processor arrangement on a chip including data processing, memory, and interface elements
|
US7711926B2
(en)
*
|
2001-04-18 |
2010-05-04 |
Mips Technologies, Inc. |
Mapping system and method for instruction set processing
|
US6804799B2
(en)
*
|
2001-06-26 |
2004-10-12 |
Advanced Micro Devices, Inc. |
Using type bits to track storage of ECC and predecode bits in a level two cache
|
US7107439B2
(en)
|
2001-08-10 |
2006-09-12 |
Mips Technologies, Inc. |
System and method of controlling software decompression through exceptions
|
US7996827B2
(en)
|
2001-08-16 |
2011-08-09 |
Martin Vorbach |
Method for the translation of programs for reconfigurable architectures
|
US7716330B2
(en)
|
2001-10-19 |
2010-05-11 |
Global Velocity, Inc. |
System and method for controlling transmission of data packets over an information network
|
US7107433B1
(en)
*
|
2001-10-26 |
2006-09-12 |
Lsi Logic Corporation |
Mechanism for resource allocation in a digital signal processor based on instruction type information and functional priority and method of operation thereof
|
US7114059B2
(en)
*
|
2001-11-05 |
2006-09-26 |
Intel Corporation |
System and method to bypass execution of instructions involving unreliable data during speculative execution
|
US20030088758A1
(en)
*
|
2001-11-08 |
2003-05-08 |
Matthew Becker |
Methods and systems for determining valid microprocessor instructions
|
CA2365375A1
(en)
*
|
2001-12-18 |
2003-06-18 |
Ibm Canada Limited-Ibm Canada Limitee |
Optimizing source code for iterative execution
|
US8914590B2
(en)
|
2002-08-07 |
2014-12-16 |
Pact Xpp Technologies Ag |
Data processing method and device
|
US7093023B2
(en)
*
|
2002-05-21 |
2006-08-15 |
Washington University |
Methods, systems, and devices using reprogrammable hardware for high-speed processing of streaming data to find a redefinable pattern and respond thereto
|
JP3800533B2
(ja)
*
|
2002-06-28 |
2006-07-26 |
富士通株式会社 |
プログラムカウンタ制御方法及びプロセッサ
|
US7406587B1
(en)
*
|
2002-07-31 |
2008-07-29 |
Silicon Graphics, Inc. |
Method and system for renaming registers in a microprocessor
|
US7711844B2
(en)
|
2002-08-15 |
2010-05-04 |
Washington University Of St. Louis |
TCP-splitter: reliable packet monitoring methods and apparatus for high speed networks
|
US20040128480A1
(en)
*
|
2002-12-31 |
2004-07-01 |
Intel Corporation |
Register file read port to support uop fusion
|
US20040148497A1
(en)
*
|
2003-01-27 |
2004-07-29 |
Ali Vahidsafa |
Method and apparatus for determining an early reifetch address of a mispredicted conditional branch instruction in an out of order multi-issue processor
|
US20040199749A1
(en)
*
|
2003-04-03 |
2004-10-07 |
Robert Golla |
Method and apparatus to limit register file read ports in an out-of-order, multi-stranded processor
|
EP2528000B1
(de)
|
2003-05-23 |
2017-07-26 |
IP Reservoir, LLC |
Intelligente Datenspeicherung und -verarbeitung unter Verwendung von FPGA-Vorrichtungen
|
US10572824B2
(en)
|
2003-05-23 |
2020-02-25 |
Ip Reservoir, Llc |
System and method for low latency multi-functional pipeline with correlation logic and selectively activated/deactivated pipelined data processing engines
|
US7321964B2
(en)
*
|
2003-07-08 |
2008-01-22 |
Advanced Micro Devices, Inc. |
Store-to-load forwarding buffer using indexed lookup
|
GB0320386D0
(en)
*
|
2003-08-30 |
2003-10-01 |
Ibm |
A method, apparatus and computer program for executing a program
|
US7117290B2
(en)
|
2003-09-03 |
2006-10-03 |
Advanced Micro Devices, Inc. |
MicroTLB and micro tag for reducing power in a processor
|
US20050050278A1
(en)
*
|
2003-09-03 |
2005-03-03 |
Advanced Micro Devices, Inc. |
Low power way-predicted cache
|
US7043626B1
(en)
|
2003-10-01 |
2006-05-09 |
Advanced Micro Devices, Inc. |
Retaining flag value associated with dead result data in freed rename physical register with an indicator to select set-aside register instead for renaming
|
US7167989B2
(en)
*
|
2003-10-14 |
2007-01-23 |
Intel Corporation |
Processor and methods to reduce power consumption of processor components
|
US7707389B2
(en)
*
|
2003-10-31 |
2010-04-27 |
Mips Technologies, Inc. |
Multi-ISA instruction fetch unit for a processor, and applications thereof
|
US7246215B2
(en)
*
|
2003-11-26 |
2007-07-17 |
Intel Corporation |
Systolic memory arrays
|
US7406565B2
(en)
*
|
2004-01-13 |
2008-07-29 |
Hewlett-Packard Development Company, L.P. |
Multi-processor systems and methods for backup for non-coherent speculative fills
|
US7340565B2
(en)
*
|
2004-01-13 |
2008-03-04 |
Hewlett-Packard Development Company, L.P. |
Source request arbitration
|
US7409500B2
(en)
*
|
2004-01-13 |
2008-08-05 |
Hewlett-Packard Development Company, L.P. |
Systems and methods for employing speculative fills
|
US7383409B2
(en)
*
|
2004-01-13 |
2008-06-03 |
Hewlett-Packard Development Company, L.P. |
Cache systems and methods for employing speculative fills
|
US8301844B2
(en)
*
|
2004-01-13 |
2012-10-30 |
Hewlett-Packard Development Company, L.P. |
Consistency evaluation of program execution across at least one memory barrier
|
US8281079B2
(en)
*
|
2004-01-13 |
2012-10-02 |
Hewlett-Packard Development Company, L.P. |
Multi-processor system receiving input from a pre-fetch buffer
|
US7376794B2
(en)
*
|
2004-01-13 |
2008-05-20 |
Hewlett-Packard Development Company, L.P. |
Coherent signal in a multi-processor system
|
GB2410097B
(en)
|
2004-01-13 |
2006-11-01 |
Advanced Risc Mach Ltd |
A data processing apparatus and method for performing data processing operations on floating point data elements
|
US7409503B2
(en)
*
|
2004-01-13 |
2008-08-05 |
Hewlett-Packard Development Company, L.P. |
Register file systems and methods for employing speculative fills
|
US7360069B2
(en)
*
|
2004-01-13 |
2008-04-15 |
Hewlett-Packard Development Company, L.P. |
Systems and methods for executing across at least one memory barrier employing speculative fills
|
US7602785B2
(en)
|
2004-02-09 |
2009-10-13 |
Washington University |
Method and system for performing longest prefix matching for network address lookup using bloom filters
|
US7613950B2
(en)
*
|
2004-02-27 |
2009-11-03 |
Hewlett-Packard Development Company, L.P. |
Detecting floating point hardware failures
|
JP2005276104A
(ja)
*
|
2004-03-26 |
2005-10-06 |
Nec Electronics Corp |
マイクロコンピュータ
|
US7831642B1
(en)
*
|
2004-09-30 |
2010-11-09 |
Symantec Operating Corporation |
Page cache management for a shared file
|
US7800620B2
(en)
|
2004-11-05 |
2010-09-21 |
Microsoft Corporation |
Optimizing automated shader program construction
|
US7733347B2
(en)
*
|
2004-11-05 |
2010-06-08 |
Microsoft Corporation |
Automated construction of shader programs
|
EP1849095B1
(de)
*
|
2005-02-07 |
2013-01-02 |
Richter, Thomas |
Vorrichtung zur verarbeitung massiver paralleldaten mit geringer latenz
|
JP4952580B2
(ja)
|
2005-04-21 |
2012-06-13 |
富士通株式会社 |
プロセッサ装置
|
JP4837305B2
(ja)
*
|
2005-05-10 |
2011-12-14 |
ルネサスエレクトロニクス株式会社 |
マイクロプロセッサ及びマイクロプロセッサの制御方法
|
US8161252B1
(en)
*
|
2005-08-01 |
2012-04-17 |
Nvidia Corporation |
Memory interface with dynamic selection among mirrored storage locations
|
US7237095B1
(en)
|
2005-08-04 |
2007-06-26 |
Advanced Micro Devices, Inc. |
Optimum power efficient shifting algorithm for schedulers
|
US7376817B2
(en)
*
|
2005-08-10 |
2008-05-20 |
P.A. Semi, Inc. |
Partial load/store forward prediction
|
US7328330B2
(en)
*
|
2005-08-16 |
2008-02-05 |
International Business Machines Corporation |
Queue design supporting dependency checking and issue for SIMD instructions within a general purpose processor
|
US20070083737A1
(en)
*
|
2005-08-16 |
2007-04-12 |
Ibm Corporation |
Processor with efficient shift/rotate instruction execution
|
US7373486B2
(en)
*
|
2005-08-29 |
2008-05-13 |
P.A. Semi, Inc. |
Partially decoded register renamer
|
US20070198812A1
(en)
*
|
2005-09-27 |
2007-08-23 |
Ibm Corporation |
Method and apparatus for issuing instructions from an issue queue including a main issue queue array and an auxiliary issue queue array in an information handling system
|
US7350056B2
(en)
*
|
2005-09-27 |
2008-03-25 |
International Business Machines Corporation |
Method and apparatus for issuing instructions from an issue queue in an information handling system
|
US7996662B2
(en)
*
|
2005-11-17 |
2011-08-09 |
Apple Inc. |
Floating point status/control register encodings for speculative register field
|
US7702629B2
(en)
*
|
2005-12-02 |
2010-04-20 |
Exegy Incorporated |
Method and device for high performance regular expression pattern matching
|
US7954114B2
(en)
|
2006-01-26 |
2011-05-31 |
Exegy Incorporated |
Firmware socket module for FPGA-based pipeline processing
|
US7636703B2
(en)
*
|
2006-05-02 |
2009-12-22 |
Exegy Incorporated |
Method and apparatus for approximate pattern matching
|
US7840482B2
(en)
|
2006-06-19 |
2010-11-23 |
Exegy Incorporated |
Method and system for high speed options pricing
|
US7921046B2
(en)
|
2006-06-19 |
2011-04-05 |
Exegy Incorporated |
High speed processing of financial information using FPGA devices
|
US20080072015A1
(en)
*
|
2006-09-18 |
2008-03-20 |
Julier Michael A |
Demand-based processing resource allocation
|
US7620797B2
(en)
*
|
2006-11-01 |
2009-11-17 |
Apple Inc. |
Instructions for efficiently accessing unaligned vectors
|
US7624251B2
(en)
*
|
2006-11-01 |
2009-11-24 |
Apple Inc. |
Instructions for efficiently accessing unaligned partial vectors
|
US8326819B2
(en)
|
2006-11-13 |
2012-12-04 |
Exegy Incorporated |
Method and system for high performance data metatagging and data indexing using coprocessors
|
US7660793B2
(en)
|
2006-11-13 |
2010-02-09 |
Exegy Incorporated |
Method and system for high performance integration, processing and searching of structured and unstructured data using coprocessors
|
EP2106584A1
(de)
*
|
2006-12-11 |
2009-10-07 |
Nxp B.V. |
Pipeline-prozessor und compiler/planer für branch-delay-slots mit veränderlicher anzahl
|
US7725690B2
(en)
*
|
2007-02-13 |
2010-05-25 |
Advanced Micro Devices, Inc. |
Distributed dispatch with concurrent, out-of-order dispatch
|
JP5007860B2
(ja)
*
|
2007-04-17 |
2012-08-22 |
エヌイーシーコンピュータテクノ株式会社 |
ベクトル処理装置
|
US7870350B1
(en)
*
|
2007-06-07 |
2011-01-11 |
Nvidia Corporation |
Write buffer for read-write interlocks
|
US8561037B2
(en)
*
|
2007-08-29 |
2013-10-15 |
Convey Computer |
Compiler for generating an executable comprising instructions for a plurality of different instruction sets
|
US8095735B2
(en)
|
2008-08-05 |
2012-01-10 |
Convey Computer |
Memory interleave for heterogeneous computing
|
US9710384B2
(en)
*
|
2008-01-04 |
2017-07-18 |
Micron Technology, Inc. |
Microprocessor architecture having alternative memory access paths
|
US9015399B2
(en)
|
2007-08-20 |
2015-04-21 |
Convey Computer |
Multiple data channel memory module architecture
|
US7877559B2
(en)
*
|
2007-11-26 |
2011-01-25 |
Globalfoundries Inc. |
Mechanism to accelerate removal of store operations from a queue
|
US7882325B2
(en)
*
|
2007-12-21 |
2011-02-01 |
Intel Corporation |
Method and apparatus for a double width load using a single width load port
|
US10229453B2
(en)
|
2008-01-11 |
2019-03-12 |
Ip Reservoir, Llc |
Method and system for low latency basket calculation
|
US7913067B2
(en)
*
|
2008-02-20 |
2011-03-22 |
International Business Machines Corporation |
Method and system for overlapping execution of instructions through non-uniform execution pipelines in an in-order processor
|
US8374986B2
(en)
|
2008-05-15 |
2013-02-12 |
Exegy Incorporated |
Method and system for accelerated stream processing
|
US8806505B1
(en)
*
|
2008-06-30 |
2014-08-12 |
Bank Of America Corporation |
Service and project request processing within a business enterprise
|
WO2010077829A1
(en)
|
2008-12-15 |
2010-07-08 |
Exegy Incorporated |
Method and apparatus for high-speed processing of financial market depth data
|
US8539397B2
(en)
*
|
2009-06-11 |
2013-09-17 |
Advanced Micro Devices, Inc. |
Superscalar register-renaming for a stack-addressed architecture
|
US8150902B2
(en)
*
|
2009-06-19 |
2012-04-03 |
Singular Computing Llc |
Processing with compact arithmetic processing element
|
US8423745B1
(en)
|
2009-11-16 |
2013-04-16 |
Convey Computer |
Systems and methods for mapping a neighborhood of data to general registers of a processing element
|
US10037568B2
(en)
|
2010-12-09 |
2018-07-31 |
Ip Reservoir, Llc |
Method and apparatus for managing orders in financial markets
|
US9740494B2
(en)
|
2011-04-29 |
2017-08-22 |
Arizona Board Of Regents For And On Behalf Of Arizona State University |
Low complexity out-of-order issue logic using static circuits
|
WO2013095533A1
(en)
*
|
2011-12-22 |
2013-06-27 |
Intel Corporation |
Fault-aware mapping for shared last level cache (llc)
|
US10650452B2
(en)
|
2012-03-27 |
2020-05-12 |
Ip Reservoir, Llc |
Offload processing of data packets
|
US11436672B2
(en)
|
2012-03-27 |
2022-09-06 |
Exegy Incorporated |
Intelligent switch for processing financial market data
|
US10121196B2
(en)
|
2012-03-27 |
2018-11-06 |
Ip Reservoir, Llc |
Offload processing of data packets containing financial market data
|
US9990393B2
(en)
|
2012-03-27 |
2018-06-05 |
Ip Reservoir, Llc |
Intelligent feed switch
|
US9128725B2
(en)
|
2012-05-04 |
2015-09-08 |
Apple Inc. |
Load-store dependency predictor content management
|
US9600289B2
(en)
|
2012-05-30 |
2017-03-21 |
Apple Inc. |
Load-store dependency predictor PC hashing
|
US10430190B2
(en)
|
2012-06-07 |
2019-10-01 |
Micron Technology, Inc. |
Systems and methods for selectively controlling multithreaded execution of executable code segments
|
US9672044B2
(en)
*
|
2012-08-01 |
2017-06-06 |
Nxp Usa, Inc. |
Space efficient checkpoint facility and technique for processor with integrally indexed register mapping and free-list arrays
|
US9633093B2
(en)
|
2012-10-23 |
2017-04-25 |
Ip Reservoir, Llc |
Method and apparatus for accelerated format translation of data in a delimited data format
|
CA2887022C
(en)
|
2012-10-23 |
2021-05-04 |
Ip Reservoir, Llc |
Method and apparatus for accelerated format translation of data in a delimited data format
|
US10133802B2
(en)
|
2012-10-23 |
2018-11-20 |
Ip Reservoir, Llc |
Method and apparatus for accelerated record layout detection
|
US9977596B2
(en)
*
|
2012-12-27 |
2018-05-22 |
Dropbox, Inc. |
Predictive models of file access patterns by application and file type
|
US9336003B2
(en)
*
|
2013-01-25 |
2016-05-10 |
Apple Inc. |
Multi-level dispatch for a superscalar processor
|
US10152327B2
(en)
|
2013-03-15 |
2018-12-11 |
Intel Corporation |
Apparatus for gating a load operation based on entries of a prediction table
|
US10467010B2
(en)
|
2013-03-15 |
2019-11-05 |
Intel Corporation |
Method and apparatus for nearest potential store tagging
|
US9535744B2
(en)
*
|
2013-06-29 |
2017-01-03 |
Intel Corporation |
Method and apparatus for continued retirement during commit of a speculative region of code
|
US9367317B2
(en)
*
|
2013-07-03 |
2016-06-14 |
Intel Corporation |
Loop streaming detector for standard and complex instruction types
|
US10001993B2
(en)
*
|
2013-08-08 |
2018-06-19 |
Linear Algebra Technologies Limited |
Variable-length instruction buffer management
|
US11768689B2
(en)
|
2013-08-08 |
2023-09-26 |
Movidius Limited |
Apparatus, systems, and methods for low power computational imaging
|
US9983990B1
(en)
*
|
2013-11-21 |
2018-05-29 |
Altera Corporation |
Configurable storage circuits with embedded processing and control circuitry
|
US10616333B2
(en)
*
|
2014-04-09 |
2020-04-07 |
Stmicroelectronics S.R.L. |
System for the management of out-of-order traffic in an interconnect network and corresponding method and integrated circuit
|
GB2541577A
(en)
|
2014-04-23 |
2017-02-22 |
Ip Reservoir Llc |
Method and apparatus for accelerated data translation
|
US9710268B2
(en)
|
2014-04-29 |
2017-07-18 |
Apple Inc. |
Reducing latency for pointer chasing loads
|
US9824058B2
(en)
*
|
2014-11-14 |
2017-11-21 |
Cavium, Inc. |
Bypass FIFO for multiple virtual channels
|
US9658963B2
(en)
*
|
2014-12-23 |
2017-05-23 |
Intel Corporation |
Speculative reads in buffered memory
|
US9858078B2
(en)
|
2015-06-02 |
2018-01-02 |
International Business Machines Corporation |
Speculative load data in byte-write capable register file and history buffer for a multi-slice microprocessor
|
US11275590B2
(en)
*
|
2015-08-26 |
2022-03-15 |
Huawei Technologies Co., Ltd. |
Device and processing architecture for resolving execution pipeline dependencies without requiring no operation instructions in the instruction memory
|
US10942943B2
(en)
|
2015-10-29 |
2021-03-09 |
Ip Reservoir, Llc |
Dynamic field data translation to support high performance stream data processing
|
US9870039B2
(en)
|
2015-12-15 |
2018-01-16 |
International Business Machines Corporation |
Reducing power consumption in a multi-slice computer processor
|
US10514925B1
(en)
|
2016-01-28 |
2019-12-24 |
Apple Inc. |
Load speculation recovery
|
US10437595B1
(en)
|
2016-03-15 |
2019-10-08 |
Apple Inc. |
Load/store dependency predictor optimization for replayed loads
|
US9825647B1
(en)
*
|
2016-09-28 |
2017-11-21 |
Intel Corporation |
Method and apparatus for decompression acceleration in multi-cycle decoder based platforms
|
WO2018119035A1
(en)
|
2016-12-22 |
2018-06-28 |
Ip Reservoir, Llc |
Pipelines for hardware-accelerated machine learning
|
DE112018002568T5
(de)
|
2017-05-19 |
2020-02-27 |
Movidius Ltd. |
Verfahren, Systeme und Vorrichtung zur Reduzierung von Speicherlatenz beim Abrufen von Pixelkernen
|
US11126663B2
(en)
|
2017-05-25 |
2021-09-21 |
Intel Corporation |
Method and apparatus for energy efficient decompression using ordered tokens
|
US11086625B2
(en)
*
|
2019-09-10 |
2021-08-10 |
Apple Inc. |
Compression assist instructions
|
CN111459549B
(zh)
*
|
2020-04-07 |
2022-11-01 |
上海兆芯集成电路有限公司 |
具有高度领先分支预测器的微处理器
|
US11650957B2
(en)
*
|
2021-06-01 |
2023-05-16 |
International Business Machines Corporation |
Receiving at a cache node notification of changes to files in a source file system served from a cache file system at the cache node
|
US11645238B2
(en)
|
2021-06-01 |
2023-05-09 |
International Business Machines Corporation |
Notifying a cache file system of changes to files in a source file system served from the cache file system
|
CN114116533B
(zh)
*
|
2021-11-29 |
2023-03-10 |
海光信息技术股份有限公司 |
利用共享存储器存储数据的方法
|
CN117348933B
(zh)
*
|
2023-12-05 |
2024-02-06 |
睿思芯科(深圳)技术有限公司 |
一种处理器及计算机系统
|