JP3349156B2 - 薄膜を成長させるための方法と装置 - Google Patents

薄膜を成長させるための方法と装置

Info

Publication number
JP3349156B2
JP3349156B2 JP51734396A JP51734396A JP3349156B2 JP 3349156 B2 JP3349156 B2 JP 3349156B2 JP 51734396 A JP51734396 A JP 51734396A JP 51734396 A JP51734396 A JP 51734396A JP 3349156 B2 JP3349156 B2 JP 3349156B2
Authority
JP
Japan
Prior art keywords
substrate
gas
reaction space
reaction
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP51734396A
Other languages
English (en)
Other versions
JPH09508888A (ja
Inventor
スントラ,トゥオモ
リンドフォルス,スヴェン
ソイニネン,ペッカ
Original Assignee
エイエスエム マイクロケミストリ オーワイ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エイエスエム マイクロケミストリ オーワイ filed Critical エイエスエム マイクロケミストリ オーワイ
Publication of JPH09508888A publication Critical patent/JPH09508888A/ja
Application granted granted Critical
Publication of JP3349156B2 publication Critical patent/JP3349156B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C10/00Solid state diffusion of only metal elements or silicon into metallic material surfaces
    • C23C10/06Solid state diffusion of only metal elements or silicon into metallic material surfaces using gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【発明の詳細な説明】 本発明は薄膜を成長させるための請求項1の前提部分
に記載された方法に関する。
本発明の方法においては、反応空間内に置かれた基板
を薄膜成長法で使用される少なくとも2種類の異なる反
応体との交互に繰り返される表面反応にかける。この方
法においては、各反応体はくりかえしかつ交互に蒸気相
でそれ自身の供給源から反応空間に入れられ、そこでは
反応体は基板の上に固相薄膜を形成させるべく基板表面
と反応することができる。反応生成物は基板に付着する
ことがなく、また、場合によっては存在する過剰の反応
体は気相で反応空間から除去される。
本発明はさらに請求項8の前提部分に記載された装置
にも関する。
従来、薄膜は真空蒸着法、分子線エピタキシー(MB
E)法および他の類似の真空堆積法、化学蒸着(CVD)法
の種々の変法(低圧および金属有機CVDおよびプラズマC
VDを含む)あるいは原子層エピタキシー(ALE)法と呼
ばれている、交互に表面反応を繰り返す上記堆積法など
を使用して成長していた。MBE法とCVD法では、薄膜成長
速度は、他のプロセスファクターのほかに、前駆物質供
給流の濃度によっても影響される。最初のカテゴリーの
従来法によって堆積される層の厚さの均一性を達成する
ためには、したがって、前駆物質の濃度と反応性を基板
面積全体にわたって慎重に一定に保持する必要がある。
例えばCVD法の場合において、異なる前駆物質が、基板
表面に到着する前に、互いに混ざり合ってしまうと、そ
れら前駆物質どうしの早まった反応の機会が出てくる。
したがって、気体状反応体の供給流路の中ですでにミク
ロ粒子が形成される危険が切迫したものとなる。このよ
うなミクロ粒子は一般に薄膜の品質に対して悪影響を及
ぼす。したがって、MBEおよびCVDの反応器内の早まった
反応の可能性が、早過ぎず丁度基板表面のところで前駆
物質を加熱することによって回避される。加熱のほか
に、例えばプラズマまたは他の同様な活性化手段を使用
して所望の反応を開始することもできる。
MBE法とCVD法では、薄膜の成長は主として基板に衝突
する前駆物質の流入速度を制御することによって調整さ
れる。これに対して、ALE法では堆積速度を制御するた
めに前駆物質濃度や流れ変数に依存するよりも、むしろ
基板表面品質に依存することを基本としている。ALE法
の場合の唯一の予備条件は前駆物質が基板のすべての側
においても薄膜形成のために十分な濃度で利用できるこ
とのみである。
ALE法はフィンランド特許第52359号および第57975号
明細書ならびに米国特許第4058430号および第4389973号
明細書に記載されている。これらの明細書にはまたその
方法を実施するために適当ないくつかの装置例が開示さ
れている。薄膜成長のための装置構成は下記の文献にも
記載が見られる:Material Science Reports 4(7)(1
989),p.261およびTyhjioetekniikka(Finnish publica
tion for vacuum technique),ISBN 951−794−422−5,
pp.253−261。
ALE成長方法では原子または分子が基板面を掃引する
よう配列され、連続して基板表面に衝突して基板上に完
全に飽和された分子層が形成される。フィンランド特許
第57975号明細書から公知の従来法によれば、飽和工程
に続いて不活性ガスパルスによって拡散バリアーが形成
され、過剰の前駆物質および気体反応生成物が基板上か
ら掃き出される。異なる前駆物質の逐次パルスおよび前
駆物質を分離する不活性ガスの拡散バリアーの逐次パル
スとが異なる物質の表面力学によって制御された速度で
薄膜の成長を達成する。このような反応装置は“移動
波”反応器と呼ばれる。この方法の機能にとっては、ガ
スが移動すあるか基板が移動するかは無関係である。む
しろ肝要なことは、逐次反応工程の異なる前駆物質が互
いに分離されそして基板に逐次衝突するように配列され
ることである。
ほとんどの真空蒸発器はいわゆる“シングル−ショッ
ト(single−shot)”の原理で作動する。この場合で
は、気化した原子または分子種はただ一度だけ基板に衝
突できる。その種と基板表面との反応が起こらない場合
には、その種は装置の壁ではね返されるかまたは再気化
されて装置壁または凝縮へ行く真空ポンプへの入口に衝
突する。高温壁反応器では、反応器壁または基板に衝突
した原子または分子種は再気化され、これによってその
種が基板に反復衝突するための有利な条件が創出され
る。この“マルチ−ショット”の原理をALE反応器に適
用すると、特に、物質利用効率が向上する。
ALE薄膜成長法においては、もし前駆物質が流れ力学
または他のファクターに関連した理由によって基板全領
域に不均一に分配された場合には、薄膜の均質な成長は
ほとんど保証されない。保証されるのは各反応体パルス
において大量の前駆物質が基板に供給され、流れの最も
少ない地点にも各反応体パルスの間十分に豊富な量が導
入される場合に限られる。しかしながら、流れ幾何学に
おける不連続性が濃度差を数十倍まで大きくする可能性
があることを考慮すれば、好ましからざる流れ幾何学は
薄膜成長自体によって必要とされるレベルよりもはるか
に大きい量で前駆物質を脈動させる必要があるであろ
う。このような補償は過剰投与と呼ばれており、そして
これは前駆物質の化学のごとき他の理由によっても記述
されるであろう。
大きな過剰投与に頼ることなく、ALE成長法で基板全
体に十分量の前駆物質を与えるために、二者択一的な下
記の2つの方法がガスの均一分配を確保するために使用
されている: 1.基板上における圧力を低くして分子の平均自由行程が
形成されるように装置を構成する。すなわち、分子相互
または壁との平均相互衝突距離が基板間の空隙よりも大
きくなるように構成する。このようにすれば、気体分子
衝突の大部分は基板上で起こりそして希薄ガスの分子も
基板全体に均等に分配することができる。平均自由行程
が装置系内の典型的壁間距離dまたは少なくともその1/
100のオーダーである場合には、ガス流は粘性流と分子
流との間の中間圧力域で起こる転移領域内にあるといわ
れる。1ミリバールの圧力かつ室温において、窒素分子
の平均自由行程は64μmであり、0.01ミリバールの圧力
では6.4mmである。ALE反応器内では、基板相互間距離は
通常数ミリメーターのオーダーである。それ故、上記し
た状態を得ようとするならば、圧力は約1ミリバールあ
るいは好ましくはそれ以下でなければならない。
2.装置を高圧力で作動し、これによって分子の平均自由
行程は小さくなりそしてガス流はもはや転移領域内には
ないが、その代わり粘性となる(衝突距離がd/100より
小さい場合)。粘性状態では、ガス流は分子の集団運動
によって生じ、分子どうしは頻繁に衝突して結合し、低
圧力に向かう。熱運動による分子相互の混合が分子の相
互拡散として証明されている。このような装置例におけ
る狙いは、ガス流を横切る方向の拡散速度がガス流の流
速に関連して小さくなるので、異なるガス流均等化ガイ
ドとノズルを使用して基板全体に均等にガスを分配する
ことである。
一番目の方法は実現可能な寸法の装置に要求される低
圧に問題がある。圧力を1桁減少すると、ポンプの容積
容量も1桁増加しなければならない。これによってガス
流速は、一定の質量流れ速度を想定した場合、同じく1
桁だけ増加する。しかしながら、音速がガス流速の絶対
的限界となりそしてポンプの価格も容易に不当なほど高
くなる。さらに、低圧が使用された場合には、反応器の
寸法も大きくして内圧を上昇させるような圧力損失を生
じさせないようにガス移動を向上しなければならない。
しかしながら、これも作動圧力の一層の低下を余儀なく
するであろう。
圧力は反応空間洗浄と前記物質搬送のための低流量を
使用することによっても低下されうる。搬送されるべき
前駆物質の量は不変のままでありそして十分な洗浄回数
も必要であるから、結果としてプロセス時間が長くな
る。このことは実験用反応装置では問題とならないであ
ろうが、大きい基板面積を有する生産装置および操作で
は問題が大きく複雑となる。
二番目の方法による例では、操作圧力は一般に2乃至
10ミリバールのオーダーであり、これによって必要なポ
ンプも妥当なサイズをもつ。配管と基板ホルダーの寸法
もいまだ容易に実現可能な範囲にありそしてガスの流れ
時間および流れ速度も妥当である。
基板全面積にわたり均一にガスを分散させるためには
各種の衝突器と制限器が使用される。衝突器の中ではガ
ス流はガス流の拡大と混合を起こす面に衝突するようア
レンジされる。さらに、ガス流の通路には多数のこのよ
うな衝突器が直列に配置されうる。他方、並列配置され
る制限器の機能は制限部の入来側のガス空間自体が各制
限部を通るコンダクタンスよりもはるかに大きい流れコ
ンダクタンスを有するように設計することに基づいてい
る。このようにして、すべての並列配置された制限部が
ガス流に対して1つの等しい通路を提供し、しかして制
限部を通過する時にガス流に均一な線状または平面状の
形をとらせる。このような制限部は、例えば、細いスリ
ット、複数の孔(パイプ)の平行ライン、燒結材料およ
びその他の類似の構造によって準備できる。
例えガス流が均質化できるとしても、粘性ガスがより
圧力の低い領域、これはここで反応空間内のガス流出開
口部である、に向かって流れる傾向のために、いぜんと
して均等な薄膜成長を達成するという実際的問題が残
る。
本発明の1つの目的は上記の従来技術の欠点を克服し
そして均質薄膜を成長させるための全く新規な装置を提
供することである。
本発明の目的は基板の後ろのガス流路上に制限の支配
地点と呼ばれる流れ均質化制限部を配置することによっ
て達成される。このように構成した場合には、粘性気相
前駆物質は有利にも基板の全面にわたって反応チャンバ
ー内のより高圧位置に置かれる、あるいはまた、反応チ
ャンバーが向き合わせて配置された2枚の基板によって
形成されている場合は、2つの基板上、2つの基板間の
高圧位置に置かれる。この構成においては、ガス流を横
切る方向のコンダクタンスはガスドレイン(gas drai
n)に通じる制限通路全体におけるコンダクタンスより
も大きい。このようにして基板間の空間内の圧力が均等
化されることによって、流入ガスが均質であるならば濃
度勾配はなくなる。
本発明による方法はカセット状反応チャンバーパック
構造を有する装置において有利に実施することができ
る。このような装置では、反応空間は垂直(または水
平)積み重ねが許容される設計の複数の平面状エレメン
トから構成される。これらのエレメントの少なくともい
くつかは互いに同じものである。カセット状パックの組
立時には、基板はモジュールエレメントに水平に、その
エレメントに形成された保持くぼみ内に保持されて置か
れる。反応体の流入路と気体反応生成物ならびに過剰反
応体の流出路が、基板平面を水平配置した場合には、既
製組立カセットパック中に残されて形成された垂直通路
によって準備される。基板保持くぼみがそのくぼみと流
出通路の間に設計されたしきいを介して結合される。こ
の時にそのしきいは既製組立カセットパック内の基板に
平行な面内に細いスリットを形成する。これによって、
そのスリットが反応チャンバーから流出するガスのため
の制限部として働く。
より特定的には、本発明による方法は請求項1の特徴
部分に記載されている内容によって基本的に特徴づけら
れる。
さらにまた、本発明による装置は請求項8の特徴部分
に記載されている内容によって基本的に特徴づけられ
る。
本発明の記載において、“反応体”という用語は基板
表面と反応しうる気化可能な物質を意味する。ALE法に
おいては、異なる2つのグループに属する反応体が通常
使用される。この反応体は固体、液体または気体であり
うる。“金属反応体”という用語は元素金属でもありう
る金属化合物について使用される。適当な金属反応体は
金属のハロゲン化物、例えば、塩化物または臭化物、お
よび金属−有機化合物例えばthd錯化合物である。金属
反応体の例はZn、ZnCl2、Ca(thd)、(CH33Alおよ
びCp2Mgなどである。“非金属反応体”という用語は金
属化合物と反応しうる化合物または元素をさす。その適
当な代表例は水、硫黄、硫化水素およびアンモニアなど
である。
本発明の記載において“不活性”ガスという用語は反
応空間に入ることが許容されそして反応体と基板に関し
て所望されない反応を防止しうるガスを指すために使用
されている。所望されない反応とは、例えば、存在可能
な不純物を有する基板と反応体との反応を含む。不活性
ガスは、また、例えば流入配管内の異なる反応体グルー
プの物質類の間の反応を防止するためにも役立つ。本発
明による方法においては、不活性ガスはまた反応体の気
相パルスのキャリヤーガスとしても有利に使用される。
異なる反応体グループの反応体類が別個の導入マニホル
ドを介して反応空間の中に入る好ましい実施態様によれ
ば、気相反応体パルスは一方の流入路から入り、不活性
ガスは他方の流入路から導入され、ここで不活性ガスの
流れが、流入反応体が他方の反応体の流入路に入るのを
防止する。本方法で使用するために適当な不活性ガスと
しては窒素ガスや希ガス例えばアルゴンがあげられる。
本来は反応性であるガス例えば水素ガスのごとき基板表
面で所望されない反応(例えば酸化反応)が起こるのを
防止するために役立つガスも不活性ガスとして使用しう
る。
本発明において、“反応空間”という用語はその中に
基板が置かれそしてその中で気相反応体が薄膜を成長さ
せるため基板と反応することができる空間(すなわち、
反応チャンンバー)ならびにこの反応チャンバーと直接
連通するガス流入/流出路の両者を含む。ガス流入/流
出路は反応体が反応チャンバーに入るための通路(流入
路)ならびに薄膜成長工程の気体反応生成物と過剰の反
応体を反応チャンバーから除去するための通路(流出
路)である。実施態様の構成によれば、流入路と流出路
の本数はそれぞれ1本からより多数の本数まで変更でき
る。ガス流入/流出路は基板の相対する両端に向かって
配置することもできる。これによって、一方の反応体の
ための流出路を他方の反応体のための流入路と、有利に
はバッフルによって分離して、同じ端部に配置すること
ができる(第3図に示した実施態様参照)。すなわち、
複数の気相反応体を反対方向から交互に基板上に供給す
ることができる。この構成によると通常基板の流入端で
起こるより高い薄膜成長速度を補償することができる。
この構成の場合、流出路に印加される吸引真空も交互に
反対方向から印加されるようにする。
本明細書で、“基板表面”という用語は反応チャンバ
ーの中に流入する気相反応体が最初に衝突する基板の上
面をさす。実際には、薄膜成長工程の最初のサイクルで
はこの表面は基板例えばガラスの表面である。第2のサ
イクルの時にはこの表面は2つの反応体の間の反応によ
って堆積しそして基板等に付着した固体反応生成物を含
む層によって構成されている。
本発明によれば、各基板上を通る流れは原則として反
応チャンバーの流出端にある制限部によって制御され
る。流れをより良く均一化するために、流れ制限部を基
板のガス流入端側にも配置することができる。そして制
限部の支配的地点を基板自体に配置することができる。
後者の場合、複数の基板を反応チャンバー内に配置して
基板面と対向壁(例えば別の基板の表面)との間に残る
空間を作り反応チャンバーを通るガス流に対する制限部
として働らかせる。
本発明の実施態様において、均質な薄膜成長を達成す
るためには反応体ガス混合物の均質化工程とその流れの
均質化工程とを別々に行うことが肝要であることが見い
だされた。これらの均質化工程は2つの相互に異なる工
程であり、両者が単一の構造部品を使用して実施される
場合でも、それらは原理的に別々のものである。従来技
術の実施態様では、ガス流の均質化のみが試みられてき
た。均質化についてはさらに詳細に後述する。
本発明によれば、薄膜成長装置は少なくとも3つのゾ
ーンに分割される。すなわち、ガス均質化ゾーン、基板
と反応体との反応ゾーンおよびガス流制限ゾーンであ
る。これらのゾーンのうち、ガス均質化ゾーンは典型的
には反応ゾーンの前あるいは反応ゾーンと連結して配置
される。そしてガス流制限ゾーンは反応ゾーンの次に配
置される。あるいはガス流制限ゾーンは反応ゾーンに先
行するセクションと反応ゾーンに後続するセクションに
分割される。
有利には、本発明による装置は均質化の問題を少なく
するため、濃度差を生じる不活性ガス希釈が最低限とな
るよう運転される。それにもかかわらず、気化反応体と
不活性ガスのガス混合物がその中で均質化される均質化
ゾーンを装置に設けるのが有利であることが判明した。
この均質化ゾーンは装置の基板ホルダー内に配置するこ
とができる。この場合、均質化ゾーンは基板の前のガス
流制限点によって形成される。また、均質化ゾーンは原
料群と関連した別個の部品として配置することができ
る。あるいはまた、均質化ゾーンはガス混合物の均質化
を促進するような仕方でパイプ構造を設計することによ
っても実現することができる。
基板ホルダー内に配置された均質化ゾーンの一例とし
て、後記の実施態様は薄膜成長のために少なくとも2種
の化合物反応体成分を使用する場合に特に好適であると
いえる。
すなわち、各反応体グループはそれぞれの流入路を介
して直接反応チャンバーに供給される。反応体が基板と
接触する前に、この反応体は別の反応体グループの流入
路から入来する不活性ガスとの混合および均質化を受け
る。それぞれ異種の反応体グループの流入路の入口開口
部は薄膜成長のため基板の近くで反応チャンバーに受け
入れられている。これらの入口開口部は以下においては
反応体“供給開口部”と記載される。2つの供給開口部
の間にはバッフルが設けられており、これは一方の流入
路から入来する反応体が他の反応体グループの流入路に
直接衝突するのを防ぐことができる。内部汚染の危険を
排除するために、反応体の供給時に使用されていない流
入路を通じて不活性ガスを流すのが有利である。反応体
供給開口部はバッフルの反対側に配置されておりそして
反応体の流入流はバッフルに対して垂直に向けられる。
これによって、ガス流は実質的に平面流へ拡散され“扁
平”流れパターンが生じる。反対方向から入来するキャ
リヤーガス流と気相反応体流の両者はそれぞれバッフル
に衝突した時に扁平となり、両者はそれらの混合流が基
板と接触する前に混合される。本カセット構造体の全表
面は反応温度に保持される。
上記に概略説明した実施態様は後で第3図の記載に関
連してさらに詳細に説明される。
今回、拡散による物質輸送は扁平化された複数のガス
流の間で非常に効率的でありそして基板へ導入されるガ
スはその組成と流れの2つの点で格別に均一となること
が判明した。
別の方法として、均質化ゾーンを反応チャンバーの前
方に配置することもできる。第2図には気相反応体を含
有するガス流が、場合によっては不活性ガス流と一緒
に、反応空間への供給開口部内でバッフルに衝突する流
れを作る手段で均質化される実施態様が示されている。
これによって流れは上記した様式で平らにされて扁平流
れパターンをとる。この形の流れは細いスリットつき断
面を有する長い流入路を介して反応チャンバーに入る。
一般に、ガス流は本発明による装置の所望の部分にお
いて、環状路を通る流れをその流れに垂直に配向された
バッフルに衝突させることによって扁平にすることがで
きる。このようなバッフルには定められた方向に出射す
る扇形スリットを付加加工しておき、衝突する流れがこ
の扇形スリットの中に取り込まれるようにすることがで
きる。次に、この最初に扁平化された流れを典型的な平
らなガス流入路または平らな反応チャンバーに入れる。
反応チャンバーの流入側において、ガスは流れの扁平化
と関連して90゜曲げて流される。この曲げとそれに伴う
ガス流の衝突との組み合わせによって流れの横断方向の
均質化は促進される。
したがって、流れパターンは例えば、反応チャンバー
パックのベースプレートで濃度に関してまず均質にさ
れ、その後でそれは横断方向の濃度差(すなわち、横方
向の圧力勾配)をなくすように扁平化される。このあ
と、この扁平ガス流フロントが並列接続された反応チャ
ンバーに分配される。最後に、各反応チャンバーの中で
ガス流を適当にアレンジしてガスフロントが基板全体を
均一に移動するのを確保する。細い反応チャンバーによ
って提供される並列接続された制限部およびそれらのガ
ス流入制限部と流出制限部とが全体のガス流を各反応チ
ャンバーの間に均等に分割するために役立つ。反応チャ
ンバーの固有の流れ制限部と、流入および流出制限部と
が互いに関連して各チャンバーを通過する流れの均一性
を確保するために役立つ。
目的は反応ゾーンに均質なガス流を確実に通すことで
ある。反応チャンバーを狭く形成する(すなわち、基板
上にチャンバーを横断する方向の幅に比較して小さな自
由高をもたせる)ことによって、ガス流は反応チャンバ
ーの中でなんらかの横断方向濃度プロフィールをとるこ
とを防止される。基板を取り囲む反応チャンバーをその
広い方の壁を加工を受ける基板の近くに配置するように
設計されると特に有利である。チャンバーの上壁をその
下の基板の上面と平行に整列するのが好ましい。
反応チャンバーの供給開口部をスリット状に形成する
ことによって、流れが反応チャンバーの内部で圧力勾配
を形成するのを防止する。したがって、薄膜成長工程の
反応体成分はいかなる横断方向濃度プロフィールも出現
させることなく基板の幅全体にわたって均等に分配され
る。
プロセス制御流れ制限部、すなわち、ガス流通路上の
基板の後の流出制限部は別個のエレメントとして設計す
ることもできるし、あるいはまた既設組立カセットパッ
ク構造の中の平面状基板ホルダーエレメントの一部分と
して一体的に設計することもできる。金属前駆物質と非
金属前駆物質の両者が別個の流れ制限部を通って供給さ
れうる。これによって制限部内の流量を、制限部内の流
れが異なる反応体グループの供給源からの流入パイピン
グ間に化学バリヤーを形成する隔離流として作用するよ
うにするように、寸法決めすることができる。
好ましい実施態様によれば、流れ制限部は真空源(例
えば真空ポンプ)への流出路と反応チャンバーとの間に
形成された細い排気吸引スリットとして具体化される。
このような吸引スリットは単一の連続スリットとして形
成してもよいしまた多数の小さな並行スリットとして形
成することもできる。この単一または複数スリットの流
れの出て行く方向においてその(それら)スリットより
も大きい相対流れコンダクタンスを有する大容量反応チ
ャンバーによって先行される。
有利には、本発明による装置は垂直または水平に積み
重ねられた平面エレメント(planar element)を包含す
る。これらエレメントは反応チャンバーとガス流路に対
応するくぼみ/みぞが加工されている。これらのエレメ
ントの少なくともある個数は互いに同一のものである。
反応チャンバーは垂直または水平に積み重ねられた平面
エレメントの間のフラットなスペースとして形成され
る。それらの平面エレメントのエッジ領域には丸いある
いは楕円形のノッチまたは開口部が設けられる。これら
開口部は平面エレメントを貫通してのびそして平面エレ
メントが反応チャンバーパックを形成するため垂直また
は水平に組み立てられた時、反応チャンバーの平面に対
して垂直に配向されたダクトとして反応空間のガス流路
を形成する。このような反応体流入側の丸い開口部の個
数は好ましくは各反応体グループについて1つである。
これは実際上は2つの開口部を意味する。流入側に必要
な長方形開口部の個数はただ1個である。
平面エレメントの中央部分にはエレメントの平面から
後退したくぼみ領域を設けることができる。これらのく
ぼみ領域はそれらの反応体流入側と流出側において上記
ノッチまたは開口部と接続される。これらのくぼみ領域
は反応空間の反応チャンバーまたはその一部分を形成す
る。エレメントのくぼみ領域は平面エレメントの厚さ全
体を貫通してのびるほどに深くすることができる。この
場合にはエレメントの中央部分に開口部ができる。好ま
しくは、くぼみ領域の内側エッジは少なくともくぼみの
対向する2つの辺に沿って基板のエッジの形に合わせら
れる。これによって基板をくぼみの中に置くことが可能
となる。所望の場合には、くぼみの内側エッジには基板
を支持するために役立つブラケットを設けることができ
る。後者の場合、反応チャンバーパックの広い壁は平面
エレメントの中央開口部の中に置かれた基板によって形
成される。これにより複数の基板を、例えば、基板の上
側が互いに向き合うように整列させることができる。
平面基板保持エレメントのカセット状パックはガス漏
れシールドを組み入れ、このシールドは平面エレメント
の表面のエッジ近くの位置にガス漏出物を捕集するため
の取巻き吸引溝を設けることによって完成する。この吸
引溝は真空が支配している流出路と連通している。この
吸引溝の目的は外部汚染物が反応空間に入り込むのを防
止することおよび、逆に、反応体が反応空間の外に漏れ
るのを防止することである。この吸引溝の中の隔離流
は、反応生成物ガス流の最大制限が流出路に近い基板の
流出端のところで起こるようになっている場合には、最
適のシールド効果をもたらす。
カセットパック構造体の部品はALE法で使用される反
応体に関して不活性な材料でつくられる。好ましい材料
はガラスおよび類似のケイ酸塩ベース化合物ならびに各
種セラミック材料である。
本発明による構成は従来のALE反応装置と比較して顕
著な利点をもつ。例えば、基板面上へのガス分配がはる
かに均一であるので、過剰投与が僅かであり、前駆物質
の消費とプロセス時間の節約が達成される。さらにま
た、製造される薄膜の品質も向上する。
上記した装置構造の問題の新規な解決法によって反応
空間構造体の重量が減少し、かつまた装置内の構成部材
の点数も減少する。反応チャンバーの水平または垂直積
み重ねパックは流入路と流出路の長さをそれぞれ短縮す
る。このことは特に最後に述べた実施態様の場合、すな
わち、基板が反応チャンバーの壁ならびに反応チャンバ
ー間の中間壁としての役割を果たす場合に該当する。
以下、本発明を添付の図面を参照しながらさらに詳細
に説明する。
添付図面中、 第1図は本発明の第1実施態様の反応チャンバーパッ
クのための簡単化した構造の長手方向側断面図である。
第2図は第1図に示した第1実施態様の支持台に取り
つけた状態での側面図である。
第3図は本発明の第2実施態様の反応空間構造のため
の簡単化した構造の長手方向側断面図である。
第1図を参照すると、図面に示した装置構造は同一の
平面エレメント10を相互に積み重ねて組み立てられた反
応空間すなわち反応チャンバーパック1と包含する。こ
のパックの中にはガス流路7、4と反応チャンバー13と
が平面エレメントに設けられた開口部およびノッチによ
って形成されている。本装置は8つの基板12が置かれた
4つの反応チャンバー13を包含するものとして示されて
おり、それらの基板12の上にALE法を使用して薄膜が成
長される。参照数字3は本反応チャンバーパックをポン
プ入口と連通しているパイプに接続している接続部を示
す。この接続部は気相反応生成物と過剰反応体の流出路
4と連通している。これによって、流出路は反応チャン
バーからの流出物を集めるマニホールドとして働く。同
様に、参照数字2は気相反応体のための流入開口部を示
し、この流入開口部はさらに反応体流入路7と連通して
いる。
平面エレメントにはガス漏出物を捕集するための、取
巻き吸引溝5が設けられている。この吸引溝は流出路4
と連通している。この吸引溝の目的は反応空間に外部汚
染物が入り込むのを防止することならびに反応体が反応
空間の外部に漏出するのを防止することである。すなわ
ち、この溝は反応空間のための絶縁ガスシールとして働
く。
これらの平面エレメントを積み重ねる時に、各2枚の
重ねられたエレメントの間には中間板6が置かれる。そ
の目的は流入路7から反応チャンバー13への入口スリッ
ト8の断面および反応チャンバーから流出路4へのびる
出口スリットの断面、すなわちガス流制限部、を置くこ
とによってガス流の制限部を設定することである。
一番上の反応チャンバーの上半分は反応チャンバーパ
ックの頂板9として働きそして、同様に、最下の反応チ
ャンバーの下半分は底板11として働く。底板は支持ベー
ス17の上に取りつけられている。その頂板と底板の間に
3枚の互いに同じ平面エレメント10が積み重ねられてい
る。各平面エレメントは、まず第一に、基板13と共に、
積み重ねられた隣接する2つの反応チャンバーの間の壁
を形成し、そして第二には、中間板6および他の付属板
と共に、流入路7と流出路4を形成する。平面エレメン
トの枚数は0乃至100枚の範囲で変えられる。
流入/流出路7、4と反応チャンバーは、“扁平化”
ガス流を促進しかつ反応空間の容積を小さくするため
に、長手方向に細い長方形断面を有するように形が定め
られる。
第1図に示した実施態様では、異なる反応体グループ
の気相反応体パルスが交互に流入路7内に供給される。
供給前に、ガス流入パルスは流入路7またはその前で不
活性ガス流と均質化される。図式的に長手方向断面図と
して示されている流入路内において、気相反応体パルス
は平面形状に扁平化されて移動し、画定された先行フロ
ントを有する通路に沿って進行する。この流れフロント
は基板の幅と等しい幅、例えば、約10乃至30cmの幅を有
する。フロントの厚さは約1乃至3cmである。
流入路内を進行する流れは、ガス流制限部を有する反
応空間を、流入路7の流れコンダクタンスが反応チャン
バー13を介する流れコンダクタンスよりもはるかに大き
くなるように寸法ぎめすることによって、平行に積み重
ねられた反応チャンバー13の間に均等に分配される。各
反応チャンバーを通る流路は他のチャンバーを通るガス
流のコンダクタンスと等価(同等)なコンダクタンスを
有していなければならない。こうすれば、ガス圧と流量
は各反応チャンバー間でバランスされ、したがって、薄
膜成長速度も各反応チャンバー内で等しくなる。反応チ
ャンバー13の内部では、流れパターンはガス流制限部と
して働くように反応チャンバーの流出端に形成された細
い吸引スリット14によって均等化される。スリットのコ
ンダクタンスはその広い壁の間の隙間、これは典型的に
は0.1乃至2mmのオーダーである、の三乗に比例しそして
スリットの長手方向寸法は加工される基板の短辺と少な
くとも等しい(例えば6"、8"または12")寸法であるか
ら、スリットに対する製造許容誤差の条件はきわめて厳
しい。このような狭いスリットの製作は複雑かつ費用が
かかるから、吸引スリット14は、それらの間が細い狭窄
部によって支持された多数の小さい平行スリットによっ
て形成することもできる。このようにすれば、ガス流は
均等分配されたパターンでスリットを通って出て行くこ
とになる。反応チャンバー13内では、これは均一な伝播
ガスフロントを意味する伝播ガスパルスの先行エッジの
均一化された横断圧力勾配として表れる。低投与限定反
応体空乏試験(underdose−limited reagent depletion
test)(低減した反応体投与を伴う)において、ガス
フロントがきわめて直線的輪郭を有することが判明し
た。
ガスフロントの均一化された横断方向流出流パターン
を確保することは非常に重要である。なぜならば、ガス
分子は最低圧力の方向に向かって移動する傾向(最有効
吸引)があり、そのため不均一な吸引を受けると真っ直
ぐなガスフロントが乱されてしまうからである。さら
に、均一な吸引作用は別のなんらかの理由で乱されたガ
スフロントを修正する。
気相反応体が反応チャンバーから、特に反応空間から
退出した後は、それらがどのように混合されようとも成
長されている薄膜にはなんら害はない。
第1図に示した実施態様では、制限部は基板の前のガ
ス流の通路(8)と基板の後の通路(14)の両方に設け
られている。この構成は基板全体にわたってきわめて均
質な流れを確保することができる。
次に第2図を参照すると、前記において説明したカセ
ットパック構造が支持ベース15の上に組み立てられた状
態で示されている。この支持ベースの中には、反応空間
に導入されるガスのためのガス流入路16が形成されてい
る。この支持ベースの通路の壁に衝突した時に、不活性
ガスと混合された気相反応体パルスは明確に画定された
先行フロントを有して伝播する扁平流れパターンをと
る。この場合にも、流れの幅は基板の幅とほぼ等しい。
流入路を介してこのガス流は次に上記した様式で反応チ
ャンバーに入る。
第2図に示した実施態様の重要な点はガス流が扁平化
された平面流として反応チャンバーに入る前に、そのガ
ス流が、反応空間に供給される間に均質化されることで
ある。
第3図を参照すると、前記した実施態様とはやや相違
する実施態様が示されている。図中の参照数字の意味は
以下の通りである: 21. 反応チャンバーパック 22. グループAの前駆物質のための流入ダクト開口部 23. グループBの前駆物質のための流入ダクト開口部 24. ポンプの吸引入口と連通するパイプのための接続
部 25. 並列積み重ね反応チャンバーからの流出スリット
のための捕集流出路 26. 漏出ガスを捕集するための平面エレメントを取巻
く吸引溝、この吸引溝は捕集流出路と連通している。
27. 流出スリットの高さ、すなわち、ガス流制限部を
設定するために役立つ中間板。流出制限部を設定する機
能に加えて、この中間板は流入側で異なる前駆物質グル
ープを分離するバッフルを形成する。
28. グループBの前駆物質のための流入路 29. グループAの前駆物質のための流入路 30. 並列反応チャンバーへ前駆物質流を分配するため
に役立つ流入路 31. 頂板と一番上の反応チャンバーの半分 32. 0乃至100個の同じ平面エレメント。各平面エレメ
ントは基板と共に2つの積み重ねられた反応チャンバー
の間の隔壁を形成し、かつまた中間板および他の付属板
と共に流入路と流出路とを形成する。
33. 底板と一番下の反応チャンバーの半分 34. 支持ベースの一番上の板 35. 支持ベースの中間の板 36. 支持ベースの一番下の板 37. 基板 38. 反応チャンバー 39. 基板を出て行くガス流のための制限部として働く
スリット。
第3図に示した実施態様は第1図に示した実施態様と
同様の様式で使用される。しかしながら、この構成は異
なる反応体グループの前駆物質がそれぞれ各自の流入路
に沿って反応チャンバーの流入スリットまで入る点にお
いて第1実施態様とは相違している。すなわち、反応チ
ャンバーパックは支持ベースセット34乃至36の上に組み
立てられ、支持ベースセットはそれらが積み重ねられた
後2つの異なる前駆物質グループの反応体のため各自の
流れダクト22、23を提供する。反応チャンバーパックの
側においても、同じく、反応体はそれぞれ各自の流入路
28、29の中を移動する。
二種のガスは中間板により形成されたバッフル27によ
って分離された流入路28、29から別々に供給される。反
応チャンバーの高さは相互拡散によって別々の通路から
入来するそれらの流れが効率的に混合されるように寸法
ぎめされる。拡散は扁平ガス流パターンの幅方向では緩
速混合法が使用されることになるが、高さ方向では良く
効果を発揮する。すなわち、一方の流入路28から、例え
ば、反応体が供給される時に、他方の流入路29からは不
活性ガスが供給される。バッフルに衝突した時に反応体
流と不活性ガス流はそれぞれ扁平化され、平面流パター
ンをとる。そして反応チャンバーの流入スリット内で混
ざり合う間にそれらは均質化される。
流入ダクト22、23と流入路28、29は、例えば、円形断
面を有することができそして反応体ガス流はバッフルの
ところで初めて扇形、かつ扁平な形状に広がる。また、
各反応体グループに対して多数の流入路を設けることも
できる。この場合には各通路を通る反応体流は基板の一
部分のみに衝突する。
装置の長手方向側断面図を示すに第3図をさらに見る
と、両方の流入路がそこに描かれていることが注目され
る。しかしながら、実際の具体例では、これらの流入路
はそれらの出口開口部が基板から等距離に存在するよう
並列配置されている。したがって、真実の側面図では、
見る人に近い方の流入路のみが図示され、他方の流入路
は前者の後に隠されていることになるであろう。
最初の実施態様と同じく、この実施態様においても、
ガスフロントの均一化された横断方向流出流パターンを
確保することが非常に重要である。反応チャンバー38内
部では、流れパターンは細い吸引スリット39によって均
一化される。このスリットはチャンバーの流出端に形成
されており、流れ制限器とした働く。
実施例 下記実施例は薄膜成長のための本発明による装置のた
めの設計諸元を記載するものである: 基板サイズ 300×300mm2 基板の数 10枚 反応チャンバーの数 5個 基板間隔 4mm 反応チャンバーの総容積 5×300×300×4mm3=1800
cm3 流入路の寸法/容積 300×10×100mm=300cm3 流出路の寸法/容積 300×10×100mm=300cm3 総容積 2400cm3、すなわち約2.4リットル。
ポンプ容量は350m3/h、すなわち360×1000/3600(l/s)
=100リットル/秒が選択される。
反応体パルスのパルス間隔は少なくとも約0.25秒また
はそれ以上であるべきである。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ソイニネン,ペッカ フィンランド.エフアイエヌ − 00100 ヘルシンキ,イルマリンカトゥ 10 ビー 28 (56)参考文献 特開 昭55−130896(JP,A) 特開 平6−132223(JP,A) 特開 平2−74029(JP,A) 特開 昭62−191493(JP,A) 特開 平7−321045(JP,A) 特開 平8−181076(JP,A) 米国特許5038711(US,A) 米国特許4062318(US,A) Tuomo Suntola,Ato mic layer epitaxy, Thin Solid Films,V ol.216,No.1,August 28th,1992,p.84−89 (58)調査した分野(Int.Cl.7,DB名) C30B 1/00 - 35/00 C23C 16/00 - 16/56 H01L 21/205

Claims (24)

    (57)【特許請求の範囲】
  1. 【請求項1】基板の上に薄膜を成長させる方法であっ
    て、その反応においては反応空間(1;21)の中に置かれ
    た基板に薄膜を成長させる目的のため少なくとも2種の
    気相反応体との交互に繰り返される表面反応を行なわ
    せ、 − 気相パルスの形で該複数の反応体を繰り返し、かつ
    交互に各反応体別々にそれ自身の供給源から該反応空間
    (1;21)へ供給する、 − 該気相反応体を該基板上に固相薄膜化合物を形成す
    る目的で該基板(12;37)の表面と反応させる、そして − 基体反応生成物と場合によっては存在する過剰量の
    気相の反応体を該反応空間から除去する、 工程を含む方法において、 − 該反応空間を通るガス流をガス流通路上基板の後の
    地点(14;39)において制限することを特徴とする方
    法。
  2. 【請求項2】反応空間内のガス流のコンダクタンスを基
    板(12;37)におけるガス流の横断方向において基板の
    後のコンダクタンスよりも大きくなるように設定するこ
    とを特徴とする請求項1記載の方法。
  3. 【請求項3】ガス流を基板の前でも制限することを特徴
    とする請求項1記載の方法。
  4. 【請求項4】ガス流が基板(12;37)の上で制限される
    ことを特徴とする請求項1、2または3記載の方法。
  5. 【請求項5】反応空間に反応体の気相パルスと不活性ガ
    スとを含むガス混合物を導入し、該混合物が基板(12;3
    7)上を流れるように設定されている請求項1乃至4の
    いずれかに記載の方法において、該ガス混合物と該ガス
    混合物の流れとを、該ガス混合物が反応空間(1;21)に
    入る前に均質化することを特徴とする方法。
  6. 【請求項6】不活性ガスと気相反応体パルスとを均質な
    ガス混合物に形成し、この均質なガス混合物を平らな扁
    平化された流れとして反応空間(1;21)を通って流すこ
    とを特徴とする請求項5記載の方法。
  7. 【請求項7】該ガス混合物のための流入路(7;30)のコ
    ンダクタンスを反応空間のコンダクタンスよりも大きく
    設計することを特徴とする請求項6記載の方法。
  8. 【請求項8】基板の上に固体薄膜を形成する目的のため
    基板に気相反応体との交互に繰り返される表面反応を行
    なうことによって基板の上に薄膜を成長させるための装
    置であって、 − 基板をその中に置きうる少なくとも1つの反応空間
    (13;38) − 薄膜成長工程で使用される反応体を該反応空間内に
    供給するために適している、該反応空間と連通する流入
    路(7;22;29;23,38)、および − 反応生成物と過剰量の反応体を該反応空間から流出
    させるために適している、該反応空間と連通する流出路
    (4;25)、 からなる装置において、 該装置が垂直または水平に積み重ねられた複数の平面エ
    レメント(10;32)からなり、それらのエレメントのう
    ちの少なくともある数のエレメントは互いに同じもので
    ありそしてそれらエレメントの中には反応チャンバー
    (13;38)および該ガス流入路と流出路(7,4;22,29,23,
    28)を形成するためのくぼみ/開口部が加工されている
    ことを特徴とする装置。
  9. 【請求項9】該装置には少なくとも2つの薄膜を同時に
    成長させるため少なくとも2つの反応チャンバー(13;3
    8)を組み入れていることを特徴とする請求項8記載の
    装置。
  10. 【請求項10】該複数の平面エレメント(10;32)のエ
    ッジ領域には該平面エレメントの平面に対して垂直な開
    口部が形成されており、それら開口部は平面エレメント
    の厚さを貫通しておりそして複数の平面エレメントが垂
    直または水平に積み重ねられた時に該反応空間のガス通
    路(7,8および4;22,23,28,29,24)を形成することを特
    徴とする請求項8または9記載の装置。
  11. 【請求項11】該複数の平面エレメントの平面内の中央
    領域には、該平面エレメントが垂直または水平に積み重
    ねられた時に該反応空間の反応チャンバーを形成するく
    ぼみ(13;38)が形成されていることを特徴とする請求
    項8乃至10のいずれかに記載の装置。
  12. 【請求項12】該複数の平面エレメントは、それらが垂
    直または水平に積み重ねられた時に、該くぼみ/開口部
    によって形成された空間内に、該反応空間を通るガスの
    ためのガス流制限器として働く少なくとも1つの細いス
    リット(8;14;39)を形成することを特徴とする請求項1
    1記載の装置。
  13. 【請求項13】該流れ制限器が多数の平行スリットから
    なることを特徴とする請求項12記載の装置。
  14. 【請求項14】該スリットがくぼみと開口部の間に残る
    平面エレメントの壁領域に形成されていることを特徴と
    する請求項12または13記載の装置。
  15. 【請求項15】該垂直または水平に積み重ねられた平面
    エレメントの間には、該反応空間内のガス流制限器とし
    て働くスリットの所望の高さに対応する厚さを有する中
    間平面エレメント(6,27)が設置可能であることを特徴
    とする請求項12または13記載の装置。
  16. 【請求項16】該くぼみが平面エレメントの全厚を貫通
    してのび、該平面エレメントの中に中央開口部を形成し
    ており、該中央開口部内には基板(12;37)を配置する
    ことができることを特徴とする請求項11記載の装置。
  17. 【請求項17】該平面エレメントの中央開口部の両側に
    基板を保持するための突出ブラケットが設けられている
    ことを特徴とする請求項16記載の装置。
  18. 【請求項18】該垂直または水平に積み重ねられた平面
    エレメント(10;32)から組み立てられた反応空間内に
    おいて反応チャンバーの広い壁が、該平面エレメントの
    中央開口部に受け入れられた基板(12;37)によって形
    成さていることを特徴とする請求項16記載の装置。
  19. 【請求項19】該装置には不活性ガスシールドを組み入
    れられており、このシールドは該平面エレメントの表面
    に、そのエレメントのエッジに近い位置において、エレ
    メントを取巻くガス漏出物を捕集するための吸引溝(5;
    26)を設けることによって実現されることを特徴とする
    請求項8記載の装置。
  20. 【請求項20】該吸引溝(5;26)がガス流出路(4;25)
    と連通するように取り付けられていることを特徴とする
    請求項18記載の装置。
  21. 【請求項21】該垂直または水平に積み重ね可能な平面
    エレメントが、反応空間に導入されるガスのためのガス
    流入路(16)を組み入れている支持ベース(15)の上に
    組み立てられるよう設計されており、該ダクトはその中
    でガス流が均質化されるような設計を有していることを
    特徴とする請求項8乃至19のいずれかに記載の装置。
  22. 【請求項22】該垂直または水平に積み重ね可能な平面
    エレメントの個数が2乃至100個であることを特徴とす
    る請求項8乃至20のいずれかに記載の装置。
  23. 【請求項23】該流入路と流出路の個数が少なくとも各
    2であり、そしてこれら通路は基板の対向する両端に配
    置されており、そして1つの反応体グループのための流
    出開口部は他の反応体グループに流入開口部と同じ端部
    に位置しているかまたはその逆であることを特徴とする
    請求項8記載の装置。
  24. 【請求項24】反応体の気相パルスを相対する両方向か
    ら交互に基板に供給できることを特徴とする請求項22記
    載の装置。
JP51734396A 1994-11-28 1995-11-28 薄膜を成長させるための方法と装置 Expired - Lifetime JP3349156B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FI945612 1994-11-28
FI945612A FI97731C (fi) 1994-11-28 1994-11-28 Menetelmä ja laite ohutkalvojen valmistamiseksi
PCT/FI1995/000659 WO1996017969A2 (en) 1994-11-28 1995-11-28 Method and equipment for growing thin films

Publications (2)

Publication Number Publication Date
JPH09508888A JPH09508888A (ja) 1997-09-09
JP3349156B2 true JP3349156B2 (ja) 2002-11-20

Family

ID=8541889

Family Applications (1)

Application Number Title Priority Date Filing Date
JP51734396A Expired - Lifetime JP3349156B2 (ja) 1994-11-28 1995-11-28 薄膜を成長させるための方法と装置

Country Status (7)

Country Link
US (1) US5711811A (ja)
JP (1) JP3349156B2 (ja)
KR (1) KR100255431B1 (ja)
AU (1) AU3985795A (ja)
DE (1) DE19581482T1 (ja)
FI (1) FI97731C (ja)
WO (1) WO1996017969A2 (ja)

Families Citing this family (572)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) * 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
US6071572A (en) * 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
FI972874A0 (fi) * 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
TW489827U (en) * 1998-04-09 2002-06-01 Kobe Steel Ltd Apparatus for high-temperature and high-pressure treatment of semiconductor substrates
NL1009171C2 (nl) * 1998-05-14 1999-12-10 Asm Int Waferrek voorzien van een gasverdeelinrichting.
US20060219157A1 (en) * 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
DE10080457T1 (de) * 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
TW432488B (en) * 1999-04-12 2001-05-01 Mosel Vitelic Inc Reaction facility for forming film and method of air intake
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
NL1012004C2 (nl) 1999-05-07 2000-11-13 Asm Int Werkwijze voor het verplaatsen van wafers alsmede ring.
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
EP1125321B1 (en) * 1999-06-19 2007-08-15 ASM Genitech Korea Ltd. Chemical deposition reactor and method of forming a thin film using the same
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1292970B1 (en) * 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
US6617173B1 (en) 2000-10-11 2003-09-09 Genus, Inc. Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition
US20030190424A1 (en) * 2000-10-20 2003-10-09 Ofer Sneh Process for tungsten silicide atomic layer deposition
US9255329B2 (en) 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
TW556004B (en) * 2001-01-31 2003-10-01 Planar Systems Inc Methods and apparatus for the production of optical filters
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR101027485B1 (ko) * 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6627268B1 (en) 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6759081B2 (en) * 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
US7037574B2 (en) 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
JP4921652B2 (ja) * 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP4666912B2 (ja) * 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
WO2003038145A2 (en) * 2001-10-29 2003-05-08 Genus, Inc. Chemical vapor deposition system
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
WO2003062490A2 (en) * 2002-01-17 2003-07-31 Sundew Technologies, Llc Ald apparatus and method
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
AU2003220088A1 (en) * 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US7104578B2 (en) * 2002-03-15 2006-09-12 Asm International N.V. Two level end effector
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
EP1649076B1 (en) * 2003-06-27 2010-05-19 Sundew Technologies, LLC Apparatus and method for chemical source vapor pressure control
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
WO2005042160A2 (en) * 2003-10-29 2005-05-12 Asm America, Inc. Reaction system for growing a thin film
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
KR100527108B1 (ko) * 2003-11-28 2005-11-09 한국전자통신연구원 반도체 광소자의 제작 방법
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US7405143B2 (en) * 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
CN101684550B (zh) * 2004-06-28 2012-04-11 剑桥纳米科技公司 设计为用于气相沉积系统中的阱
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US20060019493A1 (en) * 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP2006176826A (ja) * 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
WO2006106767A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路対及び伝送線路群
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7713584B2 (en) * 2005-12-22 2010-05-11 Asm International N.V. Process for producing oxide films
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
EP1840940B8 (de) * 2006-03-28 2014-11-26 Thallner, Erich, Dipl.-Ing. Vorrichtung und Verfahren zum Beschichten eines mikro- und/oder nanostrukturierten Struktursubstrats
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US7871678B1 (en) 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US8053372B1 (en) 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8795771B2 (en) 2006-10-27 2014-08-05 Sean T. Barry ALD of metal-containing films using cyclopentadienyl compounds
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) * 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
JP5474278B2 (ja) * 2007-02-22 2014-04-16 ピーエスフォー ルクスコ エスエイアールエル 超臨界プロセス用バッチ式成膜装置及び半導体装置の製造方法
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8282735B2 (en) 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
WO2009129332A2 (en) * 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US20100098851A1 (en) * 2008-10-20 2010-04-22 Varian Semiconductor Equipment Associates, Inc. Techniques for atomic layer deposition
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
KR101536257B1 (ko) * 2009-07-22 2015-07-13 한국에이에스엠지니텍 주식회사 수평 흐름 증착 장치 및 이를 이용한 증착 방법
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US20110293830A1 (en) 2010-02-25 2011-12-01 Timo Hatanpaa Precursors and methods for atomic layer deposition of transition metal oxides
AU2011264922B2 (en) 2010-06-08 2015-11-26 President And Fellows Of Harvard College Low-temperature synthesis of silica
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8747964B2 (en) 2010-11-04 2014-06-10 Novellus Systems, Inc. Ion-induced atomic layer deposition of tantalum
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5878813B2 (ja) * 2011-06-21 2016-03-08 東京エレクトロン株式会社 バッチ式処理装置
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9062375B2 (en) 2011-08-17 2015-06-23 Asm Genitech Korea Ltd. Lateral flow atomic layer deposition apparatus and atomic layer deposition method using the same
US9062390B2 (en) 2011-09-12 2015-06-23 Asm International N.V. Crystalline strontium titanate and methods of forming the same
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9175389B2 (en) * 2012-12-21 2015-11-03 Intermolecular, Inc. ALD process window combinatorial screening tool
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
JP6134191B2 (ja) 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR101760316B1 (ko) * 2015-09-11 2017-07-21 주식회사 유진테크 기판처리장치
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10947640B1 (en) * 2016-12-02 2021-03-16 Svagos Technik, Inc. CVD reactor chamber with resistive heating for silicon carbide deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR20190096540A (ko) 2018-02-09 2019-08-20 (주)울텍 원자층 증착 시스템
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
KR20220161819A (ko) 2021-05-31 2022-12-07 (주)울텍 원자층 증착 시스템
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1562855A (ja) * 1967-12-05 1969-04-11
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4062318A (en) * 1976-11-19 1977-12-13 Rca Corporation Apparatus for chemical vapor deposition
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4263872A (en) * 1980-01-31 1981-04-28 Rca Corporation Radiation heated reactor for chemical vapor deposition on substrates
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4339645A (en) * 1980-07-03 1982-07-13 Rca Corporation RF Heating coil construction for stack of susceptors
US4421786A (en) * 1981-01-23 1983-12-20 Western Electric Co. Chemical vapor deposition reactor for silicon epitaxial processes
JPS59111997A (ja) * 1982-12-14 1984-06-28 Kyushu Denshi Kinzoku Kk エピタキシヤル成長装置
US4825806A (en) * 1984-02-17 1989-05-02 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Film forming apparatus
JPS6126217A (ja) * 1984-07-16 1986-02-05 Matsushita Electric Ind Co Ltd 気相成長装置
JPS61289623A (ja) * 1985-06-18 1986-12-19 Matsushita Electric Ind Co Ltd 気相反応装置
JPS63112495A (ja) * 1986-10-29 1988-05-17 Nec Kyushu Ltd 気相成長装置
DE3707672A1 (de) * 1987-03-10 1988-09-22 Sitesa Sa Epitaxieanlage
DE3739528A1 (de) * 1987-11-21 1989-06-01 Bbc Brown Boveri & Cie Cvd-rohrofenreaktor
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
JPH02150040A (ja) * 1988-11-30 1990-06-08 Fujitsu Ltd 気相成長装置
DE3936016A1 (de) * 1989-10-28 1991-05-02 Philips Patentverwaltung Verfahren zur herstellung optischer schichten auf planaren substraten
US5091335A (en) * 1990-03-30 1992-02-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration MBE growth technology for high quality strained III-V layers
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Tuomo Suntola,Atomic layer epitaxy,Thin Solid Films,Vol.216,No.1,August 28th,1992,p.84−89

Also Published As

Publication number Publication date
DE19581482T1 (de) 1997-01-02
JPH09508888A (ja) 1997-09-09
US5711811A (en) 1998-01-27
FI97731B (fi) 1996-10-31
WO1996017969A3 (en) 1996-08-29
WO1996017969A2 (en) 1996-06-13
AU3985795A (en) 1996-06-26
FI945612A0 (fi) 1994-11-28
KR970700788A (ko) 1997-02-12
KR100255431B1 (ko) 2000-05-01
FI97731C (fi) 1997-02-10
FI945612A (fi) 1996-05-29

Similar Documents

Publication Publication Date Title
JP3349156B2 (ja) 薄膜を成長させるための方法と装置
US6572705B1 (en) Method and apparatus for growing thin films
US6630030B1 (en) Method and apparatus for growing thin films
US7105054B2 (en) Method and apparatus of growing a thin film onto a substrate
US6551406B2 (en) Apparatus for growing thin films
US9567670B2 (en) Method for high-velocity and atmospheric-pressure atomic layer deposition with substrate and coating head separation distance in the millimeter range
US7020981B2 (en) Reaction system for growing a thin film
JP3607198B2 (ja) 単一ボデー噴射器及び蒸着室
US7850780B2 (en) System for thin film deposition utilizing compensating forces
TWI484063B (zh) 化學氣相沉積流動入口元件及方法
JP2008508744A (ja) Cvdリアクタ用マルチガス供給インジェクタ
KR102225261B1 (ko) 박막 증착 장치를 위한 유체 분배 디바이스, 관련 장치 및 방법
KR20080042312A (ko) 원자층 증착 장치
US6352592B1 (en) Free floating shield and semiconductor processing system
CN112030138A (zh) 循环式磊晶沉积系统及其气体分流模块
US20230265558A1 (en) Atomic layer deposition apparatus and atomic layer deposition method using the same
WO2023017212A1 (en) An atomic layer deposition reaction chamber and an atomic layer deposition reactor

Legal Events

Date Code Title Description
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R154 Certificate of patent or utility model (reissue)

Free format text: JAPANESE INTERMEDIATE CODE: R154

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080913

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080913

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090913

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100913

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100913

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110913

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120913

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130913

Year of fee payment: 11

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term