CN101684550B - 设计为用于气相沉积系统中的阱 - Google Patents

设计为用于气相沉积系统中的阱 Download PDF

Info

Publication number
CN101684550B
CN101684550B CN2009101707856A CN200910170785A CN101684550B CN 101684550 B CN101684550 B CN 101684550B CN 2009101707856 A CN2009101707856 A CN 2009101707856A CN 200910170785 A CN200910170785 A CN 200910170785A CN 101684550 B CN101684550 B CN 101684550B
Authority
CN
China
Prior art keywords
precursor
trap
heater
valve
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009101707856A
Other languages
English (en)
Other versions
CN101684550A (zh
Inventor
道韦·J·蒙斯马
吉尔·S·贝克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micro Instrument Co
Original Assignee
Cambridge Nanotech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=37441749&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN101684550(B) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Cambridge Nanotech Inc filed Critical Cambridge Nanotech Inc
Publication of CN101684550A publication Critical patent/CN101684550A/zh
Application granted granted Critical
Publication of CN101684550B publication Critical patent/CN101684550B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2258/00Sources of waste gases
    • B01D2258/02Other waste gases
    • B01D2258/0216Other waste gases from CVD treatment or semi-conductor manufacturing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/02Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography
    • B01D53/04Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography with stationary adsorbents
    • B01D53/0407Constructional details of adsorbing systems

Abstract

本发明提供了气相沉积系统和与该系统相关的方法。所述系统可设计成包括如下特征:能够促进高质量的沉积;简化制造、改进和使用;以及减少所述系统的占地面积,以及其它优点。

Description

设计为用于气相沉积系统中的阱
本发明是申请日为2005年6月27日、申请号为200580025921.2、发明名称为“气相沉积系统和方法”的发明专利申请的分案申请。
技术领域
本发明大体涉及气相沉积系统和方法,更具体地,涉及原子层沉积系统和方法。
背景技术
原子层沉积(ALD)是一种允许薄膜以原子层逐层生长的技术。该技术可通过Al2O3从水和三甲基铝(TMA)前体的沉积来说明,但不限于此。可在文献中发现许多其它生产绝缘体、金属和半导体的材料的配方。图1概略示出了Al2O3从水和(TMA)的生长。一般的步骤包括:(a)将通过空气羟基化的基板插入真空腔,(b,c)TMA前体以脉冲方式送入并且TMA将与在表面上的OH起反应。TMA不会与自身和形成的单层起反应,由此具有钝化作用。(d)未反应的TMA分子通过排空和/或利用氮气或氩气等惰性气体清除而去除。(e,f)水以脉冲方式注入反应器。这将去除CH3基团,形成AL-O-AL桥并且以AL-OH钝化所述表面。CH4(甲烷)形成为气体的副产品。(g)未起反应的H2O和CH4通过排空和/或利用氮气清除而去除。(a-g)称为一个循环并且每个循环产生大约1.1埃的Al2O3。从而,100个循环产生110埃的Al2O3
ALD系统的设计遵循不同的途径,其中一些基于用于其它沉积技术(例如化学气相沉积)的沉积系统。
一种途径是层流管式炉,如图3所示。在此情况下,基板28通过入口36插入管24。所述基板利用管式炉加热器26加热并且在管24内的反应腔利用泵34排空。通过真空计30测量压力。连续的惰性气体流(运载气体)供自缸10并且利用惰性气体管线12注入前体管线。前体利用烘箱14加热。前体蒸气利用电控阀18和22从前体容器16和20以脉动方式送入。这种类型的反应器经常在研究环境中使用并且一般更适于小基板以便保持足够的温度均匀性,因为大基板显著增加了管式炉的在直径和长度方面的尺寸。该设计基于CVD系统,其中通常使用非常高的温度并且低温O形环入口从管式炉加热器上去掉。
同样源于CVD技术的第二种ALD系统设计示于图4。在此情况下,喷淋头42用来供应前体,目的是在表面上均匀分布化学物质。在这些设计中,基板加热器一般位于真空空间内部。尽管喷淋头蒸气喷射设计在CVD系统中会是有效的——如果喷射的气体或蒸气仅在高的基板温度位置起反应并且均匀的气体分布对于均匀的薄膜厚度很重要,但是这种设计会导致ALD系统中的阻塞——如果前体残留物会在喷淋头温度下彼此发生反应。此外,与某些CVD过程相反,由于过程的自我限制特性,ALD通常不需要非常均匀的前体分布。此外,为了防止前体的凝结,喷淋头和系统的其它部件一般加热到100至200摄氏度的温度范围,这对于例如喷淋头等复杂几何构造会变得复杂。最后,由于大的表面区域和小的空腔,在沉积循环中排空和清除前体可能是困难的。
为了形成ALD系统,通常沉积台结合在一组工具装置中。晶片架插入到负载锁定装置(load lock)中,通过裂口阀装置在传送室中传送。机械臂将基板移动到沉积台,在此通过竖直移动机器人使所述基板与其它基板竖直叠置。在沉积之后,基板通过无负载锁定装置移走。
单一单元系统通常的特征在于水平前体气体流和前方的水平入口(裂口阀)。单一单元的高度限定了内部反应器的容积和前体流的速度,并且针对快速流动和气体利用以及针对一个特定的基板类型、厚度和直径(通常为硅晶片)而优化。
由于对于一种特定类型的基板需要复杂的设计来获得大的产量,改进、升级、清洁和维修会非常费时,并且该系统本身不是用于研发目的。
迄今,尽管ALD技术可用来涂敷复杂3D结构,例如电容器槽、纳米管、塑料、反蛋白石、催化床、光子晶体、发动机部件、工具、光学部件等,但是多数ALD系统致力于在例如硅晶片等平基板上沉积,或者在管式炉情况下,在晶片上沉积,。由于ALD技术能够高度放大到大尺寸样品上,在半导体工业以外的领域内研究此技术是需要的,并且容易适应于多种样品几何形状的工具是有利的。
发明内容
提供了气相沉积系统和方法,以及在这种系统和方法中使用的部件。
在一方面,本发明提供了一种原子层沉积系统。该系统包括设计成封闭基板的反应腔。反应腔具有顶面、底面和在顶面和底面之间的侧壁。第一前体供应装置连接到形成在底面内的前体口。第二前体供应装置连接到形成在底面内的前体口。出口形成在底面内。
在另一方面,本发明提供了一种原子层沉积系统。该系统包括设计成封闭基板的反应腔。反应腔具有顶面、底面和在顶面和底面之间的侧壁。第一前体供应装置和第二前体供应装置连接到形成在底面内的前体口。
在另一方面,本发明提供了一种原子层沉积过程。该过程包括在反应腔内定位基板,反应腔具有顶面、底面和在顶面和底面之间的侧壁。该过程还包括通过形成在底面内的前体口将第一前体引入反应腔以及通过形成在底面内的出口去除气态物质。该过程还包括通过形成在底面内的前体口将第二前体引入反应腔以及通过形成在底面内的出口去除气态物质。
在另一方方面,本发明提供了一种用于气相沉积系统中捕获气态物质的阱。阱的大多数表面区域基本上平行于通过阱的气态物质流。
从本发明的以下详细描述并参考附图,本发明的其它方面、实施方式和特征将变得更清楚。附图是示意性的并且没有按比例绘制。在附图中,在不同的图中示出的每个相同或者基本类似的部件可由单个数字或标识表示(尽管不总是这样)。为清楚起见,不是每个部件在每个图中都有标记。如果对于本领域的技术人员理解本发明来说是不必要示出的,则不是本发明的每个实施方式的每个部件都示出。这里通过参引号引入的所有专利申请和专利的全文结合到本文以供参考。在产生冲突的情况下以本说明书——包括定义——为准。
附图说明
图1示出了原子层沉积过程。
图2A是用于ALD阀脉冲过程的典型时间图。
图2B是用于ALD气体脉冲-泵出过程的典型时间图。
图2C是用于ALD气体脉冲-清除过程的典型时间图。
图2D是用于截止阀协助的ALD气体脉冲-泵出过程的典型时间图。
图3是具有水平的层式气流的ALD管式炉反应器系统的示例。
图4是具有喷淋头气体喷射的ALD沉积系统的示例。
图5是根据本发明实施方式的ALD机壳和反应器组件的组合的立体图。
图6是根据本发明实施方式的反应器组件的立体图。
图7A是根据本发明实施方式的反应器组件的分解立体图。
图7B是示出根据本发明实施方式的气体直列式三通管的反应器组件的立体图。
图8是根据本发明实施方式的反应器的底部立体图。
图9是根据本发明实施方式的具有前体管线组件、泵出管线组件、泵(部分视图)、RTD(电阻器温度探测器)温度传感器和反应器供给和固定孔的机壳的立体图。
图10是根据本发明实施方式的ALD反应器的顶部立体图。
图11A是根据本发明实施方式的反应器的俯视图,具有剖面线标记(“C”)。
图11B是沿着图11A中剖面线“C”的根据本发明实施方式的反应器的剖面图。
图12A是根据本发明实施方式的具有用于较厚基板的扩展的腔的顶盖。
图12B是根据本发明实施方式的顶盖,具有用于较薄基板或减少的前体消耗或更均匀蒸气流的突出盖。
图13是编程于“Labview”中的控制软件的截屏图,该软件用于本发明的实施方式中以控制ALD系统。
图14示出根据本发明的方法在4英寸硅晶片上进行的示例性Al2O3原子层沉积的厚度分布结果,厚度以埃为单位,用椭圆偏振计测量。
图15示出了根据本发明实施方式的NW三通管与反应器、量表和截止阀的连接。
图16示出了根据本发明实施方式的加热器部件与三通管的连接。
图17示出了根据本发明实施方式的两个反射器板在泵出管线部分中的连接。
图18示出根据本发明实施方式的前体管线和脉冲阀加热组件。
图19示出根据本发明实施方式的泵出管线加热套。
图20示出根据本发明实施方式用于扩展前体管线数量的歧管。
图21示出根据本发明实施方式的歧管加热器部件的一半。
图22示出根据本发明实施方式的歧管加热器部件组件。
图23示出根据本发明实施方式的O形环槽设计。
图24示出根据本发明实施方式的包括单一前体端口的反应器组件的立体图。
图25是根据本发明实施方式的包括单一前体端口的反应器的俯视图。
图26是根据本发明实施方式的包括位于出口内的阱材料的反应器组件的剖视图。
图27示出了根据本发明实施方式的阱材料。
附图中特征的列表
50、52前体阀脉冲宽度
54、56、58抽空
55第一前体脉冲之后的压力
57第二前体脉冲之后的压力
60、62、64惰性气体流(清除)
61惰性气体流+第一前体脉冲
63惰性气体流+第二前体脉冲
66、68、70截止阀打开(泵出)
70第一前体阀脉冲之后
69第二前体阀脉冲之后
10惰性气体供应
12惰性气体供应管线
14前体加热器
16第一前体
18第一前体脉冲阀
20第二前体
22第二前体脉冲阀
24反应器管
26管式炉加热器
28基板
30真空计
32泵出管线
34真空泵
36基板入口
38基板加热器
40真空室
42喷淋头前体蒸气供应装置
100反应器组件
102不锈钢反应器基体
105铝反应器盖
106手柄
108手柄橡胶
110铰链
112晶片空间
114O形环槽
116O形环
118第一前体口
120第二前体口
122输出(泵出管线)口
124基板加热器
125保持基板加热器的螺母
126外部管状加热器
128双功能螺栓:将管状加热器和反应器固定到机壳上
130RTD中心温度孔
132RTD中心
134RTD壁温度孔
136RTD壁
138薄反应器体轮廓
140反应器空间
142扩展的反应器盖
144扩展区
146向反应腔突出的盖
148突起
202第一前体缸
204手控阀
206用于第一前体的脉冲阀
208用于第一前体管线的VCR接头
210第二前体缸
212用于第二前体的脉冲阀
214用于第二前体的VCR接头
302真空泵
304波纹管
306电磁截止阀
308KF十字形管
310电磁排放阀
312真空计
402机壳进出门
404机壳顶
406左侧板
408右侧板
412底部
414框架
416用于第一前体口的孔
417用于第二前体口的孔
418用于泵出口的孔
420用于固定螺栓的四个孔
422安装到机壳顶的外部RTD
423安装到机壳顶的内部RTD
424用于外部(管状)加热器的孔
426用于基板加热器的电线连通孔
502用于第一前体的三口脉冲阀
504前体输入口
506前体+惰性气体输出口
508惰性气体输入口
512用于第二前体的三口脉冲阀
514前体输入口
516前体+惰性气体输出口
518惰性气体输入口
520NW三通管
522铝加热器左半部件、泵出管线组件
524铝加热器右半部件、泵出管线组件
526加热器模块孔
528位于加热器的两半之内的螺纹孔,其用于将加热器的两半安装起来,
形成三通管或交叉加热器部件
530泵出管线组件热反射板,右侧
532泵出管线组件热反射板,左侧
540前体阀加热器左半部件
542前体阀加热器右半部件
544左侧前体阀加热器反射板
546右侧前体阀加热器反射板
550泵出管线加热套
600前体管线焊接的歧管
602前体管线歧管加热部件,左半部件
604前体管线歧管加热部件,右半部件
606前体管线歧管加热部件反射板,右侧
608前体管线歧管加热部件反射板,左侧
620单一前体口
622根据本发明实施方式的反应器腔的底面
624反应器腔的出口
625a、625b歧管入口
626歧管
628歧管出口
630阱
具体实施方式
本发明提供了原子层气相沉积系统以及与其相关的方法。该系统包括封闭一个基板(或多个基板)的反应腔。前体(例如活性物质)供应装置通过一个口(或多个口)连接到反应腔以将合适的前体引入腔内。在一些情况下,多个前体供应装置连接到单一的前体口;而在其它情况下,每个前体供应装置可连接到独立的相应口。例如,还可在反应腔内设置出口,以在过程中在某些点去除气态物质(例如,未反应的前体、反应产物、惰性气体)。系统包括加热器装置,所述该加热器提供合适的温度条件以促进前体之间的反应,从而将材料层沉积在基板上。如下面进一步所述的,本发明的系统可设计为包括能够促进高质量沉积的特征;简化制造、改进和使用;并且减少了系统的占地面积,以及其它优点。
在一些实施方式中,优选前体口形成在反应腔的底面上(例如,在外部管状加热器和基板部分之间)。在一些情况下,优选所有前体口(不管是单个口还是多个口)形成在底面上。在这些情况下,反应腔的顶面(例如盖)和侧壁可没有前体口。当前体口形成在底面上时,优选地所述口直接引入反应腔,如图示实施方式所示。
如下进一步所述以及如某些附图所示,将前体口定位在反应腔的底面上可减少系统的占地面积,这可节约有价值的洁净室空间。通过使得口连接到前体供应装置可部分地减少占地面积。例如,前体供应装置可定位在机壳内、反应腔之下(例如,见图5),并且使用具有简单设计的供应管线连接到口。在一些情况下,供应管线可竖直地延伸,大体沿直线从前体供应装置直接连接到相应的前体口(例如,在包括多个口的实施方式中);而在其它情况下,供应管线可竖直地延伸,大体沿直线延伸到歧管(例如,在包括单个口的实施方式中),歧管可使用另一直线的供应管线进一步连接到前体口。前体管线的直的竖直几何形状允许它们简单地从反应腔悬垂,在此无需另外的支撑,并且直接接近前体供应装置。此外,前体管线的竖直附连和/或取向具有制造和装配方面的优点。例如,这能够利于将前体管线连接到口,以及将加热器套附连到前体管线以将管线保持在所需的升高的温度以防止前体冷凝。
在一些实施方式中,系统的出口形成在反应腔的底面上。在一些实施方式中,前体口和出口可优选地都形成在底面上。在这些实施方式中,反应腔的顶面(例如盖)和侧壁可没有所有类型的口。在一些情况下,出口可相对于前体口定位,使得基板位于前体口和出口之间。例如,出口可相对于前体口设置在基板的大体相对侧上(例如,见图11A和25)。
与上面结合前体口所述的原因类似,将出口定位在反应腔的底面上可减少系统的占地面积。例如,占地面积可通过使得口连接到真空泵来减少。例如,真空泵可定位在机壳内、反应腔之下(例如,见图5),并且使用具有简单设计的泵出管线连接到口。在一些情况下,泵出管线可大致沿直线竖直延伸真空和口之间的至少部分距离。管线的直的竖直几何形状可允许其简单地从反应腔悬垂,这里无需另外的支撑,并且直接接近真空。此外,泵出管线的竖直连接和取向可利于制造、装配和清洁。
将前体口和出口定位在反应腔的底面可允许反应器基部的高度变得较小,同时提供相对大的出口开口。这种低的反应器高度和大的出口的组合减少了加热和抽空的时间并且提高了产出。
本发明的气相沉积系统可设计为促进有利的加热条件。例如,如下面进一步所述,系统可包括独立的加热器,所述加热器独立加热基板区域和腔的其它区域(例如,O形环、盖、侧壁)。这种设计使得基板的温度保持相对较高(例如,约600摄氏度),同时保持其它区域相对较低(例如,约150摄氏度)。基板可例如通过定位在反应腔的外侧(例如反应腔下方)的外部加热器(例如,盘式加热器)加热,该加热器通过热传导加热基板区域。腔的其它区域也可通过反应腔之外的管式加热器加热。由此,在一些实施方式中,可没有加热器封闭在反应腔内。在基板区域和反应腔的其它区域之间的不同温度还可通过在支撑表面(例如机壳的顶面)上间隔反应器来增强,使用其间的空气空间作为隔热介质,该隔热介质阻止了从基板加热器至外侧O形环区域的热传导。
在一些实施方式中,盖可由高热传导性的材料制成,例如由铝或铜制成,或者当需要透明时,由蓝宝石制成。一旦真空拖动盖与反应器基部机械接触,附连到反应器基部的外部管式加热器可通过热传导将盖加热到足够的温度。盖的高热传导促进了温度在整个盖内的均匀性,并且可消除对独立盖加热器的需要。由此,在一些实施方式中,盖上没有加热器,这可简化设计和维护,以及允许盖容易地更换(例如,为了允许不同的基板几何形状或为了引入某些流动方式的不同过程中)。
图5示出了根据本发明一个实施方式的气相沉积系统。在图示实施方式中,蒸气沉积系统是原子层沉积(ALD)系统。然而,应当理解,本发明的某些实施方式不限于ALD并且可适用于包括化学气相沉积(CVD)系统的其它类型的气相沉积系统。
在此图示实施方式中,机壳包括门402、侧板406和408、以及顶部404。机壳提供了用于系统的各种部件的空间,这些部件包括:前体管线和泵出管线部件(下面进一步描述)、控制电子元件、真空泵302、前体缸202和210、以及它们的加热器套。机壳的底部412可打开以允许泵定位在底板上。这有利地限制了振动从泵302向机壳和反应器的传递。在此实施方式中,温度传感器(例如电阻温度设备(RTD))422和423安装到机壳的顶部404上。如图所示,泵出管线和前体管线从反应器组件100竖直悬垂。控制电子元件可作为机壳内的封装定位并且通过通用串行总线(USB)接口连接到个人计算机。加热器套可环绕系统的下面进一步描述的不同部件,这些部件包括前体缸202、204、前体电磁阀、输出十字形管和波纹管。温度传感器可设置在这些部件(例如阀和真空计)上以保证部件足够温暖,从而防止蒸气冷凝,但是仍然处于指定的温度范围内。
图6和7示出了根据本发明一个实施方式的沉积系统(没有机壳和泵)的部件的不同视图。图6示出其中前体管线和泵出管线连接起来的视图,图7A示出了分解视图。系统的反应器基部102具有基板区域112、O形环槽114、O形环116、铰链110、盖105和手柄106。在某些实施方式中,优选地反应器基部由例如不锈钢等低热传导性材料形成。还可优选地手柄由薄壁低热传导性材料(例如,不锈钢)形成以允许打开反应器——即使当反应器顶部处于升高的温度(例如100-200摄氏度)下。在一些情况下,手柄由绝热部分(例如由冷接触橡胶形成)108部分环绕以与升高的温度绝热。
O形环槽114在图23中更详细示出。在某些传统沉积系统中,可使用半燕尾槽设计来将O形环锁定在合适位置并且提供足够的空间用于膨胀。半燕尾槽在O形环槽之下的拐角内产生了空腔,该空腔成为实际的泄漏。特别是在原子层沉积中,在送入第二前体之前优选完全去除一种前体,限制这种空腔的存在是重要的。在某些实施方式中,系统包括改进的半燕尾槽设计。在本发明某些实施方式中使用的一种改进是槽底部的真空侧是圆弧的,具有相当于或略微小于O形环的半径。图23中示出了0.070英寸的半径,该半径可适于例如具有1/8英寸截面直径的O形环。在此设计中,在燕尾槽中,唯一的空腔处于O形环的底部的大气侧(右侧),但是由于该空腔处于大气侧,不会产生实际的泄漏。在本发明一些实施方式中使用的标准半燕尾槽的第二改进是:真空侧(图中的左侧)具有O形环支撑边缘,该支撑边缘略微低于(例如0.010英寸)反应器体的顶部。这利于快速排空气体。
在图23中的尺寸以英寸为单位,并且适用于1/8英寸直径的O形环。然而,应当理解,在不同的系统中其它尺寸也是可能的。此外,在本发明的某些实施方式中其它的槽设计也可适用。
图6和7的图示实施方式包括第一前体口118和第二前体口120。在此实施方式中,第一前体供应装置(例如前体缸)202连接到第一前体口,而第二前体供应装置(例如前体缸)210连接到第二前体口。然而,应当理解,本发明的其它系统可包括第一前体供应装置和第二前体供应装置均连接到其上的单个前体口(例如使用具有两个入口和单个出口的歧管;见图24和25)。此外,应当理解,可使用任何数量(例如3、4、5个)的前体供应装置,其中每个前体供应装置可连接到反应腔上的分别的口,或者所有的前体供应装置连接到单个口(例如,使用具有多个入口和单个出口的歧管)。
如图6和7所示,前体供应装置202被填充以第一前体。在一些情况下,设置了手控阀204以允许从前体的化学物质制造商那里装运。如果需要(例如,当前体已经耗尽),在关闭手控阀204之后可拆下缸202并且换上另一个前体缸。在此实施方式中在前体侧处的连接是VCR,附连到手控阀204的是高速电磁脉冲阀206,该高速电磁脉冲阀允许前体在毫秒范围之内喷射前体。
第二前体供应装置构造成类似于第一前体供应装置,只是用户可填充例如水等非侵蚀性前体并且可不包括手控阀204。例如,缸210可在现场填充脱离子水,并且附连到没有手控阀的电磁阀212。例如三甲基铝(TMA)等化学物质一般需要填充在手套式操作箱内并且需要以手控阀关闭以避免暴露于空气中。因此,其可连接到电磁阀206,并且当系统被排空或填充以例如氮气的惰性气体时手控阀204可打开。
典型的ALD过程需要惰性运载气体(例如其中的氮气、氩气、氙气)。运载气体可通过其中一个(或多个,若存在)前体口引入。在一些实施方式中,惰性运载气体供应装置连接到前体供应管线。在过程中的某些点,惰性气体可与前体混合,从而惰性气体-前体混合物引入腔内;然而,在过程中的其它点,惰性气体可单独引入腔内(例如,没有前体)。如图7B所示,惰性气体供应装置可使用合适的阀组件连接到前体供应管线。如图所示,来自惰性气体供应装置的管线可连接到三口脉冲阀502和512的入口,三口脉冲阀502和512还连接到前体供应装置。该构造使得系统可以在下面进一步讨论的ALD模式2下操作。如下面进一步描述的,阀的操作可受到控制,从而控制惰性气体和/或前体向腔内的引入。
这些惰性气体供应管线可包括其它阀和/或质量流控制器。例如,质量流控制器可用于惰性气体供应装置。然而,安装了许多阀,质量流控制器的输出被分到所述阀,使得每个阀接收相同的流量。三口脉冲阀502具有连接到前体供应装置的底部输入口504,使得阀能够以脉冲方式送入前体。在内部,在某些ALD过程中来自脉冲阀输出506的惰性气体输入管线508的流动路径可总是保持打开。在此种过程中,阀通常关闭,意味着当阀没有受到驱动时入口504关闭,而同时,路径508至506总是打开。当阀脉动时,管线504通往管线508和506,但是由于惰性气体从质量流控制器进入508,所以惰性气体-前体混合物被引入反应腔。该“惰性气体协助”过程阻止了来自一个脉冲阀的一种前体进入第二脉冲阀,防止或最小化在所有阀内的沉积。如图7B所示,对于反应器上的两个输入口,两个前体脉冲阀可直接安装到VCR连接器,该VCR连接器焊接到反应器。在图7A中的排放阀310可去除,并且十字形管308由三通管替换,因为系统可在使用氮气供应气体沉积后排放。
如图8所示,KF接头122焊接到反应器基部102以部分地限定形成在反应器底面上的泵出口。十字形管308、排放阀310、真空计312和截止阀306可连接到该接头。通向竖直地位于反应器之下的泵的是泵出管线波纹管304。当使用惰性气体协助操作时,和三口阀504和502,系统的排放可通过使用惰性气体进行,并且不需要独立的排放阀。该构造示于图15。在此实施方式中,三口阀502连接到所述出口、真空计312和截止阀306。
阀502可通过加热器加热。如图16所示,加热器可包括加热器部件(其可由例如铝的传导材料制成),加热器部件包括两半522和524。一侧具有孔526以安装加热器模块。所述两半使用孔528内的螺栓保持在一起。加热器被机加工,使得其环绕标准NW十字形管和环绕标准NW三通管安装。所述两半紧密接触,使得来自单个模块的热量扩散到两半中。安装温度传感器的螺钉也设置在加热器部件上。
图17示出了两半反射器530和532,它们环绕加热器524、量表312的非电子部分和阀206的非电子部分。所述两半可通过粘接到每一半的边缘的磁体保持在一起。这允许容易地安装这些反射器板。反射器板的目的是将热量反射回待加热部件并且将热量保持在机壳内的电子部件和其它部件之外。
图18示出了用于两个前体输入口构造的加热器部件。这里,两半铝制的加热器部件540和542夹持在VCR接头周围。在一半铝制的加热器部件上设置了孔以安装加热器模块。金属反射器板544和546从铝加热器部件间隔开(例如约1/4英寸),并且将热量保持在铝加热器部件内。用于脉冲阀的温度传感器可螺纹连接到阀本身。为此目的可在脉冲阀内设置螺纹。
图19示出了标准的柔性加热器套550,该加热器套550可用来加热泵出管线波纹管304。应当理解类似的加热器套还可用来加热前体供应管线和阀组件。
在图20中,绘出了多个前体的歧管600。如图所示,歧管包括来自分别的前体供应装置的多个入口。应当理解,任意合适数量(例如2、3、4、5个等)的前体供应装置可与歧管一起使用。焊接的VCR片段可用来允许前体的数量从两个扩展到所需数量(例如3、4、5个)。在此实施方式中,歧管包括两个出口,它们分别连接到第一前体供应装置和第二前体供应装置。然而,在其它实施方式中,歧管可包括单个出口,该出口可连接到单个前体口。
在一些实施方式中,可优选地加热歧管600。图21示出了与歧管相连的加热器。示出了一半加热器部件602。加热器部件可由例如铝等导热材料制成。加热器部件具有机加工的槽以允许装配歧管和VCR连接装置。图22示出了歧管加热器组件600的俯视图。这里示出半加热器部件602和604紧密接触。再一次使用了片状金属反射器板606和608以将热量保持在内部。
在图7A中示出了RTD温度传感器136。该传感器测量反应器基壁的温度,但是由于传感末端与O形环的紧密接近以及盖和反应器基部之间的直接机械接触,传感器可指示壁、O形环和顶盖的温度。在盖由高热传导性材料(例如铝)制成的实施方式中,盖的温度可与壁的温度处于热平衡,并且均匀地分布来自管式壁加热器126的热量。在某些实施方式中该构造可以是优选的。
图8和9示出了根据本发明的一个实施方式如何将反应器基部连接到机壳顶部。管式加热器126利用四个螺栓128固定到反应器底部的切口部。如图所示,相同的四个螺栓128用来将反应器固定到机壳:螺栓128匹配孔420并且反应器高出机壳的高度可通过改变螺母在螺栓128上的位置来调节。反应器102和机壳顶部404之间的竖直分离在反应器底部和机壳顶部之间提供了绝热,并且允许热量散发而不传递到机壳内部,这可有利地保持内部的泵和电子元件较凉。保持螺栓128可由低导热性材料(例如不锈钢)制成并且具有相对小的截面,以限制热量从反应器传递到机壳。
RTD温度传感器422和423固定到机壳。当为了清洁或其它目的从机壳上拆下反应器时,RTD从其配合孔134和130滑动。这利于分解系统。
如图8所示,反应器的加热通过基板加热器124和壁-盖管式加热器126控制。如上所述,这些加热器可定位在反应腔的外侧。例如,基板加热器124安装在反应腔的外侧以避免污染反应空间内部并且防止对于真空电线通路的需要。在包括由低导热性材料(例如不锈钢)制成的薄反应器底部的实施方式中,从腔的中心至侧壁的热传递受到限制,反之亦然,允许单独控制两部分。在反应器的剖面图11B中更清楚示出基板加热器和壁加热器部分之间的薄反应器底部140。
对于其中所需的沉积温度没有超过容许的反应器O形环温度的反应器,可避免使用独立的基板加热器和管式壁加热器对反应器进行差别加热,并且一个相同的加热器可安装到反应器底部。在这些实施方式中,反应器的底部可更厚,并且在需要时可由高导热性材料制成。
图10和11B示出了在此实施方式中两个前体口和泵出管线口(即,出口)的位置。基板区域位于其中。除了上述优点,由于前体和出口位于反应器102的底部而没有位于侧部,所以反应器空间140的高度可非常小。在没有使制造或装配变得复杂的情况下,这改善了气流并且允许低的蒸气剂量。对于非常厚的样品,顶盖可容易地由具有竖直上升腔144的盖142替换,如图12A所示。若需要,反应腔还可以通过使用具有突入反应腔的表面的盖减少容积(图12B)。
图13示出了在进行沉积过程中控制软件的截屏图。如图所示,基板温度(300摄氏度)独立于壁-盖温度(130摄氏度)受到控制。独立的压力脉冲在ALD操作模式1中看到,这将在下面进一步描述。
图14示出了使用本发明的ALD系统和方法在4英寸晶片上利用TMA和水前体进行900次循环之后的厚度结果。厚度以椭圆偏振计测量并且在整个四英寸晶片上的偏差小于1%。尽管图14示出的示例用于4英寸晶片,但是应当理解,本发明可用于宽的样品种类范围,包括任意合适尺寸的晶片或者非晶片基板。
本发明的一些实施方式可使用可编程逻辑控制器(PLC),该PLC根据定义包括用于自动过程控制的板处理器。然而,在一些实施方式中,阀脉冲之间的精确正时以及过程运行的精确起始和结束,仅有阀脉冲时间本身需要超出直接PC控制能力来控制:脉冲之间的泵出/清除时间在数秒的量级内,并且恰好落入具有固态继电器的逻辑卡的直接USB PC控制范围内,其通常为大约20毫秒。阀脉冲时间通常必须在大约1-100毫秒之间,并且需要精确控制。在非自动控制卡上的简单脉冲时间编码手段在本发明的一些实施方式中就足够了,并且可满足此需求而无需使用昂贵的PLC。
图24和25示出了根据本发明的实施方式的系统的部件,其包括形成在反应器腔的底面622内的单一前体口620。如图所示,单一前体口定位在基板的与出口624(其可连接到真空)相对的另一侧。在某些实施方式中,单一的前体口可以是优选的。例如,在一些实施方式中,单一的前体口可增加前体流在系统内的均匀性。
在此图示实施方式中,第一前体供应装置16和第二前体供应装置18连接到歧管626的分别的入口625a、625b。在本发明的其它实施方式中,还能够有额外的前体供应装置连接到歧管的分别的入口。歧管包括连接到前体口620的单一出口628。如上结合两个口的实施方式所述的,脉冲阀206、208可提供至惰性气体供应装置的连接。脉冲阀的操作类似于上面的描述。
在本发明的一些实施方式中,阱材料可用来吸收从反应腔去除(例如通过引出泵)的未反应的前体。特别是,在ALD过程中,这种未反应的前体可沉积在系统部件(例如真空计、真空阀、真空管线、甚至真空泵)上,这会损坏性能(例如量表的浓度测量)并且需要清洁。
阱材料可定位在反应腔和真空计之间的前体流动路径内。在一些实施方式中,优选地阱材料(至少部分地、并且在一些情况下完全地)定位在出口内。图26示出了根据本发明一个实施方式的定位在出口624内的阱630。将阱定位在出口内可特别有利于阱的更换。
在操作中,阱通常保持在升高的温度下。在一些实施方式中(例如,当阱至少部分地定位在出口内),阱通过来自反应腔(其例如由管式加热器加热)的热而被加热到足够的温度。由此,在这些实施方式中,阱不需具有单独的加热器。
通常,可使用任意合适的阱,尽管如下面进一步所述的一些阱设计可以是优选的。典型的传统阱的例子包括不锈钢丝棉、铝丝棉、铜丝棉、活性碳和活性氧化铝等。阱材料所需的特征包括下列一个或多个:(a)表面面积足够大不让过多的前体通过,但是足够小(或者没有过小的孔),不会捕获所有的化学物质和/或导致向回扩散到腔内(例如,5至100倍于阱的上游的有效表面面积的表面面积,其中所述上游的有效表面面积包括(至少)基板表面面积和腔内的表面面积);(b)小的流动阻力;(c)允许相同的沉积过程如同在基板上那样发生在阱上;(d)无阻碍地通过反应产物,使得能够测量反应产物(其与初始前体量相关,并且与沉积薄膜表面相关);(e)由具有类似于沉积材料的膨胀系数的材料制成,特别是对于陶瓷涂层;(f)允许多次沉积循环的涂覆而不会填满;(g)能够以低成本制造;以及(h)不产生会破坏真空泵的粉尘或破碎部分。
在一些实施方式中,可优选阱的多数(例如大于50%、大于75%、大于95%等)表面区域基本上平行于从中通过的气态物质流。在一些实施方式中,基本上阱的所有(即,大于99%)表面区域平行于从中通过的气态物质流。这利于前体在阱表面上沉积,降低流动阻力并且可促进反应产物从中流过。在这些实施方式中的一部分中,阱的至少一部分包括具有波状表面。在一些情况下,阱可包括波状表面部分和平表面部分。波状表面部分可以是独立于平表面部分部件的部件,并且两个部件可装配在一起;或者,波状表面部分和平表面部分可以是同一部件的不同部分。
在一些实施方式中,阱可由无孔材料形成。
当波状表面部分和平表面部分为独立的部件时,阱可以是如图27所示的由波状薄片卷与平的薄片绕在一起构成的卷绕组件。这种阱的表面面积可通过调整元件的长度、直径和波纹来控制。这种阱的流动阻力会非常低,因为金属薄片表面非常光滑并且没有孔,并且其不具有任何垂直于流动的实质表面面积或线。此外,开口面积比例可非常大,因为金属薄片的厚度可显著小于孔尺寸(波纹部)。通常薄片的厚度为大约5-25微米,并且孔尺寸为100-1000微米。通常,在原子层沉积过程中,膜在所有表面上生长,但是由于通过此波状阱薄片的表面的流动与其通过基板的方式很相似,典型的沉积特征将相同。由于阱薄片具有光滑表面,易挥发状态的反应产物一般不会粘到阱上,这与在ALD过程中这些反应产物不会结合到生长在样品表面上的膜中的方式很相似。由此,由于表面很大,前体可在阱的表面上起反应,一直到前体消耗完,并且使易挥发的反应产物通过而进入量表用于测量,然后到达真空泵以从腔内去除。
由于薄片实际上可由任何金属制成,并且可选择金属使其热膨胀系数与沉积涂层相匹配,所以在加热和冷却过程中沉积的涂层从阱的分层和剥离可受到限制。对于典型的沉积陶瓷,它们本身具有低的柔性,例如科伐合金、因瓦合金和其它延展加工的合金等延展加工的金属可用作薄片。对于沉积Al2O3的典型过程,钼可有效地用作薄片材料,因为其不起化学反应、强度高、并且具有与Al2O3类似的热膨胀系数。图示的阱的孔尺寸通常为100-1000微米的量级,允许沉积至少该数量的一半。在一次ALD循环中通常沉积的涂层为10-100纳米的量级,在需要更换或腐蚀阱材料之前差不多允许1000次循环。薄金属片成本低、容易得到,并且可容易地切割、冲压和卷绕成合适的卷绕尺寸。与会形成粉尘和颗粒的陶瓷材料和细金属线相比,卷绕的薄片当焊接在端部时有稳定的形状并且将不会产生裂纹或断裂。
在一些实施方式中,阱可设计为使得用户能够在使用过程中当将阱从系统中去除时可视地观察沉积在阱表面上的前体材料。沉积在阱上的前体没有在ALD过程中沉积在基板上,因此被认为是“过度剂量”的前体。用户可用眼睛确定沿着阱的长度沉积在阱表面上的前体的距离。该距离与过度剂量相关(例如,越大距离表示越大的过度剂量)。在这些实施方式中,特别优选其多数表面基本平行于从中通过的气态物质流的阱。该“过度剂量”信息可用来调节过程参数以保证过度剂量不会过大或过小。
然而,应当理解,在本发明的某些实施方式中可使用本领域中已知的其它阱材料。
应当理解,本发明的沉积系统可具有多种这里没有具体示出、描述的其它设计。变化对于本领域的普通技术人员将是已知的。例如,系统可包括输入口和输出口的任意组合(例如,5个输入口与一个输出口,2个输入口与2个输出口等)。除了独立的输入口,还可使用输入口歧管,其中数个输入口组件,包括缸和阀,与歧管相组合,歧管的输出连接到反应器基部的底部。除了在一个反应器上使用两个输入口,还能够仅使用一个输入口,以及使用歧管而扩展到2、3、4、5等等根数的前体管线。
不排除其它操作模式,例如多层和纳米沉积,为解释目的,ALD操作可分组为四种沉积模式:1、以脉冲方式送入前体同时连续泵出;2、连续流入惰性气体同时以脉冲方式送入(添加)前体,并且连续泵出;3、以脉冲方式送入前体而截止阀关闭,并且在脉冲期间泵出;4、以脉冲方式送入前体并且以惰性气体清除/泵出。在该模式中,截止阀是将泵与反应腔隔离的阀,并且允许前体保持在反应腔内,直至反应完毕或者当打开截至阀时去除。截止阀也称为泵出阀或真空阀。
图2A示出了具有两个前体阀脉冲50和52的沉积循环。脉冲宽度典型地为5毫秒,并且通常短于在脉冲之间的泵出时间。图2B以压力-时间简图示出了第一操作模式。当从腔内连续泵出时,以脉冲方式送入前体1,给出峰值压力55,随后是一段时间的排空。第二前体脉冲给出第二压力上升,随后是第二排空。该顺序重复进行,直至获得需要的薄膜厚度。阀例如可以是例如parker 99系列阀的电磁阀,或者例如Swagelok ALD阀的液压阀。
图2C以压力-时间简图示出了第二操作模式。当从腔内连续泵出、同时流入例如氮气等惰性气体时,在基板腔内产生底压60。当以脉冲方式送入前体1时,产生压力61,该压力包括惰性气体压力+前体分压。该脉冲之后是一段惰性气体流及其相应的压力62。第二前体脉冲给出压力上升63,之后是第二惰性气体排空过程64。该顺序重复进行,直至获得期望的薄膜厚度。
图2D以压力-时间简图示出了第三操作模式。当在时间66期间连续从腔内泵出之后,截止阀关闭。此后,以脉冲方式送入前体1,给出峰值压力70。在时间-压力70之后,截止阀打开并且去除未反应的前体部分并且排空腔,给出低压68。此后关闭截止阀并且以脉冲方式送入第二前体,给出时间-压力69。此后再一次打开截止阀并且排空反应腔,给出低压70。由于其自我限制特性,厚度大体上独立于流动。结果是在例如沟槽和线等三维结构上产生优良的均匀性。
利用本发明的系统和方法,很多种材料(和材料的组合)可在很多种不同的基板上沉积。这种有涂层的基板可在多种不同应用中使用。一种应用包括在例如金属、塑料和玻璃等的基板上形成的耐刮擦彩色涂层。该涂层可由例如TiO2、Zr3N4、Cu等形成。由彼此叠置的、具有不同折射率的不同材料构成的纳米叠层可增强该耐刮擦性能。ALD对于耐刮擦彩色涂层特别有吸引力,因为ALD技术允许在低温下在复杂三维几何构造上沉积。
由此已经描述了本发明至少一个实施方式的数个方面,应当理解,本领域的技术人员将容易想到各种改变、变化和改进。这些改变、变化和改进是此公开内容的一部分,并且在本发明的主旨和范围内。因此,前面的描述和附图仅仅是示例性的。

Claims (10)

1.一种设计为用于气相沉积系统中的阱,以捕获前体,其中所述前体与所述阱的表面起反应以沉积涂层,所述阱的多数表面区域基本上平行于通过该阱的气态物质流,并且所述阱由无孔金属薄片形成。
2.如权利要求1所述的阱,其中所述阱包括波状表面。
3.如权利要求2所述的阱,其中所述阱是卷绕组件,包括与波状薄片部分装配在一起的平薄片部分。
4.如权利要求1所述的阱,其中所述阱设计成使得沿着所述阱的长度的前体沉积距离可以由用户通过眼睛确定,并且所述沉积距离与前体的过度剂量有关。
5.如权利要求1所述的阱,其中所述阱的至少75%的表面区域基本上平行于通过所述阱的气态物质流。
6.如权利要求1所述的阱,其中所述阱的至少95%的表面区域基本上平行于通过所述阱的气态物质流。
7.如权利要求1所述的阱,其中所述阱的基本上所有表面区域基本上平行于通过所述阱的气态物质流。
8.如权利要求1所述的阱,其中所述阱设计成定位在所述沉积系统的出口和真空计之间。
9.如权利要求1所述的阱,其中所述系统是原子层沉积系统。
10.如权利要求1所述的阱,其中所述阱设计成捕获未反应的前体。
CN2009101707856A 2004-06-28 2005-06-27 设计为用于气相沉积系统中的阱 Active CN101684550B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US58385404P 2004-06-28 2004-06-28
US60/583,854 2004-06-28
US65254105P 2005-02-14 2005-02-14
US60/652,541 2005-02-14

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800259212A Division CN100554505C (zh) 2004-06-28 2005-06-27 气相沉积系统和方法

Publications (2)

Publication Number Publication Date
CN101684550A CN101684550A (zh) 2010-03-31
CN101684550B true CN101684550B (zh) 2012-04-11

Family

ID=37441749

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101707856A Active CN101684550B (zh) 2004-06-28 2005-06-27 设计为用于气相沉积系统中的阱

Country Status (8)

Country Link
US (3) US8202575B2 (zh)
EP (2) EP2161352B1 (zh)
JP (1) JP5179179B2 (zh)
KR (1) KR20070048177A (zh)
CN (1) CN101684550B (zh)
AT (1) ATE444380T1 (zh)
DE (1) DE602005016933D1 (zh)
WO (1) WO2007001301A2 (zh)

Families Citing this family (290)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2161352B1 (en) * 2004-06-28 2014-02-26 Cambridge Nanotech Inc. Vapour trap for atomic layer deposition (ALD)
TWI304241B (en) * 2005-02-04 2008-12-11 Advanced Display Proc Eng Co Vacuum processing apparatus
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
US20100075037A1 (en) * 2008-09-22 2010-03-25 Marsh Eugene P Deposition Systems, ALD Systems, CVD Systems, Deposition Methods, ALD Methods and CVD Methods
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9068263B2 (en) * 2009-02-27 2015-06-30 Sandvik Thermal Process, Inc. Apparatus for manufacture of solar cells
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5284298B2 (ja) * 2010-03-04 2013-09-11 三井造船株式会社 薄膜形成装置
KR101136728B1 (ko) * 2010-10-18 2012-04-20 주성엔지니어링(주) 기판처리장치와 그의 분해 및 조립방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8826857B2 (en) * 2011-11-21 2014-09-09 Lam Research Corporation Plasma processing assemblies including hinge assemblies
FR2989691B1 (fr) 2012-04-24 2014-05-23 Commissariat Energie Atomique Reacteur pour le depot de couche atomique (ald), application a l'encapsulage d'un dispositif oled par depot de couche transparente en al2o3.
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
TW201408811A (zh) * 2012-08-28 2014-03-01 Univ St Johns 多流向原子層沈積系統
KR20150052283A (ko) * 2012-09-07 2015-05-13 린데 악티엔게젤샤프트 원자층 증착을 위한 용액계 전구체의 직접 액체 분사
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140134849A1 (en) * 2012-11-09 2014-05-15 Intermolecular Inc. Combinatorial Site Isolated Plasma Assisted Deposition
CN105518838B (zh) 2013-07-02 2019-11-26 雅达公司 使用快速热加工形成异质外延层以除去晶格位错
JP6099508B2 (ja) * 2013-07-08 2017-03-22 三菱電機株式会社 ガス捕捉体およびそれを備えた半導体製造装置
DE112014004343B4 (de) 2013-09-23 2019-01-31 Ultratech, Inc. Verfahren und Vorrichtung zum Ausbilden von Galliumnitridschichten mit Bauelementqualität auf Siliziumsubstraten
DE102013219213A1 (de) * 2013-09-24 2015-03-26 Osram Gmbh Prozesskammer für einen chemischen Reaktionsbeschichtungsprozess und Verfahren zum Beschichten eines optischen Objekts mittels eines chemischen Reaktionsbeschichtungsprozesses
TWI588286B (zh) 2013-11-26 2017-06-21 烏翠泰克股份有限公司 經改良的電漿強化原子層沉積方法、周期及裝置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102267923B1 (ko) 2014-08-26 2021-06-22 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN105187087B (zh) * 2015-08-12 2017-12-26 安徽华东光电技术研究所 高频收发模块的加工方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3162914A1 (en) * 2015-11-02 2017-05-03 IMEC vzw Apparatus and method for delivering a gaseous precursor to a reaction chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017153827A1 (en) 2016-03-07 2017-09-14 Wallbrooke Investments Ltd. Inductive heating apparatus and related method
US20170260629A1 (en) 2016-03-08 2017-09-14 Ultratech, Inc. Quartz crystal microbalance assembly for ALD systems
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
SG11201901464WA (en) 2016-09-16 2019-03-28 Picosun Oy Particle coating by atomic layer depostion (ald)
US20180080124A1 (en) * 2016-09-19 2018-03-22 Applied Materials, Inc. Methods and systems for thermal ale and ald
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR20190104040A (ko) 2017-01-27 2019-09-05 울트라테크 인크. 기판-바이어스된 원자층 증착을 위한 향상된 전기적 절연을 가진 척 시스템 및 방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR101988361B1 (ko) * 2017-06-15 2019-06-12 버슘머트리얼즈 유에스, 엘엘씨 가스 공급 시스템
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019182967A1 (en) 2018-03-19 2019-09-26 Applied Materials, Inc. Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11037770B2 (en) 2018-07-02 2021-06-15 Photonis Scientific, Inc. Differential coating of high aspect ratio objects through methods of reduced flow and dosing variations
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129579B (en) * 2019-06-28 2022-05-13 Beneq Oy Precursor source arrangement and atomic layer growth equipment
FI129578B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US20220154745A1 (en) * 2020-11-13 2022-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas transport system
US11566327B2 (en) * 2020-11-20 2023-01-31 Applied Materials, Inc. Methods and apparatus to reduce pressure fluctuations in an ampoule of a chemical delivery system
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6354241B1 (en) * 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2831549A (en) * 1954-08-31 1958-04-22 Westinghouse Electric Corp Isolation trap
US3680163A (en) * 1969-08-27 1972-08-01 Westinghouse Electric Corp Non-consumable electrode vacuum arc furnaces for steel, zirconium, titanium and other metals and processes for working said metals
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS63299875A (ja) * 1987-05-29 1988-12-07 Aichi Steel Works Ltd 金属製構造体の接合方法
JPH02150040A (ja) 1988-11-30 1990-06-08 Fujitsu Ltd 気相成長装置
EP0382984A1 (en) * 1989-02-13 1990-08-22 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Thermal decomposition trap
JP3128239B2 (ja) * 1991-05-21 2001-01-29 東京エレクトロン株式会社 クラスタツールのソフトエッチングモジュールおよびそのecrプラズマ発生装置
JP3008782B2 (ja) 1994-07-15 2000-02-14 信越半導体株式会社 気相成長方法およびその装置
US5478610A (en) * 1994-09-02 1995-12-26 Ceram Incorporated Metalorganic chemical vapor deposition of layered structure oxides
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5651827A (en) * 1996-01-11 1997-07-29 Heraeus Quarzglas Gmbh Single-wafer heat-treatment apparatus and method of manufacturing reactor vessel used for same
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5888579A (en) * 1996-07-29 1999-03-30 Texas Instruments-Acer Incorporated Method and apparatus for preventing particle contamination in a process chamber
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5928426A (en) * 1996-08-08 1999-07-27 Novellus Systems, Inc. Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5762341A (en) 1997-01-13 1998-06-09 Zygo Mould Limited O-ring insert
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6089543A (en) 1997-07-11 2000-07-18 Applied Materials, Inc. Two-piece slit valve door with molded-in-place seal for a vacuum processing system
US5879010A (en) 1997-07-22 1999-03-09 Green Tweed Of Delaware, Inc. Seal assembly with mechanically joined anti-extrusion rings
US6321680B2 (en) * 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
US6007330A (en) * 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
KR100292953B1 (ko) * 1998-06-23 2001-11-30 윤종용 반도체소자제조용식각장치및이를이용한식각방법
EP1123423B1 (en) 1998-09-16 2007-08-01 Applied Materials, Inc. High rate silicon deposition method at low pressures
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
JP4726369B2 (ja) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 化学蒸着反応炉及びこれを利用した薄膜形成方法
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
JP4092821B2 (ja) * 1999-07-27 2008-05-28 東京エレクトロン株式会社 処理装置の排気システム
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6361049B1 (en) 2000-02-15 2002-03-26 Honeywell International Inc. Recessed groove/seal surface for seal effectiveness
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6585823B1 (en) * 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6770145B2 (en) * 2000-12-11 2004-08-03 Tanaka Kikinzoku Kogyo K.K. Low-pressure CVD apparatus and method of manufacturing a thin film
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) * 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
JP4025030B2 (ja) * 2001-04-17 2007-12-19 東京エレクトロン株式会社 基板の処理装置及び搬送アーム
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7090727B2 (en) * 2001-08-17 2006-08-15 Micron Technology, Inc. Heated gas line body feedthrough for vapor and gas delivery systems and methods for employing same
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6461436B1 (en) 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
CA2366066A1 (en) 2001-12-21 2002-07-30 Adolf Eberl Absorbent filter material matrices and their arrangements in filter cartridges
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
JP2003201566A (ja) * 2002-01-08 2003-07-18 Mitsubishi Electric Corp 化学気相堆積装置
JP4908738B2 (ja) 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー Ald方法
JP3734447B2 (ja) * 2002-01-18 2006-01-11 富士通株式会社 半導体装置の製造方法および半導体装置の製造装置
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
KR100574150B1 (ko) * 2002-02-28 2006-04-25 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조방법
JP4090346B2 (ja) * 2002-02-28 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030223922A1 (en) * 2002-06-03 2003-12-04 Charles Hsu Structure and method for improving metal honeycomb core body of catalyst converters
JP4227098B2 (ja) * 2002-07-05 2009-02-18 東京エレクトロン株式会社 基板処理装置のクリーニング方法および基板処理装置
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
JP2004055401A (ja) * 2002-07-22 2004-02-19 Sony Corp 有機膜形成装置
WO2004018909A2 (en) * 2002-08-20 2004-03-04 Applied Materials, Inc. Electronically actuated valve
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP2004091850A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 処理装置及び処理方法
JP2004091580A (ja) 2002-08-30 2004-03-25 Meidensha Corp 消化ガス清浄装置及び消化ガスを用いたガスタービン発電装置
US6936086B2 (en) * 2002-09-11 2005-08-30 Planar Systems, Inc. High conductivity particle filter
JP4113755B2 (ja) 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7399357B2 (en) * 2003-05-08 2008-07-15 Arthur Sherman Atomic layer deposition using multilayers
US20050000428A1 (en) * 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
US6941963B2 (en) 2003-06-26 2005-09-13 Planar Systems, Inc. High-speed diaphragm valve for atomic layer deposition
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
EP2161352B1 (en) * 2004-06-28 2014-02-26 Cambridge Nanotech Inc. Vapour trap for atomic layer deposition (ALD)
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060292310A1 (en) * 2005-06-27 2006-12-28 Applied Materials, Inc. Process kit design to reduce particle generation
DE112008002223T5 (de) * 2007-08-21 2010-08-05 Panasonic Corp., Kadoma Plasmabearbeitungsvorrichtung und Plasmaentladungszustand-Überwachungsvorrichtung
JP5062143B2 (ja) * 2008-11-10 2012-10-31 東京エレクトロン株式会社 成膜装置
FR2989691B1 (fr) * 2012-04-24 2014-05-23 Commissariat Energie Atomique Reacteur pour le depot de couche atomique (ald), application a l'encapsulage d'un dispositif oled par depot de couche transparente en al2o3.
US20130337171A1 (en) * 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
US9856563B2 (en) * 2012-08-22 2018-01-02 Uchicago Argonne, Llc Micro-balance sensor integrated with atomic layer deposition chamber

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6354241B1 (en) * 1999-07-15 2002-03-12 Applied Materials, Inc. Heated electrostatic particle trap for in-situ vacuum line cleaning of a substrated processing

Also Published As

Publication number Publication date
JP2008504447A (ja) 2008-02-14
ATE444380T1 (de) 2009-10-15
CN101684550A (zh) 2010-03-31
WO2007001301A2 (en) 2007-01-04
EP1771598B1 (en) 2009-09-30
US20120070581A1 (en) 2012-03-22
US20060021573A1 (en) 2006-02-02
WO2007001301A3 (en) 2007-05-10
US8202575B2 (en) 2012-06-19
EP1771598A2 (en) 2007-04-11
EP2161352A1 (en) 2010-03-10
EP2161352B1 (en) 2014-02-26
US20170159177A1 (en) 2017-06-08
DE602005016933D1 (de) 2009-11-12
KR20070048177A (ko) 2007-05-08
JP5179179B2 (ja) 2013-04-10
US9556519B2 (en) 2017-01-31

Similar Documents

Publication Publication Date Title
CN101684550B (zh) 设计为用于气相沉积系统中的阱
CN100554505C (zh) 气相沉积系统和方法
US5624498A (en) Showerhead for a gas supplying apparatus
CN101960564B (zh) 用于安瓿的加热阀歧管
KR102590729B1 (ko) 적층 가공된 가스 분배 매니폴드
US6416577B1 (en) Method for coating inner surfaces of equipment
US20060133955A1 (en) Apparatus and method for delivering vapor phase reagent to a deposition chamber
US5451260A (en) Method and apparatus for CVD using liquid delivery system with an ultrasonic nozzle
US6878206B2 (en) Lid assembly for a processing system to facilitate sequential deposition techniques
US20050116064A1 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20150292088A1 (en) Deposition systems having interchangeable gas injectors and related methods
US20040079286A1 (en) Method and apparatus for the pulse-wise supply of a vaporized liquid reactant
US20110293832A1 (en) Method and apparatus for depositing thin layers of polymeric para-xylylene or substituted para-xylylene
CN102272351A (zh) 试剂分配装置及输送方法
WO2014198134A1 (zh) 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置
WO2019153585A1 (zh) 一种真空反应装置及反应方法
US20060185590A1 (en) High temperature chemical vapor deposition apparatus
KR20030080687A (ko) Cvd 장치의 샤워헤드
CN115161617B (zh) 分气结构及气相沉积设备
US20200385858A1 (en) Coating of fluid-permeable materials
WO2013027096A1 (en) Direct liquid injection for halide vapor phase epitaxy systems and methods
TW202109798A (zh) 用於供應氣體的裝置及使用其處理基板的裝置
CN115161619B (zh) 阀门及气相沉积设备
CN115167574B (zh) 阀门温控装置及气相沉积设备
CN219409894U (zh) 一种用于微通道反应器的原子层沉积设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20170222

Address after: California, USA

Patentee after: ULTRATECH, Inc.

Address before: California, USA

Patentee before: Setco

Effective date of registration: 20170222

Address after: California, USA

Patentee after: Setco

Address before: Massachusetts, USA

Patentee before: Cambridge Nanotech Inc.

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20200123

Address after: New York State, USA

Patentee after: Micro Instrument Co.

Address before: California, USA

Patentee before: Ultratech, Inc.