JP2015510263A - 紫外線を用いたコンフォーマルな膜蒸着の方法 - Google Patents

紫外線を用いたコンフォーマルな膜蒸着の方法 Download PDF

Info

Publication number
JP2015510263A
JP2015510263A JP2014554825A JP2014554825A JP2015510263A JP 2015510263 A JP2015510263 A JP 2015510263A JP 2014554825 A JP2014554825 A JP 2014554825A JP 2014554825 A JP2014554825 A JP 2014554825A JP 2015510263 A JP2015510263 A JP 2015510263A
Authority
JP
Japan
Prior art keywords
reactant
silicon
nitrogen
phase flow
containing reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014554825A
Other languages
English (en)
Other versions
JP2015510263A5 (ja
Inventor
ラボイエ・エイドリアン
バラダラジャン・バドリ
ヘンリー・ジョン
ハウスマン・デニス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of JP2015510263A publication Critical patent/JP2015510263A/ja
Publication of JP2015510263A5 publication Critical patent/JP2015510263A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

【解決手段】窒化ケイ素(SiN)材料、及び、SiCN(SiNCとも表記する)膜、SiON膜及びSiONC膜などの炭素含有及び/又は酸素含有膜を含む他のケイ素含有膜を基板上に形成する方法を記載する。様々な実施形態において、この方法は、1つ又は複数の反応物質の電磁放射線を用いた活性化を含む。ある実施形態において、たとえば、この方法は、気相アミン共反応物質の紫外線(UV)活性化を含む。この方法を用いて、約400℃未満の温度でSiN膜及びSiCN膜などのケイ素含有膜を蒸着することができる。【選択図】図6

Description

[クロスリファレンス]
本出願は、「紫外線を用いたコンフォーマルな膜蒸着の方法(METHODS FOR UV-ASSISTED CONFORMAL FILM DEPOSITION)」の名称で2012年1月26日に出願された米国仮特許出願No.61/591,230及び2012年5月15日に出願された米国特許出願No.13/472,282に対して、優先権を主張するものであり、前記両出願の内容は、参照することにより本明細書に組み込まれる。
本発明は、一般的に、SiN材料、SiCN材料及びSiC材料などのケイ素含有材料の基板上への形成に関する。より具体的には、本発明は、半導体基板上へのケイ素含有膜の形成に関する。
窒化ケイ素(SiN)薄膜は、特有な物理的、化学的及び機械的性質を有し、このため、種々の用途で、特に半導体デバイスに、たとえば、拡散バリア、ゲート絶縁物質、側壁スペーサ、封入層、トランジスタにおける歪み膜などに、用いられている。SiN膜における一つの問題は、比較的高温で膜形成が行われることである。たとえば、FEOL(Front End of Line)用途において、SiN膜は、通常、反応器内でジクロロシランとアンモニアとを用いて、750℃より高い温度で化学蒸着(CVD:Chemical Vapor Deposition)によって蒸着される。しかし、SiN膜は、後段の半導体製造工程でも用いられ、また、デバイスの寸法は縮小し続けているため、たとえば、600℃未満といった、より低い温度でSiN膜を形成する要求が高まっている。
窒化ケイ素(SiN)材料、及び、SiCN(SiNCとも表記する)膜、SiON膜及びSiONC膜などの炭素含有及び/又は酸素含有膜を含む他のケイ素含有膜を基板上に形成する方法を記載する。様々な実施形態において、この方法は、1つ又は複数の反応物質の電磁放射線を用いた活性化を含む。ある実施形態において、たとえば、この方法は、気相アミン共反応物質の紫外線(UV)活性化を含む。この方法を用いて、約400℃未満の温度でSiN膜及びSiCN膜などのケイ素含有膜を蒸着することができる。
本発明の上述並びにその他の特徴及び利点を、関連する図面を参照して、以下に詳述する。
蒸着工程の例における各フェーズの進行を時系列で示す説明図。 蒸着工程の例における各フェーズの進行を時系列で示す説明図。
ケイ素含有膜を形成するための処理フローの例を示す工程図。 ケイ素含有膜を形成するための処理フローの例を示す工程図。
SiN膜を形成する処理フローの一例を示す工程図。
SiN蒸着工程の例における各フェーズの進行を時系列で示す説明図。 SiN蒸着工程の例における各フェーズの進行を時系列で示す説明図。 SiN蒸着工程の例における各フェーズの進行を時系列で示す説明図。 SiN蒸着工程の例における各フェーズの進行を時系列で示す説明図。 SiN蒸着工程の例における各フェーズの進行を時系列で示す説明図。 SiN蒸着工程の例における各フェーズの進行を時系列で示す説明図。 SiN蒸着工程の例における各フェーズの進行を時系列で示す説明図。 SiN蒸着工程の例における各フェーズの進行を時系列で示す説明図。
CFD処理ステーションの一例を示す構成図。
マルチステーション型処理ツールの一例を示す概略図。
概要:
本発明は、SiN膜、SiCN膜及びSiC膜などのケイ素含有膜の、特に、半導体基板上への形成に関する。本明細書に記載する方法は、低温で膜を蒸着する工程を含む。
定義:
本明細書においては、別段の指定がない限り、以下の定義を適用する。
「ケイ素含有反応物質」は、SiN、SiCN、SiC又はその他のケイ素含有材料の形成に用いられる試薬である単一試薬又は試薬混合物であって、この試薬は少なくとも1つのケイ素化合物を含有する。ケイ素化合物は、たとえば、シラン、ハロシラン又はアミノシランでもよい。シランは、水素及び/又は炭素基を含有するが、ハロゲンを含有しない。シランの例としては、シラン(SiH4)と、ジシラン(Si26)と、メチルシラン、エチルシラン、イソプロピルシラン、t‐ブチルシラン、ジメチルシラン、ジエチルシラン、ジ‐t‐ブチルシラン、アリルシラン、sec−ブチルシラン、テキシルシラン、イソアミルシラン、t‐ブチルジシラン、ジ‐t‐ブチルジシラン等のオルガノシランと、が挙げられる。ハロシランは、少なくとも1つのハロゲン基を含有するものであって、水素及び/又は炭素基を含有するものでも含有しないものでもよい。ハロシランの例としては、ヨードシラン、ブロモシラン、クロロシラン及びフルオロシランが挙げられる。ハロシラン、特にフルオロシランは、ケイ素材料をエッチング可能な反応性ハロゲン化合物種を形成することができるが、本明細書に記載する特定の実施形態においては、プラズマ照射の際にケイ素含有反応物質が存在しない。クロロシランの具体例としては、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t‐ブチルクロロシラン、ジ‐t‐ブチルクロロシラン、クロロイソプロピルシラン、クロロ‐sec‐ブチルシラン、t‐ブチルジメチルクロロシラン、テキシルジメチルクロロシラン等が挙げられる。アミノシランは、ケイ素原子に結合される少なくとも1つの窒素原子を含むものであって、水素、酸素、ハロゲン及び炭素を含有するものでもよい。アミノシランの例としては、モノアミノシラン、ジアミノシラン、トリアミノシラン及びテトラアミノシラン(それぞれH3Si(NH24、H2Si(NH22、HSi(NH23及びSi(NH24)とともに、t‐ブチルアミノシラン、メチルアミノシラン、tert‐ブチルシランアミン、ビス(ターシャルブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS))、tert‐ブチルシリルカルバメート、SiH(CH3)−(N(CH322、SiHCl−(N(CH322、(Si(CH32NH)3等の置換モノアミノシラン、置換ジアミノシラン、置換トリアミノシラン及び置換テトラアミノシランが挙げられる。アミノシランの別の例としては、トリシリルアミン(N(SiH3))がある。
「窒素含有反応物質」は、少なくとも1つの窒素を含有するものであって、たとえば、アンモニアと、ヒドラジンと、メチルアミン、ジメチルアミン、エチルアミン、イソプロピルアミン、t‐ブチルアミン、ジ‐t‐ブチルアミン、シクロプロピルアミン、sec‐ブチルアミン、シクロブチルアミン、イソアミルアミン、2‐メチルブタン‐2‐アミン、トリメチルアミン、ジイソプロピルアミン、ジエチルイソプロピルアミン、ジ‐t‐ブチルヒドラジン等のアミン(炭素を持つアミン)とともに、アニリン、ピリジン及びベンジルアミンのような芳香族含有アミンが挙げられる。アミンは、第一級アミンでも、第二級アミンでも、第三級アミンでも、第四級アミン(たとえば、テトラアルキルアンモニウム化合物)でもよい。窒素含有反応物質は、窒素以外のヘテロ原子を含有するものであってもよく、たとえば、ヒドロキシルアミン、t‐ブチルオキシカルボニルアミン及びN‐t‐ブチルヒドロキシルアミンも窒素含有反応物質である。
「プラズマ」は、反応チャンバ内で点火されるプラズマ、又は、離れたところで点火された後に反応チャンバ内に送られるプラズマを意味する。プラズマは、本明細書に記載される反応物質を含むものでもよく、また、たとえば、キャリアガス又は水素ガスのような反応種等、他の化学物質を含むものでもよい。プラズマ照射の際に反応チャンバ内に反応物質及びその他の化学物質が存在するものでもよいし、又は、反応物質が存在するチャンバ内に遠隔プラズマを流入させるようにしてもよいし、及び/又は、離れたところで反応物質及び/又はキャリアガスに点火してプラズマを生成して反応チャンバ内に送るようにしてもよい。「プラズマ」は、誘導結合プラズマやマイクロ波の表面波プラズマなどの、技術的に実現可能であることが知られている任意のプラズマを含むものである。当業者には自明のことであるが、将来的な技術の進歩にともなって、今はまだ開発されていないがいずれ開発されるであろうプラズマ生成技術も本発明の範囲内であると考えられる。
「熱的に除去可能な基」は、約200℃から約550℃の間の温度で揮発性成分に分解される、窒素含有反応物質及びケイ素含有反応物質の片側又は両側の部分(moiety)を意味する。本明細書では、この温度範囲で脱離反応を起こす、第二級及び第三級炭素基などの非限定的な例を説明する。当業者には自明のことであるが、他の基は、他の機構により本明細書で説明するように熱分解する。たとえば、t‐ブチルオキシカルボニル(t‐BOC又は「BOC」)基は、この基のt‐ブチル部分がイソブチレンを形成するとともに、分解により二酸化炭素を形成する脱離機構によって熱分解する。したがって、熱的に除去可能な基は、特定の機構又は特定の機構の組み合わせに限定されるものではない。所定の温度範囲下で基が分解されて少なくとも1つの揮発性成分を生成する限り、熱分解可能な基であるとみなすことができる。たとえば、所定の条件群下において、t‐ブチルエチルアミンは、エチル基が残る一方で、t‐ブチル基が熱分解してイソブチレンを形成する。したがって、熱分解生成物はイソブチレンとエチルアミンである。当業者には自明のことであるが、成分の揮発性は、ある程度は、その成分が生成される反応条件に応じて決まる。たとえば、加熱及び低圧条件下において、イソブチレンは揮発性であり、反応チャンバから除去されるものでもよい。これは、たとえば、一般的には揮発性成分であるアンモニアが基板表面上に吸着されるケイ素含有反応物質と反応を起こすのに対して、イソブチレンは吸着された反応物質と反応しないためである。
方法:
本明細書では、SiN膜及びSiCN膜やSiC膜などの他のケイ素含有誘電体膜を形成する方法を説明する。特定の実施形態において、紫外線で活性化されるコンフォーマルな膜蒸着を用いて、ケイ素含有膜が形成される。Si34膜及び酸素及び/又は炭素を含有するケイ素含有膜などの他のSiN膜を蒸着することができる。実施形態はCFDを含むものであるが、本明細書で記載される方法はCFDに限定されるものではない。他の適当な方法として、ALD、PEALD、CVD、PECVD及びプラズマ環状化学気相成長法(PECCVD)が含まれる。CFDを用いて膜を形成する方法は、2011年4月11日に出願された米国特許出願番号13/084,399に記載されており、この出願は、参照することによって、あらゆる目的で本明細書に組み込まれる。ここでは、CFDに関して簡単に説明する。
半導体デバイスの製造は、通常、集積製造工程で非平面状基板上に1つ又は複数の薄膜を蒸着させる処理を含む。集積工程の一部の態様において、コンフォーマルな薄膜の蒸着が有用である。たとえば、次のイオン注入工程において低濃度ドープのソース領域及びドレイン領域を保護するためのスペーサ層として働くように、窒化ケイ素膜を、持ち上げたゲートスタックの上面に蒸着させるようにしてもよい。
スペーサ層蒸着工程において、化学蒸着(CVD)処理を利用して、非平面状基板上に窒化ケイ素膜を形成して、その後に、異方性エッチングを行ってスペーサ構造を形成するようにしてもよい。ただし、ゲートスタック間の距離が減少するにつれて、CVD気相反応の物質輸送制限により「ブレッドローフィング(bread-loafing)」蒸着効果が生じる可能性がある。この効果によって、通常、ゲートスタックの上面における堆積厚が増大するとともに、ゲートスタックの底面隅における堆積厚が減少する。さらに、一部のダイはデバイス密度が異なる領域を有するため、ウエハ表面にわたる物質輸送効果により、ダイ内において、及び、ウエハ内において、膜厚が変動する結果となり得る。このような膜厚変動によって、ある領域ではオーバーエッチングに、別の領域ではアンダーエッチングになる可能性がある。これにより、デバイス性能が低下し、及び/又は、ダイ収率が低下する可能性がある。
これらの問題に対処する一部のアプローチは、原子層堆積法(ALD:atomic layer deposition)を含むものである。熱的に活性化された気相反応を利用して膜を蒸着するCVD処理とは対照的に、ALD処理は、表面媒介型の堆積反応を利用して層ごとに膜を蒸着する。ALD処理の一例を挙げれば、表面活性部位集団を含む基板表面を、第1の反応物質(A)の気相分布に曝露させる。反応物質Aの一部の分子は、反応物質Aの化学吸着種及び物理吸着種を含む濃縮相を基板表面上に形成するものでもよい。次に、反応器を減圧にして、気相及び物理吸着された反応物質Aを除去して、化学吸着種のみを残す。次に、第2の膜反応物質(B)を反応器に導入すると、反応物質Bの一部の分子が基板表面に吸着する。基板に熱エネルギーを与えると、反応物質Aと反応物質Bの吸着分子間の表面反応が活性化されて、膜層を形成する。最後に、反応器を減圧にして、反応副生成物と未反応の反応物質Bと除去し、ALDサイクルを終了する。追加のALDサイクルを実行して、膜厚を増大させるようにしてもよい。プラズマ若しくは他のエネルギー手段を、基板の加熱と組み合わせて用いることによって、又は、基板の加熱の代わりに用いることによって、反応物質Aと反応物質Bとの間の反応を促進させるものでもよい。
反応物質の注入工程における曝露時間と反応物質の付着係数とに応じて、各ALDサイクルが、たとえば、0.5オングストローム〜3オングストロームの厚さの膜層を蒸着させるものでもよい。したがって、数ナノメートル以上の厚さの膜を蒸着させる場合には、ALD処理は時間があかかる。さらに、一部の反応物質では、コンフォーマルな膜の蒸着には長い曝露時間が必要であり、これによって、ウエハのスループットタイムが低下する恐れがある。
コンフォーマルな膜は、平面状基板上にも蒸着可能である。たとえば、交互に異なる種類の膜を配列した平面状スタックから、リソグラフィ・パターニング用の反射防止層を形成するようにしてもよい。このような反射防止膜は、約100〜1000オングストロームの厚さであるため、ALD処理に比べてCVD処理のほうが適している。ただし、このような反射防止膜は、CVD処理で通常与えられるウエハ内の厚さ変動に対する許容量よりも厚さ変動に対する許容量が低い場合がある。たとえば、600オングストロームの厚さの反射防止膜では、許容量が3オングストローム未満の厚さ範囲となる場合もある。
本明細書で記載する様々な実施形態には、CFDを用いて、SiN膜、SiCN膜、SiC膜、SiO膜、SiON膜、SiOCN膜及び他のケイ素含有膜を蒸着させる実施形態がある。一般に、CFDでは、ケイ素含有膜を形成する反応の前に、1つまたは複数の反応物質を完全にパージすることが難しい。たとえば、プラズマ(又はその他の活性化エネルギー)を照射する際に、1つまたは複数の反応物質が気相内に存在する可能性がある。したがって、ALD処理で説明される処理工程の1つ又は複数の処理工程が、CFD処理の例では、短縮されたり、又は、削除されたりすることもある。さらに、一部の実施形態において、堆積反応のプラズマ活性化の結果、熱的に活性化された反応と比べて、蒸着温度が低くなり、集積工程の熱収支が抑制される可能性がある。
図1は、たとえば、不活性ガス流、反応物質A、反応物質B、及び、エネルギー源がいつオンにされたかなど、様々な処理パラメータに関して、CFD処理100における典型的な各フェーズの進行を時系列で示す。図1では、2つの蒸着サイクル110A及び110Bを示す。当業者には自明のことであるが、このCFD処理では、所望の厚さの膜を蒸着するために、任意の適当な数の蒸着サイクルを実行すればよい。CFD処理パラメータの例としては、以下に限定されるものではないが、不活性種及び反応種の流量、プラズマ出力及び周波数、紫外線波長、持続時間及び強度、基板温度及び処理ステーションの圧力が挙げられる。
「CFDサイクル」の概念は、本明細書における様々な実施形態の議論に関係する。一般に、サイクルは、表面堆積反応を一回実行するのに必要な最小の操作群である。1サイクルの結果、基板表面上に少なくとも部分的な膜層が生成される。典型的には、CFDサイクルは、基板表面に各反応物質を供給して吸着させ、次に、吸着された反応物質を反応させて部分的な膜層を形成するために必要な工程のみを含む。このサイクルは、反応物質の1つ又は副生成物を掃引(スイープ)する、及び/又は、蒸着される部分的な膜を処理するような特定の補助工程を備えるものでもよい。一般に、1サイクルには、1つの特有な一連操作のみが含まれる。たとえば、1サイクルは、以下の操作を含むものでもよい。(i)反応物質Aを供給し/吸着させる、(ii)反応物質Bを供給し/吸着させる、(iii)反応チャンバからBをスイープする、及び、(iv)活性化させることにより、AとBとの表面反応を促進させて、表面上に部分的な膜層を形成する。
図1に示すように、処理100のすべてのフェーズの間中、不活性ガスを流し続ける。反応物質Aの曝露フェーズ120Aにおいて、基板の露出表面が飽和するまで、制御された流量で処理ステーションに反応物質Aを供給する。反応物質Aは、任意の適当な堆積反応物質であればよく、たとえば、窒素含有反応物質である。図1に示す実施形態において、反応物質Aは、蒸着サイクル110A及び110Bを通して連続的に流される。気相反応を防ぐために膜前駆物質(反応物質)の曝露を別々に行う典型的なALD処理とは異なり、CFD処理の一部の実施形態において、反応物質A及びBは気相で混ざっていてもよい。反応物質Aの供給を開始し、供給を安定化させて基板を曝露させ、その後、供給を停止して、最後に反応器から除去するALD処理と比較して、処理ステーションに反応物質Aを連続的に供給することにより、反応物質Aの供給開始及び流量安定化にかかる時間を短縮する、又は、なくすことができる。図1に示す実施形態では、反応物質Aの曝露フェーズ120Aにおける反応物質Aの流量は一定の流量に設定されているが、当然のことながら、本発明の範囲内で、流量を変動させる等、反応物質Aの流量を任意の適当な流量とすることができる。一部の実施形態において、反応物質Aの曝露フェーズ120Aの持続時間は、反応物質Aに対する基板表面の飽和時間を超えるものであってもよい。たとえば、図1の実施形態では、反応物質Aの曝露フェーズ120Aには、反応物質Aの飽和後曝露時間130が含まれる。必要に応じて、反応物質Aの曝露フェーズ120Aにおいて、制御された流量の不活性ガスを流すようにしてもよい。不活性ガスの例としては、以下に限定されるものではないが、窒素、アルゴン及びヘリウムが挙げられる。処理ステーションの圧力及び/又は温度制御をしやすくするために、液体反応物質の蒸発を促進するために、反応物質をより速く供給するために、及び/又は、処理ステーション及び/又は処理ステーション配管から処理ガスを除去するためのスイープガスとして用いられるように、不活性ガスを供給するようにしてもよい。
図1に示す実施形態の反応物質Bの曝露フェーズ140Aにおいて、基板の露出表面が飽和するまで、制御された流量で処理ステーションに反応物質Bを供給する。この例では、反応物質Bは、たとえば、ケイ素含有反応物質でもよい。図1に示す実施形態では、反応物質Bの曝露フェーズ140Aにおける反応物質Bの流量は一定の流量に設定されているが、当然のことながら、本発明の範囲内で、流量を変動させる等、反応物質Bの流量を任意の適当な流量とすることができる。さらに、当然のことながら、反応物質Bの曝露フェーズ140Aにおける持続時間も任意の適当な持続時間に設定可能である。一部の実施形態において、反応物質Bの曝露フェーズ140Aの持続時間は、反応物質Bに対する基板表面の飽和時間を超えるものであってもよい。たとえば、図1の実施形態では、反応物質Bの曝露フェーズ140Aには、反応物質Bの飽和後曝露時間150が含まれる。
一部の実施形態において、表面に吸着された種Bが基板表面上で不連続な島状に点在する場合があり、この場合には、反応物質Bの表面飽和は難しい。様々な表面条件により、基板表面上における反応物質の核形成及び飽和が遅くなる可能性がある。たとえば、吸着された反応物質A及び/又はBにおいて放出されたリガンド(配位子)によって、一部の表面活性部位が阻害されて、反応物質Bをそれ以上吸着させることができなくなる場合がある。したがって、一部の実施形態において、反応物質Bの曝露フェーズ140Aの間中、処理ステーションへの反応物質の流量を調整する、及び/又は、反応物質Bの流れを離散的に脈動させることにより、反応物質Bの連続的な吸着層を提供するようにしてもよい。この方法では、一定流量の場合と比べて、反応物質Bを節約できる一方で、表面吸着及び脱離処理に余分な時間がかかる。さらに、あるいは、代わりに、一部の実施形態では、連続した反応物質Bの曝露の間に、1つ又は複数のスイープフェーズを設けるようにしてもよい。
一部の実施形態において、活性化の前に、スイープフェーズ160Aで、気相反応物質Bを処理ステーションから除去するようにしてもよい。処理ステーションをスイープ(掃引)することにより、反応物質Bがプラズマ活性化に対して不安定な場合に、又は、不要な種が形成される可能性がある場合に、気相反応を防ぐことができる。さらに、処理ステーションをスイープすることにより、膜内に残り膜の品質を低下させる恐れのある表面吸着リガンドを除去することができる。スイープガスの例としては、以下に限定されるものではないが、アルゴン、ヘリウム及び窒素が挙げられる。図1に示す実施形態において、不活性ガスの連続流が、スイープフェーズ160Aにおけるスイープガスとして機能する。一部の実施形態において、スイープフェーズ160Aは、処理ステーションを減圧にする1つ又は複数の減圧サブフェーズを備えるものでもよい。あるいは、当然のことながら、一部の実施形態では、スイープフェーズ160Aを省略することも可能である。
スイープフェーズ160Aの持続時間は、任意の適当な持続時間でよい。一部の実施形態において、1つ又は複数のスイープガスの流量を増大させることによって、スイープフェーズ160Aの持続時間を短くするようにしてもよい。たとえば、種々の反応物質の熱力学的特性及び/又は処理ステーション及び/又は処理ステーション配管の幾何学的特性に応じてスイープガスの流量を調整して、スイープフェーズ160Aの持続時間を変更するようにしてもよい。非限定的な一例として、スイープガスの流量を調整することによって、スイープフェーズの持続時間を最適化するようにしてもよい。これにより、蒸着サイクルタイムを削減でき、基板スループットを向上させることができる。
図1に示す実施形態の活性化フェーズ180Aでは、エネルギーを与えて、表面に吸着された反応物質Aと反応物質Bとの間の表面反応を活性化させる。たとえば、プラズマは、反応物質Aの気相分子を直接的に又は間接的に活性化させて、反応物質Aのラジカルを形成することができる。このようなラジカルが表面に吸着された反応物質Bと相互作用することにより、膜形成表面反応が生じる。別の例として、紫外線(UV)照射により、反応物質Aの気相分子を直接的に又は間接的に活性化させて、反応物質Aのラジカルを形成し、表面に吸着された反応物質Bと相互作用させるようにしてもよい。
様々な実施形態において、活性化フェーズ180Aは、直接(in−situ)プラズマ、遠隔プラズマ、紫外線照射、可視光照射及びマイクロ波照射の1つ又は複数を含むものでもよい。活性化フェーズ180Aは、蒸着サイクル110Aの最後のフェーズであり、図1の実施形態では、これに続いて、蒸着サイクル110Bが実施される。蒸着サイクル110Bは、反応物質Aの曝露フェーズ120Bで開始され、B曝露フェーズ140B、スイープフェーズ160B及びプラズマ活性化フェーズ180Bがこれに続く。
一部の実施形態において、活性化フェーズ180Aで形成されるプラズマは、基板表面のすぐ上方で形成されるものでもよい。この場合には、プラズマ密度を高めることができ、反応物質Aと反応物質Bとの間の表面反応速度を増大させることができる。たとえば、2枚の容量結合プレートを用いて低圧ガスに高周波(RF:radio frequency)場を印加することによって、CFD処理用のプラズマを生成することができる。任意の適当なガスを用いてプラズマを形成可能である。この例では、アルゴンやヘリウムなどの不活性ガスを、反応物質A、すなわち、窒素含有反応物質と共に用いて、プラズマを形成するようにしてもよい。RF場によってプレート間でガスをイオン化することによりプラズマを点火して、プラズマ放電領域において自由電子を形成する。これらの電子は、RF場によって加速されて、気相の反応物質分子に衝突するものでもよい。このような電子が反応物質分子に衝突すると、蒸着処理に関与するラジカル種が形成される。当然のことながら、RF場は、任意の適当な電極を介して結合されるものでもよい。電極の非限定的な例として、処理ガス分配シャワーヘッド及び基板支持台座が挙げられる。当然のことながら、RF場をガスに容量結合させる方法以外の1つ又は複数の適当な方法でCFD処理用のプラズマを形成するものでもよい。
一部の実施形態において、活性化フェーズ180Aで形成されるプラズマは、遠隔プラズマ源によって形成されるものでもよい。一部の実施形態において、遠隔プラズマ源からの活性種は、基板を収容するチャンバに導入されて反応物質と相互作用するものでもよい。一部の実施形態において、これらの活性種は、イオン、電子、ラジカル及び高エネルギー分子を含む。一部の実施形態において、チャンバに導入される活性種は、チャンバに導入される前の再結合により、イオン及び/又は電子をほとんど持たないラジカルを含む。一部の実施形態において、イオンフィルタを用いるようにしてもよい。遠隔プラズマ源に供給されて活性種を与えるガスの例としては、アルゴン、ヘリウム、アンモニア、水素及び酸素が挙げられる。
一部の実施形態において、活性化フェーズ180Aは、紫外線源からの照射への曝露を含むものでもよい。広帯域紫外線源及び狭帯域紫外線源を含む任意の適当な紫外線源を用いることができる。たとえば、紫外線に曝露させることにより、蒸着処理に関与するラジカル種を形成するようにしてもよい。一部の実施形態において、紫外線源は、1つ以上の反応物質を励起するように、又は、反応を活性化するように選択された1つ又は複数の波長の光を放出するものでもよい。一部の実施形態において、反応物質が反応チャンバ内にある場合に、紫外線に曝露するようにしてもよい。たとえば、紫外線源をチャンバ内に載置するようにしてもよいし、チャンバの外側に載置するようにしてもよい。紫外線が窓(ウインドウ)を透過して反応物質に到達するようにしてもよい。別の実施形態において、チャンバに反応物質を導入する前に、紫外線に曝露するようにしてもよい。たとえば、チャンバに注入される前に紫外線照射に反応物質を曝露させて、ラジカル及び/又は他の活性種をチャンバに導入するようにしてもよい。これらの実施形態において、活性化フェーズ180Aは、反応物質曝露フェーズと同時に実施されるものでもよいし、反応物質曝露フェーズに重なるように実施されるものでもよい。このような処理の例を、後述する。
一部の実施形態において、活性化フェーズ180Aは、可視光源からの照射への曝露を含むものでもよい。たとえば、蒸着処理に関与するラジカル種を形成するようにしてもよい。一部の実施形態において、可視光源は、1つ以上の反応物質を励起するように、又は、反応を活性化するように選択された1つ又は複数の波長の光を放出するものでもよい。一部の実施形態において、反応物質が反応チャンバ内にある場合に、可視光に曝露するようにしてもよい。たとえば、光源をチャンバ内に載置するようにしてもよいし、チャンバの外側に載置するようにしてもよい。可視光が窓を透過して反応物質に到達するようにしてもよい。別の実施形態において、チャンバに反応物質を導入する前に、反応物質を励起する可視光に曝露するようにしてもよい。たとえば、チャンバに注入する前に反応物質を照射に曝露して、ラジカル及び/又は他の活性種をチャンバに導入するようにしてもよい。これらの実施形態において、活性化フェーズ180Aは、反応物質曝露フェーズと同時に実施されるものでもよいし、反応物質曝露フェーズに重なるように実施されるものでもよい。このような処理の例を、後述する。
一部の実施形態において、活性化フェーズ180Aは、マイクロ波照射への曝露を含むものでもよい。たとえば、蒸着処理に関与するラジカル種を形成するようにしてもよい。一部の実施形態において、マイクロ波源は、1つ以上の反応物質を励起するように、又は、反応を活性化するように選択された1つ又は複数の波長で光を放出するものでもよい。一部の実施形態において、反応物質が反応チャンバ内にある場合に、マイクロ波に曝露するようにしてもよい。たとえば、マイクロ波源をチャンバ内に載置するようにしてもよいし、チャンバの外側に載置するようにしてもよい。マイクロ波が窓を透過して反応物質に到達するようにしてもよい。別の実施形態において、チャンバに反応物質を導入する前に、反応物質を励起するマイクロ波に曝露するようにしてもよい。たとえば、チャンバに注入する前に反応物質を照射に曝露して、ラジカル及び/又は他の活性種をチャンバに導入するようにしてもよい。これらの実施形態において、活性化フェーズ180Aは、反応物質曝露フェーズと同時に実施されるものでもよいし、反応物質曝露フェーズに重なるように実施されるものでもよい。このような処理の例を、後述する。
一部の実施形態において、活性化フェーズ180Aは、上述した活性化モードの1つまたは複数を含むものでもよい。活性化フェーズ180Aの持続時間は、任意の適当な持続時間であればよい。一部の実施形態において、活性化フェーズ180Aの持続時間を、活性化されたラジカルがすべての露出基板表面及び吸着質と相互作用するのに必要な時間を超える時間として、基板表面上に連続膜を形成するようにしてもよい。たとえば、図1に示す実施形態では、活性化フェーズ180Aには、飽和後曝露時間190が含まれる。
一部の実施形態において、活性化エネルギー曝露時間を延ばすこと、及び/又は、複数の曝露フェーズを実施することにより、蒸着膜のバルク部分及び/又は表面近傍部分の反応後処理を行うようにしてもよい。一つの実施形態において、表面汚染を削減することによって、反応物質Aを吸着する表面の準備を行うようにしてもよい。たとえば、ケイ素含有反応物質と窒素含有反応物質との反応から形成される窒化ケイ素膜が、次段の反応物質の吸着に抗する表面を有するようにしてもよい。窒化ケイ素表面をプラズマ又は他の活性化エネルギーで処理することにより、水素結合を形成し、次段の吸着及び反応を容易にするようにしてもよい。プラズマ処理に加え、このような処理には、電磁波放射処理、熱処理(たとえば、焼きなまし又は高温パルス)などが含まれる。このような処理のうち任意の処理を単独で実行するものでもよいし、又は、プラズマ処理を含む他の処理と組み合わせて実行するようにしてもよい。特定の実施形態において、処理は、紫外線に膜を曝露することを含む。以下で説明するように、特定の実施形態において、in situ(その場)で(すなわち、膜形成の間に)膜に紫外線を照射する、又は、膜の後蒸着を行う。このような処理は、欠陥構造を削減する又はなくすとともに、電気的性能を向上させることができる。
ある特定の実施形態において、紫外線処理をプラズマ処理とを併用するようにしてもよい。これら2つの処理は、同時に行ってもよいし、連続的に行ってもよい。連続的に行う場合には、いずれの処理を先に行ってもよい。同時に行う場合には、別々の発生源(たとえば、プラズマ用にRF電源、また、紫外線用にランプ)を用いるものでもよいし、副生成物として紫外線を発生させるヘリウムプラズマのような単一の発生源を用いるものでもよい。
一部の実施形態において、プラズマ又は他の活性化エネルギーのパラメータを変更することによって、膜応力、誘電率、屈折率、エッチング速度等の膜特性を調整するようにしてもよい。
本明細書で説明する多くの実施例では2つの反応物質(A及びB)が用いられているが、当然のことながら、本発明の範囲内で任意の適当な数の反応物質を用いることができる。一部の実施形態において、単一の反応物質と表面反応に対してプラズマエネルギーを供給するための不活性ガスとを用いるようにしてもよい。あるいは、複数の反応物質を用いて、膜を蒸着させる実施形態でもよい。たとえば、一部の実施形態において、ケイ素含有反応物質と1つ若しくは複数の窒素含有反応物質との反応により、又は、1つ若しくは複数のケイ素含有反応物質と単一の窒素含有反応物質との反応により、又は、複数のケイ素含有反応物質と複数の窒素含有反応物質との反応により、窒化ケイ素膜を形成するものでもよい。
図2は、たとえば、不活性ガス流、反応物質A、反応物質B、及び、エネルギー源がいつオンにされたかなど、様々な処理パラメータに関して、処理200における各フェーズの進行の別の例を時系列で示す。図2では、2つの蒸着サイクル210A及び210Bを示す。当業者には自明のことであるが、この処理では、所望の厚さの膜を蒸着するために、任意の適当な数の蒸着サイクルを実行すればよい。処理パラメータの例としては、以下に限定されるものではないが、不活性種及び反応種の流量、プラズマ出力及び周波数、紫外線波長、強度、持続時間、基板温度及び処理ステーションの圧力が挙げられる。
図2に示すように、処理200のすべてのフェーズの間中、不活性ガスを流し続ける。ただし、他の実施形態において、不活性ガスを流し続けなくてもよい。反応物質Aの曝露フェーズ220Aにおいて、基板の露出表面が飽和するまで、制御された流量で処理ステーションに反応物質Aを供給する。反応物質Aは、任意の適用な堆積反応物質であればよく、たとえば、ケイ素含有反応物質である。図2に示す実施形態では、反応物質Aの曝露フェーズ220Aにおける反応物質Aの流量は一定の流量に設定されているが、当然のことながら、本発明の範囲内で、流量を変動させる等、反応物質Aの流量を任意の適当な流量とすることができる。一部の実施形態において、反応物質Aの曝露フェーズ220Aの持続時間は、反応物質Aに対する基板表面の飽和時間を超えるものであってもよい。たとえば、図2の実施形態では、反応物質Aの曝露フェーズ220Aには、反応物質Aの飽和後曝露時間230が含まれる。必要に応じて、反応物質Aの曝露フェーズ220Aにおいて、制御された流量の不活性ガスを流すようにしてもよい。不活性ガスの例としては、以下に限定されるものではないが、窒素、アルゴン及びヘリウムが挙げられる。処理ステーションの圧力及び/又は温度制御をしやすくするために、液体反応物質の蒸発を促進するために、反応物質をより速く供給するために、及び/又は、処理ステーション及び/又は処理ステーション配管から処理ガスを除去するためのスイープガスとして用いられるように、不活性ガスを供給するようにしてもよい。
一部の実施形態において、B曝露フェーズ240Aの前に、スイープフェーズ260Aで、気相反応物質Aを処理ステーションから除去するようにしてもよい。処理ステーションをスイープすることにより、基板表面上に吸着されていない反応物質Aをパージすることができる。スイープガスの例としては、以下に限定されるものではないが、アルゴン、ヘリウム及び窒素が挙げられる。図2に示す実施形態において、不活性ガスの連続流が、スイープフェーズ260Aにおけるスイープガスとして機能する。一部の実施形態において、スイープガスは、スイープフェーズの間だけ供給されるものでもよい。一部の実施形態において、スイープフェーズ260Aは、処理ステーションを減圧にする1つ又は複数の減圧サブフェーズを備えるものでもよい。あるいは、当然のことながら、一部の実施形態では、スイープフェーズ260Aを省略することも可能である。
図2に示す実施形態の反応物質Bの曝露フェーズ240Aにおいて、基板の露出表面が飽和するまで、制御された流量で処理ステーションに反応物質Bを供給する。この例では、反応物質Bは、たとえば、窒素含有反応物質でもよい。図2に示す実施形態では、反応物質Bの曝露フェーズ240Aにおける反応物質Bの流量は一定の流量に設定されているが、当然のことながら、本発明の範囲内で、流量を変動させる等、反応物質Bの流量を任意の適当な流量とすることができる。さらに、当然のことながら、反応物質Bの曝露フェーズ240Aにおける持続時間も任意の適当な持続時間に設定可能である。一部の実施形態において、反応物質Bの曝露フェーズ240Aの持続時間は、反応物質Bに対する基板表面の飽和時間を超えるものであってもよい。たとえば、図2の実施形態では、反応物質Bの曝露フェーズ240Aには、反応物質Bの飽和後曝露時間250が含まれる。
図2に示す実施形態の活性化フェーズ280Aでは、エネルギーを与えて、表面に吸着された反応物質Aと反応物質Bとの間の表面反応を活性化させる。たとえば、プラズマは、反応物質Bの気相分子を直接的に又は間接的に活性化させて、反応物質Bのラジカルを形成することができる。このようなラジカルが表面に吸着された反応物質Aと相互作用することにより、膜形成表面反応が生じる。別の例として、紫外線(UV)照射により、反応物質Bの気相分子を直接的に又は間接的に活性化させて、反応物質Bのラジカルを形成し、表面に吸着された反応物質Aと相互作用させるようにしてもよい。図2に示すB曝露フェーズ240Aは活性化フェーズ280Aの前に終了しているが、一部の実施形態において、この2つのフェーズが重なるように実行するものでもよい。様々な実施形態において、活性化フェーズ280Aは、上述したように、直接(in−situ)プラズマ、遠隔プラズマ、紫外線照射、可視光照射及びマイクロ波照射の1つ又は複数を含むものでもよい。
活性化フェーズ280Aの持続時間は、任意の適当な持続時間であればよい。一部の実施形態において、活性化フェーズ280Aの持続時間を、活性化されたラジカルがすべての露出基板表面及び吸着質と相互作用するのに必要な時間を超える時間として、基板表面上に連続膜を形成するようにしてもよい。たとえば、図2に示す実施形態では、活性化フェーズ280Aには、飽和後曝露時間290が含まれる。
図2の実施形態では、活性化フェーズ280Aの後に、スイープフェーズ270Aが実施される。一部の実施形態において、スイープフェーズ270Aにおいて、気相反応物質Bを処理ステーションから除去するようにしてもよい。処理ステーションをスイープすることにより、気相反応物質Bのみでなく、不要な副生成物及び/又は汚染物質をパージすることができる。スイープガスの例としては、以下に限定されるものではないが、アルゴン、ヘリウム及び窒素が挙げられる。図2に示す実施形態において、不活性ガスの連続流が、スイープフェーズ270Aにおけるスイープガスとして機能する。ただし、スイープガスは他の方法で供給されるものでもよい。スイープフェーズ270Aは、処理ステーションを減圧にする1つ又は複数の減圧サブフェーズを備えるものでもよい。あるいは、当然のことながら、一部の実施形態では、スイープフェーズ270Aを省略することも可能である。スイープフェーズ270Aは、蒸着サイクル210Aの最後のフェーズであり、図2の実施形態では、これに続いて、蒸着サイクル210Bが実施される。蒸着サイクル210Bは、反応物質Aの曝露フェーズ220Bで開始され、スイープフェーズ260B,B曝露フェーズ240B、プラズマ活性化フェーズ280B及びスイープフェーズ270Bがこれに続く。
図3は、一実施形態の方法の概要を示す処理フロー図300である。305で、反応チャンバに基板を提供する。310で、必要に応じて、熱浸漬(サーマルソーク)を実施して、基板を所望の温度まで加熱するようにしてもよい。一つの実施形態において、本明細書で説明する方法のうち任意の方法を用いて、基板を約50℃〜約550℃に、より具体的には、約300℃〜約450℃に、たとえば、約350℃〜約400℃に加熱する。次に、315で、チャンバに1つ又は複数のケイ素含有前駆物質を供給する。一部の実施形態において、工程415で、ケイ素含有反応物質に加えて、(たとえば、酸化ケイ素又は酸窒化ケイ素の蒸着のための)酸化剤及び/又は(たとえば、窒化ケイ素又は酸窒化ケイ素の蒸着のための)窒素含有前駆物質などの共反応物質を供給するようにしておよい。基板表面上に、1つ又は複数のケイ素含有前駆物質が、たとえば、化学吸着や物理吸着によって、吸着されるものでもよい。320で、必要に応じて、パージ操作を行い、基板表面上に吸着された物質を残すようにしてもよい。325で、紫外線エネルギーで、表面に結合した分子を活性化することができる。様々な実施形態において、1つ又は複数の表面に結合したケイ素含有反応物質及び表面に結合した共反応物質と紫外線エネルギーが相互作用するものでもよい。一部の実施形態において、1つ又は複数の反応物質上の1つ又は複数のリガンドと相互作用するように、紫外線波長又は紫外線波長領域を選択するようにしてもよい。紫外線照射の結果、SiN、SiC、SiO2、SiCN、SiON又はSiONCなど、所望のケイ素含有膜を形成する反応が活性化される。たとえば、炭素含有ケイ素前駆物質及び窒素含有共反応物質を用いて、SiCNを形成するようにしてもよい。酸素含有及び炭素含有ケイ素前駆物質及び窒素含有共反応物質を用いて、SiONCを形成するようにしてもよい。一部の実施形態において、共反応物質は、SiOを蒸着するのに利用可能な酸化剤でもよい。たとえば、酸素含有及び炭素含有ケイ素前駆物質及び酸化剤を用いて、酸化ケイ素を形成するようにしてもよい。処理300は、続いて、必要に応じて、330で、パージを行って、SiN、SiO2、SiCN、SiON、SiONC又はその他の膜の層のみを基板上に残すようにしてもよい。工程315〜330を繰り返して、所望の厚さの膜を形成するようにしてもよい。
特定の実施形態において、工程325において、紫外線エネルギーに加えて、又は、紫外線エネルギーに代えて、他のエネルギー源を用いるようにしてもよい。たとえば、工程325において、紫外線照射をプラズマと共に用いるようにしてもよい。一部の実施形態において、紫外線照射の代わりに、又は、紫外線照射に加えて、マイクロ波照射及び/又は可視光照射を用いるようにしてもよい。
図4は、一実施形態の方法の概要を示す処理フロー図400である。405チャンバに基板を提供する。410で、必要に応じて、上述したような熱浸漬を行う。次に、415で、チャンバに1つ又は複数のケイ素含有前駆物質を供給する。基板表面上に、1つ又は複数の前駆物質が、たとえば、化学吸着や物理吸着によって、吸着されるものでもよい。処理400は、続いて、420で、必要に応じて、パージを行って、基板上に吸着物質の層を残すようにしてもよい。様々な実施形態において、この相は、表面に結合したケイ素含有反応物質を含むものでもよい。425で、紫外線波長エネルギーを用いて、共反応物質前駆物質又は前駆物質流を活性化するようにしてもよい。たとえば、反応チャンバ内で、又は、反応チャンバの上流で、気相において窒素含有反応物質及び/又は酸化剤を活性化するようにしてもよい。一部の実施形態において、表面吸着ケイ素含有反応物質と気相共反応物質の両方を活性化させるようにしてもよい。紫外線照射の結果、SiN、SiCN、SiC、SiO、SiON又はSiONCなど、所望のケイ素含有膜を形成する反応が活性化される。処理400は、続いて、必要に応じて、430で、パージを行って、SiN、SiCN、SiC、SiO、SiON、SiONC又はその他のケイ素含有膜の層のみを基板上に残すようにしてもよい。工程415〜430を繰り返して、所望の厚さの膜を形成するようにしてもよい。
図5は、ケイ素含有膜を形成するための特定の実施形態における処理フローの例を示す。当然のことながら、図5に示すような処理によって、用いられる特定のケイ素含有反応物質と窒素含有反応物質とに応じて、SiCN膜、SiONC膜及びSiON膜を形成することができる。SiONC膜及びSiON膜を形成する場合には、工程515で、窒素含有反応物質に加えて、酸素含有共反応物質を用いるようにしてもよい。さらに、図5に示すような処理によって、工程515で、たとえば、窒素含有反応物質の代わりに酸素含有反応物質を用いることによりSiOを形成することができる。酸素含有共反応物質の例としてO2が挙げられる。
処理500が開始されると、まず505で、チャンバに基板が提供される。この基板は、ケイ素含有膜が基板上に必要とされる任意の適当な基板でよい。たとえば、この基板は、半製品の集積回路、フラッシュメモリ又は相変化メモリ基板でもよい。提供される基板は、裸ケイ素基板等の裸基板でもよいし、1つ又は複数の層が蒸着された基板でもよい。SiN又はその他のケイ素含有膜が蒸着されるべき表面は、たとえば、ケイ素、ポリシリコン、銅、チタン、タングステン、二酸化ケイ素又はゲルマニウム‐アンチモン‐テルル(GST)合金でもよいし、これらを含むものでもよい。一部の実施形態において、表面は、1つ又は複数の凸部フィーチャ又は凹部フィーチャを有するものでもよい。1つ又は複数の凸部フィーチャのアスペクト比は、たとえば、2:1〜10:1でもよい。510で、ケイ素含有反応物質に基板を曝露させる。一部の実施形態において、工程510は非プラズマ処理である。一部の実施形態では、たとえば、約5トール〜50トールの間の第1の圧力まで反応器を加圧するようにしてもよい。特定の実施形態において、工程510の間の圧力は、約20トールである。所望の実施形態において、この範囲外の圧力を用いるようにしてもよい。ケイ素含有反応物質が、基板表面上に吸着される。表面上に所望量のケイ素含有反応物質を吸着後、ケイ素含有反応物質流を停止するようにしてもよい(図示しない)。515で、1つ又は複数の窒素含有反応物質に基板を曝露させる。520で、気相に窒素含有反応物質が存在する間に、活性エネルギーを与えて、基板上にSiN膜又はその他のケイ素含有及び窒素含有膜を形成する。様々な実施形態において、窒素含有反応物質は、(たとえば、図1の反応物質Aのように)処理の間ずっと連続的に流されるものでもよいし、(たとえば、図2の反応物質Bのように)断続的に流されるものでもよい。前者の場合、一部の実施形態において、工程520を断続的に実行するものでもよい。一方、後者の場合、一部の実施形態において、窒素含有反応物質が存在しない間も、エネルギー源をオンのままにしておくものでもよい。
様々な実施形態において、工程520は、直接(in−situ)プラズマ、遠隔プラズマ、紫外線照射、可視光照射及びマイクロ波照射の1つ又は複数を含むものでもよい。
たとえば、一部の実施形態において、工程520は、基板表面の上方に直接プラズマを直接形成するようにしてもよい。これにより、プラズマ密度が高まり、反応物質間の表面反応速度が増大する。たとえば、2枚の容量結合プレートを用いて、低圧ガスに高周波(RF)場を印加することにより、プラズマを生成するものでもよい。任意の適当なガスを用いて、プラズマを形成するようにしてもよい。RF場によってプレート間でガスをイオン化することによりプラズマを点火して、プラズマ放電領域において自由電子を形成する。これらの電子は、RF場によって加速されて、気相の反応物質分子に衝突するものでもよい。このような電子が反応物質分子に衝突すると、蒸着処理に関与するラジカル種が形成される。当然のことながら、RF場は、任意の適当な電極を介して結合されるものでもよい。電極の非限定的な例として、処理ガス分配シャワーヘッド及び基板支持台座が挙げられる。当然のことながら、RF場をガスに容量結合させる方法以外の1つ又は複数の適当な方法でプラズマを形成するものでもよい。
一部の実施形態において、520で、遠隔生成されたプラズマ又は下流プラズマにより、活性化エネルギーのすべて又は一部を提供するようにしてもよい。一部の実施形態において、遠隔プラズマ源からの活性種は、基板を収容するチャンバに導入されて反応物質と相互作用するものでもよい。一部の実施形態において、これらの活性種は、イオン、電子、ラジカル及び高エネルギー分子を含む。一部の実施形態において、チャンバに導入される活性種は、チャンバに導入される前の再結合により、イオン及び/又は電子をほとんど持たないラジカルを含む。一部の実施形態において、遠隔プラズマ発生装置に窒素含有反応物質又はその他の共反応物質を提供することによって、工程515の前に、工程520を実行するようにしてもよい。たとえば、遠隔プラズマ源にアンモニア共反応物質を供給して、SiN膜、SiCN膜、SiOCN膜及びSiON膜を形成するようにしてもよい。別の例として、遠隔プラズマ源に酸素を供給して、SiO膜、SiOCN膜及びSiON膜を形成するようにしてもよい。
一部の実施形態において、工程520は、紫外線源からの照射への曝露を含むものでもよい。広帯域紫外線源及び狭帯域紫外線源を含む任意の適当な紫外線源を用いることができる。たとえば、紫外線に曝露させることにより、蒸着処理に関与するラジカル種を形成するようにしてもよい。一部の実施形態において、紫外線源は、1つ以上の反応物質を励起するように、又は、反応を活性化するように選択された1つ又は複数の波長の光を放出するものでもよい。一部の実施形態において、窒素含有反応物質が反応チャンバ内にある場合に、紫外線に曝露するようにしてもよい。たとえば、紫外線源をチャンバ内に載置するようにしてもよいし、チャンバの外側に載置するようにしてもよい。紫外線が窓を透過して反応物質に到達するようにしてもよい。別の実施形態において、チャンバに窒素含有反応物質を導入する前に、紫外線に曝露するようにしてもよい。たとえば、チャンバに注入される前に紫外線照射に反応物質を曝露させて、ラジカル及び/又は他の活性種をチャンバに導入するようにしてもよい。
様々な実施形態において、紫外線照射は、共反応物質又はその他の種を活性化するように選択される広帯域紫外線でも狭帯域紫外線でもよい。たとえば、アンモニア並びに第一級アミン、第二級アミン及び第三級アミンを活性化するために利用可能な波長は、約240nm未満でもよく、酸素を活性化するために利用可能な波長は約300nm未満でもよい。強度の例としては、広帯域源の場合には、紫外線領域全体にわたって、約0.5W/cm2でもよく、また、単一の波長エキシマの場合には、約10mW/cm2でもよい。
一部の実施形態において、工程520は、可視光源からの照射への曝露を含むものでもよい。たとえば、蒸着処理に関与するラジカル種を形成するようにしてもよい。一部の実施形態において、可視光源は、1つ以上の反応物質を励起するように、又は、反応を活性化するように選択された1つ又は複数の波長の光を放出するものでもよい。一部の実施形態において、窒素含有反応物質が反応チャンバ内にある場合に、可視光に曝露するようにしてもよい。たとえば、光源をチャンバ内に載置するようにしてもよいし、チャンバの外側に載置するようにしてもよい。可視光が窓を透過して反応物質に到達するようにしてもよい。別の実施形態において、チャンバに反応物質を導入する前に、窒素含有反応物質を励起する可視光に曝露するようにしてもよい。たとえば、チャンバに注入する前に反応物質を照射に曝露して、ラジカル及び/又は他の活性種をチャンバに導入するようにしてもよい。
一部の実施形態において、工程520は、マイクロ波照射への曝露を含むものでもよい。たとえば、蒸着処理に関与するラジカル種を形成するようにしてもよい。一部の実施形態において、マイクロ波源は、1つ以上の反応物質を励起するように、又は、反応を活性化するように選択された1つ又は複数の波長で光を放出するものでもよい。一部の実施形態において、窒素含有反応物質が反応チャンバ内にある場合に、マイクロ波に曝露するようにしてもよい。たとえば、マイクロ波源をチャンバ内に載置するようにしてもよいし、チャンバの外側に載置するようにしてもよい。マイクロ波が窓を透過して反応物質に到達するようにしてもよい。別の実施形態において、チャンバに反応物質を導入する前に、窒素含有反応物質を励起するマイクロ波に曝露するようにしてもよい。たとえば、チャンバに注入する前に反応物質を照射に曝露して、ラジカル及び/又は他の活性種をチャンバに導入するようにしてもよい。
一部の実施形態において、反応器内の圧力を周期的に変化させて、工程510の間の圧力よりも工程515及び/又は工程520の間の圧力が低くなるようにする。たとえば、これらの工程の間の圧力は、約1トール〜約5トールでもよく、たとえば、2トールである。一部の実施形態において、工程520の後で、窒素含有反応物質の流れを停止するようにしてもよい(図示しない)。一部の実施形態において、ケイ素含有反応物質及び/又は窒素含有反応物質をパージするようにしてもよい。工程510〜520を1回又は複数回繰り返すことにより、SiN層又はその他のケイ素含有層を堆積させるようにしてもよい。これらの工程を繰り返して、一つの実施形態において、約1nm〜約100nmの厚さの、また、別の実施形態において、約5nm〜約50nmの厚さの、さらに別の実施形態において、約5nm〜約30nmの厚さの、コンフォーマルな層を基板上に形成する。
一つの実施形態において、本明細書で説明する方法のうち任意の方法を用いて、基板を約50℃〜約550℃に、より具体的には、約300℃〜約450℃に、たとえば、約350℃〜約400℃に加熱する。一つの実施形態において、蒸着の間ずっと、基板を加熱する。別の実施形態において、蒸着の間、又は、焼きなましのような蒸着工程の後で、基板を周期的に加熱する。
図6〜図13は、たとえば、ケイ素含有前駆物質流、窒素含有反応物質及び紫外線源の強度などの様々な処理パラメータに関して、1つ又は2つのSiN蒸着サイクルの進行の例を時系列で示す。不活性ガス流など、他に存在する可能性のあるパラメータは、説明を容易にするために省略してある。図6〜図13では、主にSiN膜に関して説明するが、当然のことながら、窒素含有反応物質に加えて、又は、窒素含有反応物質に代えて、他の反応物質を用いて、たとえば、SiO2、SiCN又はSiONCを形成することができる。同様に、当然のことながら、図6〜図13に示すような処理によって、用いられる特定のケイ素含有反応物質及び窒素含有反応物質に応じて、SiCN膜、SiOCN膜及びSiON膜を形成することができる。また、図6〜図13では、紫外線エネルギーに関して説明するが、当然のことながら、紫外線エネルギーに加えて、又は、紫外線エネルギーに代えて、上述したような1つ又は複数の他のエネルギー源を用いることができる。
特定の実施形態において、ケイ素含有反応物質は紫外線透過性であり、窒素含有反応物質は紫外線で活性化されるものでもよい。特定の他の実施形態において、ケイ素含有反応物質は、紫外線で活性化可能なリガンドを含むものでもよい。たとえば、約240nm未満の波長を持つ紫外線照射でNH3を活性化可能であり、また、約240nm未満の紫外線照射でO2含有リガンドを活性化可能である。約200nm未満の波長を持つ紫外線照射でSiH4及びこの誘導体の大部分を活性化可能である。
まず、図6は、2つのサイクル610A及び610Bを示し、各サイクルで、SiN層が蒸着される。蒸着サイクル610Aは、フェーズ620Aで開始されて、ケイ素含有反応物質を流す。図6に示す実施形態では、フェーズ620Aにおけるケイ素含有反応物質の流量は一定の流量に設定されているが、当然のことながら、流量を変動させる等、図に示す任意の反応物質の流量を任意の適当な流量とすることができる。必要に応じてパージフェーズ20Aをフェーズ620Aの後に実施する。フェーズ630Aで、窒素含有反応物質をチャンバに流入させる。また、このフェーズの間に、紫外線の照射を開始して、チャンバ内の気相分子及び/又は表面結合分子を紫外線に曝露させ、活性化させるようにしてもよい。特に、気相の窒素含有反応物質を紫外線照射により活性化できる。図6に示す実施形態では紫外線の強度は一定であるが、当然のことながら、紫外線を変動させたり脈動させたりすることも可能である。さらに、一部の実施形態において、紫外線照射は、窒素含有反応物質を流すのと時間的に重なっていてもよいし、窒素含有反応物質を流す前に若しくは流した後に開始又は終了するようにしてもよい。サイクル610Aは、必要に応じて実施されるパージフェーズ30Aで終了する。第2のサイクル610Bは、フェーズ620B及び630B、並びに、必要に応じて実施されるパージフェーズ20B及び30Bを含む。
図7は、2つのサイクル710A及び710Bを示し、サイクル710Aは、フェーズ720Aとそれに続くフェーズ730Aとを含み、サイクル710Bは、フェーズ720Bとそれに続くフェーズ730Bとを含む。フェーズ720A及び720Bでは、ケイ素含有反応物質と窒素含有反応物質とを流すが、紫外線は照射されない。特に図示はしていないが、一部の実施形態において、これらのフェーズの後で必要に応じてパージフェーズを実施するようにしてもよい。窒素含有反応物質は、フェイズ730A及びフェーズ730Bでも流され続け、これらのフェーズでは紫外線も照射される。
図8は、一実施形態の2つのサイクル810A及び810Bを示し、サイクル810Aのフェーズ820Aとサイクル810Bのフェーズ820Bとにおいて、それぞれチャンバ内でケイ素含有反応物質を流す間に紫外線が照射される。紫外線により、表面に結合したケイ素含有分子を活性化できる。一部の実施形態において、ケイ素含有反応物質を流すことと紫外線照射を始めることとの間に遅れがあっても構わない。パージ操作20A及び20Bを、必要に応じて、それぞれフェーズ820A及び820Bの後で、かつ、フェーズ830A及び830Bでチャンバに窒素含有反応物質を流入させる前に、行うようにしてもよい。各サイクルを必要に応じて行われるパージ操作30A又は30Bで終了するようにしてもよい。
図9は、一実施形態の2つのサイクル910A及び910Bを示し、各サイクルの持続時間の間、紫外線が照射され続ける。各サイクルでは、ケイ素含有反応物質を流し(サイクル910Aではフェーズ920Aで、サイクル910Bではフェーズ920B)、必要に応じて、次にパージフェーズを実施し(サイクル910Aではフェーズ20Aで、サイクル910Bではフェーズ20B)、窒素含有反応物質を流し(サイクル910Aではフェーズ930Aで、サイクル910Bではフェーズ930B)、必要に応じて、次にパージフェーズを実施する(サイクル910Aではフェーズ30Aで、サイクル910Bではフェーズ30B)。一部の実施形態において、サイクル910A及び910Bの一部の期間で、たとえば、必要に応じて行われるパージフェーズが実施される場合にはその間、紫外線の照射を停止するようにしてもよい。
図10は、一実施形態の2つのサイクル1010A及び1010Bを示し、紫外線照射で活性化された後に、窒素含有反応物質が反応チャンバに導入される。蒸着サイクル1010Aでは、ケイ素含有反応物質を流し(フェーズ1020A)、必要に応じて、次にパージフェーズ20Aを実施する。その後、紫外線で活性化された窒素含有反応物質をチャンバに流入させると(フェーズ1030A)、チャンバ内で、表面に結合されたケイ素含有反応物質と反応して、SiN層を形成する。必要に応じて、次にパージフェーズ30Aを実施して、サイクル1010Aを終了するようにしてもよい。蒸着サイクル1010Bは、フェーズ1020B及び1030B、並びに、必要に応じて実施されるパージフェーズ20B及び30Bを含む。
図11及び図12は、ケイ素含有反応物質を流すことと、窒素含有反応物質を流すことと、紫外線に曝露することとを連続的に行う蒸着処理の3段階サイクルの例を示す。まず、図11は、蒸着サイクル1110Aを示し、このサイクルは、フェーズ1120Aでケイ素含有反応物質を流すことにより開始される。この後に、必要に応じて、パージ20Aが続く。次に、フェーズ1140Aで、紫外線源がオンにされて、少なくとも表面に結合されたケイ素含有反応物質が紫外線に曝露される。フェーズ1140Aの後にも、必要に応じてパージフェーズ40Aが続く。次に、フェーズ1130Aで、窒素含有反応物質を反応器に流入させて、活性化された表面結合ケイ素含有反応物質と反応させることにより、SiNが形成される。このサイクルは、このフェーズの後に終了するようにしてもよいし、必要に応じて実施されるパージフェーズ30Aの後に終了するようにしてもよい。追加のサイクルを実施して、所望の厚さのSiN膜を蒸着させるようにしてもよい。
図12は、蒸着サイクル1210を示し、このサイクルは、フェーズ1220Aで、ケイ素含有反応物質を流すことにより開始され、必要に応じてこれにパージ20Aが続く。次に、フェーズ1230Aで、窒素含有反応物質を反応器に流入させ、必要に応じてパージ30Aがこれに続く。フェーズ1240Aで、これらの反応物質を紫外線に曝露させて、反応を活性化し、SiNを形成する。表面に結合されたケイ素含有反応物質及び窒素含有反応物質のいずれかまたは両方を活性化できる。一部の実施形態において、必要に応じて行われるパージ30Aを実施せずに、フェーズ1240Aの間に、気相の窒素含有反応物質が存在するようにしてもよい。紫外線照射後に、必要に応じてパージ40Aを実施して、SiN材料の固体層を残し、サイクル1210Aを終了する。必要に応じて、追加のサイクルを実施して、所望の厚さの膜を蒸着させるようにしてもよい。一部の実施形態において、紫外線曝露フェーズは、フェーズ1230Aの後に行うのに加えて、フェーズ1220Aの後でフェーズ1230の前(たとえば、図11のように)にも行うようにしてもよい。
図13は、一実施形態における進行を時系列で示し、フェーズ1320Aでは、紫外線照射の下でケイ素含有反応物質と窒素含有反応物質とを共に流す。たとえば、ケイ素含有反応物質が紫外線透過性であり、窒素含有反応物質が活性化されるものでもよい。この場合には、ケイ素含有反応物質上でラジカルが生成されることによって蒸着される。フェーズ1320Aの後に、必要に応じてパージフェーズ20Aを行い、サイクル1310Aを終了するようにしてもよい。1つ又は複数の追加のサイクルを実施するようにしてもよい。
反応物質:
上述したように、ケイ素含有反応物質の例としては、シラン、ハロシラン又はアミノシランが挙げられる。シランは、水素及び/又は炭素基を含有するが、ハロゲンを含有しない。シランの例としては、シラン(SiH4)と、ジシラン(Si26)と、メチルシラン、エチルシラン、イソプロピルシラン、t‐ブチルシラン、ジメチルシラン、ジエチルシラン、ジ‐t‐ブチルシラン、アリルシラン、sec−ブチルシラン、テキシルシラン、イソアミルシラン、t‐ブチルジシラン、ジ‐t‐ブチルジシラン等のオルガノシランと、が挙げられる。ハロシランは、少なくとも1つのハロゲン基を含有するものであって、水素及び/又は炭素基を含有するものでも含有しないものでもよい。ハロシランの例としては、ヨードシラン、ブロモシラン、クロロシラン及びフルオロシランが挙げられる。ハロシラン、特にフルオロシランは、ケイ素材料をエッチング可能な反応性ハロゲン化合物種を形成することができるが、本明細書に記載する特定の実施形態においては、プラズマ照射の際にケイ素含有反応物質が存在しない。クロロシランの具体例としては、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t‐ブチルクロロシラン、ジ‐t‐ブチルクロロシラン、クロロイソプロピルシラン、クロロ‐sec‐ブチルシラン、t‐ブチルジメチルクロロシラン、テキシルジメチルクロロシラン等が挙げられる。アミノシランは、ケイ素原子に結合される少なくとも1つの窒素原子を含むものであって、水素、酸素、ハロゲン及び炭素を含有するものでもよい。アミノシランの例としては、モノアミノシラン、ジアミノシラン、トリアミノシラン及びテトラアミノシラン(それぞれH3Si(NH24、H2Si(NH22、HSi(NH23及びSi(NH24)とともに、t‐ブチルアミノシラン、メチルアミノシラン、tert‐ブチルシランアミン、ビス(ターシャルブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS))、ビス(ジメチルアミノ)ジメチルシラン及びケイ素とアミノ基の両方を炭素で置換した他の同様の化合物、tert‐ブチルシリルカルバメート、SiH(CH3)−(N(CH322、SiHCl−(N(CH322、(Si(CH32NH)3等の置換モノアミノシラン、置換ジアミノシラン、置換トリアミノシラン及び置換テトラアミノシランが挙げられる。アミノシランの別の例としては、トリシリルアミン(N(SiH3))がある。様々な実施形態において、ケイ素含有反応物質は、紫外線透過性のあるものでもよいし、ないものでもよい。紫外線透過性のケイ素含有反応物質が用いられる場合には、たとえば、図5、6〜7及び9〜13に関して上述したように、紫外線照射によって活性化される窒素含有共反応物質が存在する際に照射されるように紫外線曝露のタイミングを調節する。
任意の適当な窒素含有反応物質を用いることができる。一つの実施形態において、アンモニア、ヒドラジン、アミン及びこれらの混合物からなる群から、窒素含有反応物質が選択される。一部の実施形態において、窒素含有反応物質は、気相中で紫外線によって活性化することができる。例としては、NH3、NR3、NR2H及びNRH2、N2及びフォーミングガス(N2/H2)が挙げられる。
一つの実施形態において、窒素含有反応物質は、C1〜C10のアルキルアミンのいずれか又はC1〜C10のアルキルアミンの混合物を含む。一つの実施形態において、C1〜C10のアルキルアミンは、第一級アルキルアミン又は第二級アルキルアミンである。一つの実施形態において、C1〜C10のアルキルアミンは、第一級アルキルアミンである。一つの実施形態において、C1〜C10のアルキルアミンは、式Iに従うものである。
Figure 2015510263
ここで、R1、R2及びR3は、それぞれ独立であり、H又はC1〜C3のアルキルである。あるいは、R1、R2及びR3のうち2つは、それらが結合する炭素原子と共に、C3〜C7のシクロアルキルを形成し、R1,R2及びR3の残りの1つは、H又はC1〜C3のアルキルである。一つの実施形態において、C1〜C10のアルキルアミンは、その窒素に直接結合される第二炭素又は第三炭素を有する。一つの実施形態において、C1〜C10のアルキルアミンは、イソプロピルアミン、シクロプロピルアミン、sec−ブチルアミン、tert−ブチルアミン、シクロブチルアミン、イソアミルアミン、2−メチルブタン−2−アミン及びテキシルアミン(2,3−ジメチルブタン−2−アミン)からなる群から選択される。一つの実施形態において、式IのC1〜C10のアルキルアミンにおいて、R1、R2及びR3は、それぞれC1〜C3のアルキルである。一つの実施形態において、C1〜C10のアルキルアミンは、tert−ブチルアミン(TBA)である。TBAを用いることができる。
一部の実施形態において、生成されたSiN膜は、不要な炭素を含有する。このように膜内に炭素が存在する結果、漏電が生じる可能性があり、ある誘電体バリア用途で膜を使えなくする可能性がある。炭素の含量は変動するが、一部の実施形態においては、約10%(重量パーセント)の炭素含量では高すぎると考えられる。本明細書に記載する方法は、SiN膜内の不要な炭素に対処するものである。本明細書に記載する方法によって、炭素含量が2%未満の、一つの実施形態では炭素含量が1%未満の、別の実施形態では炭素含量が0.5%未満の、SiN膜を生成する。一部の実施形態において、炭素残量の削減は、FTIRスペクトルで容易に観測可能である。ただし、当業者に周知の他の分析手法を用いて、これらの範囲の炭素含量を測定するようにしてもよい。
一部の実施形態において、窒素含有反応物質は、熱的に除去可能な基を有するものでもよい。熱的に除去可能な基は、約200℃から約550℃の間の温度で揮発性成分に分解される基である。たとえば、第二炭素基及び特定の第三炭素基は、この温度範囲で脱離反応を起こすものでもよい。特定の例において、t−ブチル基は、この温度範囲で分解されてイソブチレンを形成する。たとえば、t−ブチルアミンを加熱することにより、脱離反応を起こして、イソブチレンとアンモニアを形成する。別の例として、t−ブトキシカルボニル基(t−BOC)も、熱的に、たとえば、約150℃で分解し、イソブチレンと、二酸化炭素と、t−BOC基が結合されるラジカルと、を形成する。たとえば、t−ブチルカルバメートは、熱分解して、イソブチレンアンモニアと二酸化炭素とを形成する。
約200℃〜約550℃に基板を加熱することにより、このような基を分解して、それに含有される炭素を放出させて、SiN膜の炭素含量を削減することができる。反応物質が基板上に吸着され、プラズマを用いて、反応物質がSiN材料に変換される。基板を加熱することにより、残った炭素基を除去することができる。蒸着工程全体にわたって加熱を行う、又は、周期的に加熱を行うことにより、熱的に除去可能な基を分解することができる。一つの実施形態において、約200℃〜約550℃に基板を加熱する。別の実施形態において、約350℃〜約550℃に基板を加熱する。また別の実施形態において、約450℃〜約550℃に基板を加熱する。さらに別の実施形態において、約450℃〜約500℃に基板を加熱する。一つの実施形態において、たとえばTBAを用いる場合には、約1秒間〜約30秒間、又は、約1秒間〜約20秒間、又は、約1秒間〜約10秒間、約450℃〜約500℃にSiN膜を加熱するようにしてもよい。ある温度閾値において特定の熱的に除去可能な基を分解することができるが、より高い温度にして、分解率を増大させる、及び/又は、焼きなましを行い、SiN膜の特性を向上させるようにしてもよい。
上述したように、熱的に除去可能な基は、第二炭素又は第三炭素官能性を有するものでもよい。ケイ素含有反応物質と窒素含有反応物質のいずれか又は両方が、同一の又は異なる熱的に除去可能な基を備えるものでもよい。一つの実施形態において、熱的に除去可能な基は、式IIに従うものである。
Figure 2015510263
ここで、R1、R2及びR3は、それぞれ独立であり、H又はC1〜C3のアルキルである。あるいは、R1、R2及びR3のうち2つは、それらが結合する炭素原子と共に、C3〜C7のシクロアルキルを形成し、R1,R2及びR3の残りの1つは、H又はC1〜C3のアルキルである。ここで、熱的に除去可能な各基は、窒素含有反応物質の一部の場合には、窒素含有反応物質の窒素又は酸素に結合し、ケイ素含有反応物質の一部の場合には、ケイ素含有反応物質のケイ素、窒素又は酸素に結合する。一つの実施形態において、R1、R2及びR3は、それぞれ独立であり、C1〜C3のアルキルである。一つの実施形態において、熱的に除去可能な基は、t−ブチル基である。
装置:
本発明の別の態様は、本明細書に記載する方法を実施するように構成される装置である。適切な装置は、本発明に従って、処理操作を実施するためのハードウェアと、処理操作を制御するための指示を有するシステム制御部と、を備える。
当然のことながら、上述した実施例の一つ又は複数において、任意の適当な処理ステーションを用いることができる。たとえば、図14は、CFD処理ステーション2300の概略を示す図である。分かりやすくするために、CFD処理ステーション2300を、低圧環境を維持する処理チャンバ本体2302を備えるスタンドアロン型の処理ステーションとして例示する。ただし、当然のことながら、共通の低圧処理ツール環境に、複数のCFD処理ステーション2300を備えるようにしてもよい。図14に示す実施形態では処理ステーションは一つであるが、当然のことながら、ある実施形態において、処理ツール内に複数の処理ステーションを備えるようにしてもよい。たとえば、図15は、マルチステーション型の処理ツール2400の実施形態を示す。さらに、当然のことながら、ある実施形態において、以下に詳細に説明するものを含めてCFD処理ステーション2300の1つ又は複数のハードウェアパラメータを、1つ又は複数のコンピュータ制御部によってプログラム的に調整するようにしてもよい。
CFD処理ステーション2300は、処理ガスを分配シャワーヘッド2306に供給するための反応物質供給システム2301と流体連結される。反応物質供給システム2301は、処理ガスを混合して、及び/又は、処理ガスを調整して、シャワーヘッド2306に供給するための混合容器2304を備える。一つ又は複数の混合容器入口弁2320により、混合容器2304への処理ガスの導入を制御するようにしてもよい。
一部の反応物質を、次の処理ステーションへの供給時に気化するまで、液体の形態で保存するようにしてもよい。たとえば、図14の装置は、混合容器2304に供給するべき液体反応物質を気化する蒸発ポイント2303を備える。一部の実施形態において、蒸発ポイント2303は、加熱気化器でもよい。このような気化器で生成される反応物質の飽和蒸気を下流側の供給配管で濃縮するようにしてもよい。濃縮された反応物質がこれと混合できないガスに曝露されると、微粒子が形成される可能性がある。このような微粒子は、配管を詰まらせて、弁操作を妨げ、基板を汚染する等の可能性がある。このような問題に対処する一部のアプローチは、供給配管をスイープする、及び/又は、供給配管を減圧にすることによって、残留する反応物質を除去するものである。ただし、供給配管をスイープすることにより、処理ステーションのサイクルタイムが増大し、処理ステーションのスループットを低下させる。したがって、一部の実施形態において、蒸発ポイント2303の下流側の供給配管をヒートトレースする。いくつかの例では、混合容器2304もヒートトレースする。非限定的な一例として、蒸発ポイント2303の下流側の配管は、混合容器2304において約100℃から約150℃に広がる温度上昇プロファイルを有する。
一部の実施形態において、液体反応物質を液体注入器で気化する。たとえば、液体注入器は、混合容器の上流側のキャリアガス流内に液体反応物質をパルス状に注入するものでもよい。一つの実施形態において、液体注入器により高圧側から低圧側に液体をフラッシングさせることによって、反応物質を気化させる。別の実施形態において、液体注入器により液体を噴霧して分散微液滴を形成し、次にこの分散微液滴を加熱供給配管で気化する。当然のことながら、小さな液滴は大きな液滴よりも速く気化できるため、液体注入と気化完了との間の遅れを抑制できる。気化が速く行われることにより、蒸発ポイント2303から下流側の配管の長さを短くすることができる。一つの実施形態において、液体注入器は、混合容器2304に直接取り付けられる。別の実施形態において、液体注入器は、シャワーヘッド2306に直接取り付けられる。
一部の実施形態において、蒸発ポイント2303の上流側に液体流量制御装置を設置して、気化されて、処理ステーション1300に供給される液体の質量流を制御する。たとえば、液体流量制御装置(LFC:liquid flow controller)は、LFCの下流側に配置される熱質量流量計(MFM:mass flow meter)を備える。MFMと電気的に通信する比例・積分・微分(PID:proportional-integral-derivative)制御器によって与えられるフィードバック制御信号に応じて、LFCのプランジャー値が調整される。ただし、フィードバック制御を利用して液体流量を安定化させるためには、1秒以上の時間がかかることがある。これにより、液体反応物質を添加する時間が延びる可能性がある。したがって、一部の実施形態において、フィードバック制御モードと直接制御モードとの間でLFCを動的に切り替える。一部の実施形態において、LFCとPID制御器の検知管の動作を停止させることによって、フィードバック制御モードから直接制御モードに、LFCを動的に切り替える。
シャワーヘッド2306は、基板2312に向かって処理ガスを分配する。図14に示す実施形態において、基板2312は、シャワーヘッド2306の下方に配置され、図示されるように台座1308上に載置される。当然のことながら、シャワーヘッド2306は、任意の適当な形状でよく、処理ガスを基板2312に分配する任意の数および配置のポートを備えるものでもよい。
一部の実施形態において、シャワーヘッド2306の下方に微小容積2307が存在する。処理ステーションの全容積というよりも微小容積内でCFD処理を行うことによって、反応物質の曝露及びスイープ時間を減少させる、CFD処理条件(たとえば、圧力や温度等)を変更する時間を削減する、処理ステーションのロボティクスの処理ガスへの曝露を抑制する、等が可能になる。微小容積の大きさの例としては、以下に限定されるものではないが、0.1リットル〜2リットルの間の容積が挙げられる。
一部の実施形態において、台座2308を上昇または降下させることによって、基板2312を微小容積2307に曝露させる、及び/又は、微小容積2307の体積を変化させるようにしてもよい。たとえば、基板移動フェーズにおいて、台座2308を降下させて、基板2312を台座2308上に載置することができる。CFD処理フェーズの際に、台座2308を上昇させて、基板2312を微小容積2307内に位置させる。一部の実施形態において、微小容積2307は、基板2312と台座2308の一部とを完全に内包し、CFD処理の間、フローインピーダンスの高い領域を形成する。
CFD処理の一部の期間中に、必要に応じて、台座2308を降下及び/又は上昇させて、微小容積2307内における処理圧力や反応物質濃度等を変更するようにしてもよい。CFD処理の間、処理チャンバ本体2302を基底圧力に維持する一つの実施形態において、台座2308を降下させることによって、微小容積2307を減圧することができる。処理チャンバの容積に対する微小容積の割合の例としては、以下に限定されるものではないが、1:500〜1:10の間の容積比が挙げられる。当然のことながら、一部の実施形態において、適当なコンピュータ制御部を用いて、台座の高さをプログラム的に調整することができる。
別の実施形態において、台座2308の高さを調整することによって、CFD処理におけるプラズマ活性化及び/又は処理サイクルの間のプラズマ密度を変えることができる。CFD処理フェーズが終わると、次の基板移動フェーズの間、台座2308を降下させて、台座2308から基板2312を取り外すことができるようにする。
本明細書では、微小容積を変化させる例として、高さ調整可能な台座に言及したが、当然のことながら、一部の実施形態において、台座2308に対してシャワーヘッド2306の位置を調整して、微小容積2307の体積を変更するようにしてもよい。さらに、当然のことながら、台座2308及び/又はシャワーヘッド2306の鉛直方向の位置を任意の適当な機構で変えるようにしてもよい。当業者には当然のことながら、このような機構としては、たとえば、油圧、空気圧、バネ機構、ソレノイド等が含まれる。一部の実施形態において、台座2308は、たとえば、基板表面に垂直な軸に沿って回転機構を備え、基板2312の方向を回転させるようにしてもよい。当然のことながら、一部の実施形態において、このように例示される調整のうち1つ又は複数を、1つ又は複数の適当なコンピュータ制御部によって、プログラム的に実行するようにしてもよい。
図14に示す実施形態に戻り、シャワーヘッド2306と台座1308とは、RF電力供給部2314及び照合ネットワーク2316と電気的に通信して、プラズマに電力を供給する。一部の実施形態において、処理ステーションの圧力、ガス濃度、RF源の電力、RF源の周波数及びプラズマ出力パルスのタイミングのうち1つ又は複数を制御することにより、プラズマエネルギーが制御される。たとえば、RF電力供給部2314及び照合ネットワーク2316を任意の適当な電力で作動させて、所望のラジカル種の組成を有するプラズマを形成させることができる。適当な電力の例としては、以下に限定されるものではないが、100W〜5000Wの電力が挙げられる。同様に、RF電力供給部2314は、任意の適当な周波数のRF電力を供給することができる。一部の実施形態において、RF電力供給部2314は、互いに独立に高周波RF電源と低周波RF電源とを制御するように構成されるものでもよい。低周波RF周波数の例としては、以下に限定されるものではないが、50kHz〜500kHzの周波数が挙げられる。高周波RF周波数の例としては、以下に限定されるものではないが、1.8MHz〜2.45GHzの周波数が挙げられる。当然のことながら、任意の適当なパラメータを離散的に又は連続的に調節して、表面反応にプラズマエネルギーを供給するようにしてもよい。非限定的な一例において、プラズマ出力を断続的にパルス状に変化させ、連続的に電力供給されるプラズマと比べて、基板表面とのイオン衝撃を減少させることができる。
一部の実施形態において、1つ又は複数のプラズマモニタによりin situ(その場)でプラズマをモニターする。一つの実施形態において、1つ又は複数の電圧、電流センサ(たとえば、VIプローブ)によって、プラズマ出力をモニターする。別の実施形態において、1つ又は複数の発光分光(OES)センサによって、プラズマ密度及び/又は処理ガス濃度を測定する。一部の実施形態において、このようなin situ(その場)プラズマモニタからの測定値に基づいて、1つ又は複数のプラズマパラメータをプログラム的に調整する。たとえば、OESセンサをフィードバックループで用いて、プラズマ出力をプログラム的に制御するようにしてもよい。当然のことながら、一部の実施形態において、他のモニタを用いて、プラズマ及び他の処理特性をモニターするようにしてもよい。このようなモニタとしては、以下に限定されるものではないが、赤外(IR)モニタ、音響モニタ及び圧力トランスデューサが挙げられる。
一部の実施形態において、入出力制御(IOC:input/output control)シーケンシング命令によりプラズマを制御する。たとえば、プラズマ処理フェーズのためのプラズマ条件を設定する命令を、CFD処理レシピの対応するプラズマ活性レシピフェーズに含むようにしてもよい。一部の実施形態において、処理レシピフェーズを順次配列させて、CFD処理フェーズのすべての命令が、処理フェーズと同時に実行されるようにしてもよい。当然のことながら、プラズマ生成の態様は、プラズマ処理フェーズを引き延ばす可能性がある、特徴的な過渡時間及び/又は安定化時間を有するものでもよい。言い換えれば、このような時間遅延は予測可能である。このような時間遅延には、所定の出力設定で、プラズマを照射する時間及びプラズマを安定化する時間が含まれるものでもよい。
一部の実施形態において、ヒータ2310を用いて、台座2308の温度制御をするようにしてもよい。さらに、一部の実施形態において、バタフライ弁2318で、CFD処理ステーション2300の圧力制御を行うようにしてもよい。図14に示すように、バタフライ弁2318は、(図示しない)下流側真空ポンプによって与えられる真空をスロットル調整する。ただし、一部の実施形態において、CFD処理ステーション2300に導入される1つ又は複数のガスの流量を変化させることによって、処理ステーション2300の圧力制御を調節するようにしてもよい。
上述したように、1つ又は複数の処理ステーションをマルチステーション型処理ツールに備えるようにしてもよい。図15は、搬入(インバウンド)ロードロック2402と搬出(アウトバウンド)ロードロック2404とを備えるマルチステーション型処理ツール2400の概略図を示す。搬入ロードロック2402と搬出ロードロック2404のいずれか片方又は両方が遠隔プラズマ源を備えるものでもよい。ロボット2406は、大気圧において、ポッド2408によって積載されたカセットから搬入ロードロック2402に、大気ポート2410を介して、ウエハを移動するように構成される。ロボット2406により搬入ロードロック2402内の台座2412上にウエハが置かれると、大気ポート2410が閉じて、ロードロックがポンプダウンされる。搬入ロードロック2402が遠隔プラズマ源を備える場合には、処理チャンバ2414に導入される前に、ロードロックにおいて、ウエハが遠隔プラズマ処理を受けるようにしてもよい。さらに、搬入ロードロック2402においてウエハも同様に加熱して、たとえば、水分と吸着されたガスとを除去するようにしてもよい。次に、処理チャンバ2414へのチャンバ搬送ポート2416が開き、(図示しない)他のロボットが、反応器内にウエハを入れて、処理を行う反応器の図示される第1のステーションの台座上にウエハを載置する。図15に示す実施形態はロードロックを備えているが、当然のことながら、一部の実施形態において、処理ステーション内に直接ウエハを入れるようにしてもよい。
図15に示す実施形態において、図示される処理チャンバ2414は、1〜4の番号をふられた4つの処理ステーションを備える。各ステーションは、加熱台座(ステーション1では2418で示す)と、ガス管入口と、を備える。当然のことながら、一部の実施形態において、各処理ステーションは、異なる目的を持つものでもよいし、複数の目的を持つものでもよい。たとえば、一部の実施形態において、CFD処理モードとPECVD処理ぽーどとの間で処理ステーションを切り替え可能としてもよい。さらに、又は、代わりに、一部の実施形態において、処理チャンバ2414は、1対又は複数対のCFD及びPECVD処理ステーションの組み合わせを備えるものでもよい。またさらに、一部の実施形態において、処理チャンバ2414は、1つ又は複数の紫外線硬化ステーション又は遠隔プラズマ処理ステーションを備えるものでもよい。図示される処理チャンバ2414は4つのステーションを備えるが、当然のことながら、本発明に従う処理チャンバは、任意の適当な数のステーションを備えるものでもよい。たとえば、一部の実施形態において、処理チャンバは、5つ以上のステーションを備えるものでもよく、別の実施形態において、処理チャンバは、3つ以下のステーションを備えるものでもよい。
さらに、処理チャンバ2414内でウエハを移動させるウエハ取扱いシステム2490を図15に示す。一部の実施形態において、ウエハ取扱いシステム2490は、異なる処理ステーション間で、及び/又は、処理ステーションとロードロックとの間で、ウエハを移動させることができる。当然のことながら、任意の適当なウエハ取扱いシステムを用いることができる。非限定的な例として、ウエハ回転式コンベヤ及びウエハ取扱いロボットが挙げられる。さらに、処理ツール2400の処理条件とハードウェア状態とを制御するために用いられるシステム制御部2450を図15に示す。システム制御部2450は、1つ又は複数の記憶装置2456と、1つ又は複数の大容量記憶装置2454と、1つ又は複数のプロセッサ2452と、を備えるものでもよい。プロセッサ2452は、CPU又はコンピュータ、アナログ及び/又はデジタル入出力接続、ステッピングモータ制御ボード等を備えるものでもよい。
一部の実施形態において、システム制御部2450は、処理ツール2400の動作のすべてを制御する。システム制御部2450は、大容量記憶装置2454に格納されて、記憶装置2456にロードされ、プロセッサ2452上で実行されるシステム制御ソフトウェア2458を実行する。システム制御ソフトウェア2458は、タイミング、ガス混合物、チャンバ及び/又はステーション圧力、チャンバ及び/又はステーション温度、ウエハ温度、目標出力レベル、RF出力レベル、基板台座、チャック及び/又はサセプタ位置、及び、処理ツール2400によって実行される特定処理用の他のパラメータを制御するための命令を備えるものでもよい。システム制御ソフトウェア2458は、任意の適当な構成を備えるものでもよい。たとえば、種々の処理ツールの処理を実行するために必要な処理ツールの構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチン又は制御オブジェクトが書き込まれるようにしてもよい。システム制御ソフトウェア2458は、任意の適当なコンピュータ読み取り可能なプログラム言語によってコード化されるものでもよい。
一部の実施形態において、システム制御ソフトウェア2458は、上述した様々なパラメータを制御するための入出力制御(IOC)シーケンシング命令を備えるものでもよい。たとえば、CFD処理の各フェーズは、システム制御部2450により実行される1つ又は複数の命令を備えるものでもよい。CFD処理フェーズのための処理条件を設定する命令が、対応するCFDレシピフェーズに含まれるようにしてもよい。一部の実施形態において、CFDレシピフェーズを順次配列して、CFD処理フェーズ用のすべての命令が処理フェーズと同時に実行されるようにしてもよい。
一部の実施形態において、システム制御部2450と関係する大容量記憶装置2454及び/又は記憶装置2456上に格納される他のコンピュータソフトウェア及び/又はプログラムを用いるようにしてもよい。このためのプログラムまたはプログラム部分の例には、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒーター制御プログラム及びプラズマ制御プログラムが含まれる。
基板位置決めプログラムは、台座2418上に基板を載置して、基板と処理ツール2400の他の部分との間の間隔を制御するために用いられる処理ツール構成要素用のプログラムコードを備えるものでもよい。
処理ガス制御プログラムは、ガス組成と流量とを制御するためのコード、及び、必要に応じて、蒸着前に1つ又は複数の処理ステーションにガスを流入させて、処理ステーション内の圧力を安定化させるためのコードを備えるものでもよい。圧力制御プログラムは、たとえば、処理ステーションの排出システムにおけるスロットルバルブや処理ステーションに流入するガス流量等を調整することによって、処理ステーション内の圧力を制御するためのコードを備えるものでもよい。
ヒーター制御プログラムは、基板を加熱するために用いられる加熱装置に供給される電流を制御するためのコードを備えるものでもよい。あるいは、ヒーター制御プログラムは、基板への熱伝導ガス(たとえば、ヘリウム)の供給を制御するようにしてもよい。
プラズマ制御プログラムは、1つ又は複数の処理ステーションにおいて処理電極に印加されるRF出力レベルを設定するためのコードを備えるものでもよい。
一部の実施形態において、システム制御部2450に関連するユーザインタフェースを備えるものでもよい。ユーザインタフェースは、ディスプレイスクリーン、装置及び/又は処理条件のグラフィックソフトウェア・ディスプレイ、並びに、ポインティングデバイス、キーボード、タッチスクリーン、マイク等のユーザ入力装置を備えるものでもよい。
一部の実施形態において、システム制御部2450により調節されるパラメータは、処理条件に関係するものでもよい。非限定的な例として、処理ガスの組成及び流量、温度、圧力、プラズマ条件(たとえば、RFバイアス電力レベル)、圧力、温度等が挙げられる。これらのパラメータは、ユーザインタフェースを用いて入力可能なレシピの形でユーザに提供されるものでもよい。
システム制御部2450のアナログ及び/又はデジタル入力接続によって、様々な処理ツールセンサから、処理をモニタリングするための信号を提供するようにしてもよい。処理を制御するための信号を、処理ツール2400のアナログ及びデジタル出力接続に出力するようにしてもよい。モニタリング可能な処理ツールセンサの非限定的な例として、質量流量制御装置、(圧力計等の)圧力センサ、熱電対等が挙げられる。これらのセンサから得られるデータと共に、適切にプログラミングされたフィードバック及び制御アルゴリズムを用いて、処理条件を維持するようにしてもよい。
システム制御部2450は、上述した蒸着処理を実現するためのプログラム命令を提供するものでもよい。プログラム命令は、直流電力レベル、RFバイアス電力レベル、圧力、温度等、種々の処理パラメータを制御するものでもよい。命令は、パラメータを制御して、本明細書に記載する様々な実施形態に従って積層膜のin situ(その場)蒸着の操作を行うようにしてもよい。
システム制御部は、一般的に、1つ又は複数の記憶装置と、命令を実行することによって、本発明に従う方法を装置が実施するように構成される1つ又は複数のプロセッサと、を備える。本発明に従う処理操作を制御するための命令を含むマシン読み取り可能な媒体をシステム制御部に連結させるようにしてもよい。
実施例:
本明細書に記載する実施形態に従う紫外線及び遠隔プラズマを用いる方法によって、ビス(ジメチルアミノ)ジメチルシラン及び窒素反応物質を反応させて、ケイ素含有膜を形成した。紫外線ランプをそれぞれ有する4つのステーションを備える紫外線硬化装置を用いた。処理シーケンスを以下に示す。
紫外線ALD:ステーション1及び3(紫外線ランプはオフ)でビス(ジメチルアミノ)ジメチルシラン曝露、ステーション2及び4で紫外線を用いてNH3曝露。シーケンス:ビス(ジメチルアミノ)ジメチルシラン曝露→パージ→パージ→次のステーションへ→紫外線を用いてNH3曝露→パージ→次のステーションへ。ステーション1及び3において、一般的なビス(ジメチルアミノ)ジメチルシラン暴露時間は20秒で、キャリア(Ar)1slmに対する流量は2mL/分であった。ステーション1及び3において、ウエハの浸漬時間は60秒であった。膜は、SiNを含有すると共に、SiNCピークの幅から考えると、Cが存在する可能性が高い。有意な量のN−H及びSi−Hの両方が存在していた。
遠隔プラズマALD:ステーション3及び4でビス(ジメチルアミノ)ジメチルシラン曝露、ステーション1及び2で遠隔プラズマを用いてNH3(+Ar)曝露。すべてのステーションで紫外線ランプはオフ。アストロン(20秒曝露)を用いて、遠隔で、1slmのNH3/5slmのArによりNHxラジカルを生成。シーケンス:ビス(ジメチルアミノ)ジメチルシラン曝露→パージ→2つのステーションへ→遠隔プラズマNH3→パージ→2つのステーションへ。ステーション3及び4におけるウエハの浸漬時間は60秒であった。膜は主にSiN膜であり、炭素を含有する場合もある。Si−H結合は存在しなかった。RP時間を(20秒から)5秒に短縮しても、膜の厚さや膜の品質に影響はなかった。
パターン形成方法/装置:
本明細書に記載する装置/プロセスを、たとえば、半導体デバイス、ディスプレイ、LED、太陽光発電パネル等の製作又は製造用のリソグラフパターン形成ツールまたはプロセスと共に用いるようにしてもよい。必ずではないが、一般的に、このようなツール/プロセスを、共有の製造施設で共に用いる又は実施するようにしてもよい。膜のリソグラフパターン形成は、通常、以下の工程の一部または全部を備える。多くの利用可能なツールを用いて、各工程を実行することができる。(1)スピン式(回転式)ツール又はスプレー式ツールを用いて、ワークピース、すなわち、基板上にフォトレジストを塗布する。(2)ホットプレート、炉又は紫外線硬化ツールを用いて、フォトレジストを硬化させる。(3)ウエハステッパ等のツールを用いて、フォトレジストに可視光、紫外線又はx線を照射する。(4)ウェットベンチ等のツールを用いて、レジストを現像して、選択的にレジストを除去することにより、パターン化する。(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、レジストパターンを下層の膜又はワークピースに転写する。(6)RF又はマイクロ波プラズマレジストストリッパ等のツールを用いて、レジストを除去する。一つの実施形態において、本明細書に記載する方法を用いて、SiN膜が形成される。たとえば、本明細書に記載する目的のうちの一つにSiN膜を用いる。さらに、方法は、上述した1つ又は複数の工程(1)〜(6)を含む。

Claims (26)

  1. 反応チャンバに基板を提供する工程と、1回又は複数回の蒸着サイクルを実行してケイ素含有膜を蒸着する工程と、を備える方法であって、
    各サイクルは、
    (a)窒素含有反応物質の気相流に前記基板を曝露し、
    (b)ケイ素含有反応物質の気相流に前記基板を曝露し、
    (c)前記窒素含有反応物質の気相流に紫外線を照射するとともに、前記ケイ素含有反応物質の気相流には紫外線を照射しない
    方法。
  2. 請求項1に記載の方法であって、
    前記基板は、ケイ素含有前駆物質の気相流に曝露される間に、前記窒素含有反応物質の気相流に曝露される、方法。
  3. 請求項1に記載の方法であって、
    前記基板は、ケイ素含有前駆物質の気相流に曝露される間には、前記窒素含有反応物質の気相流に曝露されない、方法。
  4. 請求項1から請求項3のいずれか一項に記載の方法であって、
    前記反応チャンバ内で、前記窒素含有反応物質の気相流に紫外線が照射される、方法。
  5. 請求項1から請求項3のいずれか一項に記載の方法であって、
    前記チャンバの上流で、前記窒素含有反応物質の気相流に紫外線が照射される、方法。
  6. 請求項1から請求項5のいずれか一項に記載の方法であって、
    前記ケイ素含有反応物質は、シラン、ハロシラン、アミノシラン及びこれらの混合物からなる群から選択される、方法。
  7. 請求項6に記載の方法であって、
    前記ケイ素含有反応物質は、ケイ素及びアミノ基の部位に炭素含有置換基を有するアミノシランである、方法。
  8. 請求項1から請求項7のいずれか一項に記載の方法であって、
    前記窒素含有反応物質は、アンモニア、ヒドラジン、アミン及びこれらの混合物からなる群から選択される、方法。
  9. 請求項1から請求項8のいずれか一項に記載の方法であって、
    前記ケイ素含有膜は、SiN、SiCN、SiON又はSiONCからなる群から選択される、方法。
  10. 請求項9に記載の方法であって、
    前記ケイ素含有膜はSiCNである、方法。
  11. 反応チャンバに基板を提供する工程と、1回又は複数回の蒸着サイクルを実行してケイ素含有膜を蒸着する工程と、を備える方法であって、
    各サイクルでは、
    (a)遠隔プラズマ源を用いて、窒素含有反応物質の気相流を活性化し、
    (b)前記活性化された窒素含有反応物質に前記基板を曝露し、
    (c)ケイ素含有反応物質の気相流に前記基板を曝露する
    方法。
  12. 請求項11に記載の方法であって、
    前記基板は、ケイ素含有前駆物質の気相流に曝露される間に、前記窒素含有反応物質の気相流に曝露される、方法。
  13. 請求項11に記載の方法であって、
    前記基板は、ケイ素含有前駆物質の気相流に曝露される間には、前記窒素含有反応物質の気相流に曝露されない、方法。
  14. 請求項11から請求項13のいずれか一項に記載の方法であって、
    前記ケイ素含有反応物質は、シラン、ハロシラン、アミノシラン及びこれらの混合物からなる群から選択される、方法。
  15. 請求項14に記載の方法であって、
    前記ケイ素含有反応物質は、ケイ素及びアミノ基の部位に炭素含有置換基を有するアミノシランである、方法。
  16. 請求項11から請求項15のいずれか一項に記載の方法であって、
    前記窒素含有反応物質は、アンモニア、ヒドラジン、アミン及びこれらの混合物からなる群から選択される、方法。
  17. 請求項11から請求項16のいずれか一項に記載の方法であって、
    前記ケイ素含有膜は、SiN、SiCN、SiON又はSiONCからなる群から選択される、方法。
  18. 請求項11から請求項17のいずれか一項に記載の方法であって、
    前記ケイ素含有膜はSiCNである、方法。
  19. 半導体ウエハ上に窒化ケイ素膜を蒸着する装置であって、
    (a)反応チャンバと、
    (b)紫外線源と、
    (c)反応物質入口と、
    (d)前記反応チャンバ内に窒素含有反応物質の気相流を流入させる命令と、前記反応チャンバ内にケイ素含有反応物質の気相流を流入させる命令と、前記窒素含有反応物質の気相流に紫外線を照射するとともに、前記ケイ素含有反応物質の気相流には紫外線を照射しない命令と、を有する制御部と
    を備える装置。
  20. 請求項19に記載の装置であって、
    前記反応チャンバ内で、前記窒素含有反応物質の気相流に紫外線が照射される、装置。
  21. 請求項19に記載の装置であって、
    前記チャンバの上流で、前記窒素含有反応物質の気相流に紫外線が照射される、装置。
  22. 請求項19から請求項21のいずれか一項に記載の装置であって、
    ケイ素含有前駆物質の気相流が流れる間に、前記窒素含有反応物質の気相流が流される、装置。
  23. 請求項19から請求項21のいずれか一項に記載の装置であって、
    ケイ素含有前駆物質の気相流が流れる間には、前記窒素含有反応物質の気相流が流れない、装置。
  24. 半導体ウエハ上に窒化ケイ素膜を蒸着する装置であって、
    (a)反応チャンバと、
    (b)前記反応チャンバに対して遠隔のプラズマ源と、
    (c)反応物質入口と、
    (d)前記遠隔プラズマチャンバ内に窒素含有反応物質の気相流を流入させる命令と、前記遠隔プラズマ発生装置から前記反応チャンバ内に活性化窒素含有種を流入させる命令と、前記反応チャンバ内にケイ素含有反応物質の気相流を流入させる命令と、を有する制御部と
    を備える装置。
  25. 請求項24に記載の装置であって、
    ケイ素含有前駆物質の気相流が流れる間に、前記窒素含有反応物質の気相流が流される、装置。
  26. 請求項25に記載の方法であって、
    ケイ素含有前駆物質の気相流が流れる間には、前記窒素含有反応物質の気相流が流れない、装置。
JP2014554825A 2012-01-26 2013-01-24 紫外線を用いたコンフォーマルな膜蒸着の方法 Pending JP2015510263A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261591230P 2012-01-26 2012-01-26
US61/591,230 2012-01-26
US13/472,282 2012-05-15
US13/472,282 US8647993B2 (en) 2011-04-11 2012-05-15 Methods for UV-assisted conformal film deposition
PCT/US2013/022977 WO2013112727A1 (en) 2012-01-26 2013-01-24 Methods for uv-assisted conformal film deposition

Publications (2)

Publication Number Publication Date
JP2015510263A true JP2015510263A (ja) 2015-04-02
JP2015510263A5 JP2015510263A5 (ja) 2016-03-03

Family

ID=48870585

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014554825A Pending JP2015510263A (ja) 2012-01-26 2013-01-24 紫外線を用いたコンフォーマルな膜蒸着の方法

Country Status (7)

Country Link
US (1) US8647993B2 (ja)
JP (1) JP2015510263A (ja)
KR (1) KR20130086989A (ja)
CN (1) CN104081505A (ja)
SG (1) SG11201404315RA (ja)
TW (1) TW201349346A (ja)
WO (1) WO2013112727A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160130152A (ko) * 2015-05-01 2016-11-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2017028171A (ja) * 2015-07-24 2017-02-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2017078082A1 (ja) * 2015-11-04 2017-05-11 国立研究開発法人産業技術総合研究所 窒素化合物の製造方法及び製造装置
JP2018137356A (ja) * 2017-02-22 2018-08-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2020511797A (ja) * 2017-03-15 2020-04-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP2020065087A (ja) * 2015-11-12 2020-04-23 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
WO2020213454A1 (ja) * 2019-04-16 2020-10-22 東京エレクトロン株式会社 成膜方法および成膜装置
JP2021511672A (ja) * 2018-01-26 2021-05-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 窒化ケイ素の薄膜のための処理方法
WO2021100560A1 (ja) * 2019-11-21 2021-05-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2021100594A1 (ja) * 2019-11-19 2021-05-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP7436982B2 (ja) 2019-03-06 2024-02-22 国立大学法人東北大学 シリコン窒化膜の製造方法

Families Citing this family (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6049395B2 (ja) 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6039996B2 (ja) 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
JP6101467B2 (ja) * 2012-10-04 2017-03-22 東京エレクトロン株式会社 成膜方法及び成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US20140242811A1 (en) * 2013-02-27 2014-08-28 United Microelectronics Corp. Atomic layer deposition method
US20140273524A1 (en) * 2013-03-12 2014-09-18 Victor Nguyen Plasma Doping Of Silicon-Containing Films
US20140273526A1 (en) 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
TW201522696A (zh) 2013-11-01 2015-06-16 Applied Materials Inc 使用遠端電漿cvd技術的低溫氮化矽膜
US20150140833A1 (en) * 2013-11-18 2015-05-21 Applied Materials, Inc. Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9797042B2 (en) * 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
TW201610204A (zh) * 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9548188B2 (en) 2014-07-30 2017-01-17 Lam Research Corporation Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US9564286B2 (en) * 2014-08-14 2017-02-07 Samsung Electronics Co., Ltd. Method of forming thin film of semiconductor device
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9580801B2 (en) * 2014-09-04 2017-02-28 Applied Materials, Inc. Enhancing electrical property and UV compatibility of ultrathin blok barrier film
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
FI126970B (en) * 2014-12-22 2017-08-31 Picosun Oy Atomic layer cultivation in which the first and second species of source materials are present simultaneously
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
TWI570263B (zh) * 2015-08-10 2017-02-11 炬力奈米科技有限公司 光輔助原子層沉積方法
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US20170250211A1 (en) * 2016-02-25 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor image sensor device and manufacturing method of the same
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR101938794B1 (ko) * 2016-05-31 2019-04-10 고려대학교 세종산학협력단 금속 박막의 플라즈마 식각 장치 및 금속 박막의 플라즈마 식각 방법
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10861694B2 (en) * 2017-01-17 2020-12-08 Zf Friedrichshafen Ag Method of manufacturing an insulation layer on silicon carbide
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102324630B1 (ko) 2017-03-29 2021-11-10 삼성전자주식회사 집적회로 소자의 제조 방법
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11211243B2 (en) 2018-11-21 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of filling gaps with carbon and nitrogen doped film
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR102040427B1 (ko) * 2019-01-09 2019-11-06 고려대학교 세종산학협력단 금속 박막의 플라즈마 식각 장치 및 금속 박막의 플라즈마 식각 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11211244B2 (en) * 2020-01-17 2021-12-28 Taiwan Semiconductor Manufacturing, Co., Ltd. Ultraviolet radiation activated atomic layer deposition
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098032A (ja) * 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
JPH11172439A (ja) * 1997-10-02 1999-06-29 Air Prod And Chem Inc ビス(t−ブチルアミノ)シランからの窒化珪素の化学気相成長法
JP2001274404A (ja) * 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
JP2002009072A (ja) * 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
US20050159017A1 (en) * 2004-01-08 2005-07-21 Jin-Gyun Kim Nitrogenous compositions for forming silicon nitride layers and methods of forming silicon nitride layers using the same
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
JP2005310927A (ja) * 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
JP2008506262A (ja) * 2004-07-06 2008-02-28 アプライド マテリアルズ インコーポレイテッド 応力制御を伴う窒化シリコン膜
JP2008258591A (ja) * 2007-02-27 2008-10-23 Air Products & Chemicals Inc ケイ素含有膜の周期的プラズマ化学気相堆積
JP2010043081A (ja) * 2008-08-12 2010-02-25 Air Products & Chemicals Inc シリコン含有膜堆積用の前駆体及びその製造及び使用方法
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2010118664A (ja) * 2008-11-12 2010-05-27 Air Products & Chemicals Inc 応力を加えたSiN膜用アミノ・ビニルシラン前駆体
JP2010283388A (ja) * 2002-04-11 2010-12-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
EP1485513A2 (en) 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
WO2004032196A2 (en) * 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
EP2193541A1 (en) * 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US20100102417A1 (en) 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
KR20120103719A (ko) 2009-12-22 2012-09-19 어플라이드 머티어리얼스, 인코포레이티드 연속 플라즈마에 의한 pecvd 다단계 공정
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1098032A (ja) * 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
JPH11172439A (ja) * 1997-10-02 1999-06-29 Air Prod And Chem Inc ビス(t−ブチルアミノ)シランからの窒化珪素の化学気相成長法
JP2001274404A (ja) * 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
JP2002009072A (ja) * 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
JP2010283388A (ja) * 2002-04-11 2010-12-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
US20050159017A1 (en) * 2004-01-08 2005-07-21 Jin-Gyun Kim Nitrogenous compositions for forming silicon nitride layers and methods of forming silicon nitride layers using the same
JP2005310927A (ja) * 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
JP2008506262A (ja) * 2004-07-06 2008-02-28 アプライド マテリアルズ インコーポレイテッド 応力制御を伴う窒化シリコン膜
JP2008258591A (ja) * 2007-02-27 2008-10-23 Air Products & Chemicals Inc ケイ素含有膜の周期的プラズマ化学気相堆積
JP2010043081A (ja) * 2008-08-12 2010-02-25 Air Products & Chemicals Inc シリコン含有膜堆積用の前駆体及びその製造及び使用方法
JP2010103484A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2010118664A (ja) * 2008-11-12 2010-05-27 Air Products & Chemicals Inc 応力を加えたSiN膜用アミノ・ビニルシラン前駆体

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102021168B1 (ko) * 2015-05-01 2019-09-11 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2016213289A (ja) * 2015-05-01 2016-12-15 東京エレクトロン株式会社 成膜方法および成膜装置
KR20160130152A (ko) * 2015-05-01 2016-11-10 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2017028171A (ja) * 2015-07-24 2017-02-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
WO2017078082A1 (ja) * 2015-11-04 2017-05-11 国立研究開発法人産業技術総合研究所 窒素化合物の製造方法及び製造装置
JPWO2017078082A1 (ja) * 2015-11-04 2018-08-30 国立研究開発法人産業技術総合研究所 窒素化合物の製造方法及び製造装置
JP2022003689A (ja) * 2015-11-12 2022-01-11 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
JP2020065087A (ja) * 2015-11-12 2020-04-23 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
JP7135187B2 (ja) 2015-11-12 2022-09-12 エーエスエム アイピー ホールディング ビー.ブイ. SiOCN薄膜の形成
US11735412B2 (en) 2017-02-22 2023-08-22 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP2018137356A (ja) * 2017-02-22 2018-08-30 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP7230126B2 (ja) 2017-03-15 2023-02-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP2020511797A (ja) * 2017-03-15 2020-04-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP2021180320A (ja) * 2017-03-15 2021-11-18 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 強誘電体材料としてのケイ素ドープ酸化ハフニウムの堆積のための新規配合物
JP7447004B2 (ja) 2018-01-26 2024-03-11 アプライド マテリアルズ インコーポレイテッド 窒化ケイ素の薄膜のための処理方法
JP2021511672A (ja) * 2018-01-26 2021-05-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 窒化ケイ素の薄膜のための処理方法
JP7436982B2 (ja) 2019-03-06 2024-02-22 国立大学法人東北大学 シリコン窒化膜の製造方法
JP2020177980A (ja) * 2019-04-16 2020-10-29 東京エレクトロン株式会社 成膜方法および成膜装置
WO2020213454A1 (ja) * 2019-04-16 2020-10-22 東京エレクトロン株式会社 成膜方法および成膜装置
WO2021100594A1 (ja) * 2019-11-19 2021-05-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2021100560A1 (ja) * 2019-11-21 2021-05-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Also Published As

Publication number Publication date
CN104081505A (zh) 2014-10-01
US8647993B2 (en) 2014-02-11
KR20130086989A (ko) 2013-08-05
TW201349346A (zh) 2013-12-01
US20130196516A1 (en) 2013-08-01
US20140051262A9 (en) 2014-02-20
SG11201404315RA (en) 2014-08-28
WO2013112727A1 (en) 2013-08-01

Similar Documents

Publication Publication Date Title
JP2015510263A (ja) 紫外線を用いたコンフォーマルな膜蒸着の方法
US9670579B2 (en) Method for depositing a chlorine-free conformal SiN film
KR102407031B1 (ko) 고 계수 ald sio2 스페이서를 위한 방법
JP7022537B2 (ja) プラズマ支援および熱原子層堆積プロセスによる窒化膜形成
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
CN105990108B (zh) 超薄原子层沉积膜厚度的精密控制
KR102538780B1 (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
KR102616896B1 (ko) 실리콘-함유 막들의 원자층 증착에서의 선택적인 억제
JP2018152554A (ja) シリコン酸化物の選択的堆積
US20110256734A1 (en) Silicon nitride films and methods
KR20200130750A (ko) 유기실리콘 전구체를 사용한 웨이퍼 표면의 소수성 개질
TW202208662A (zh) 接縫減輕及間隙填充用整合式襯墊
KR20220006663A (ko) 원자 층 증착 동안 막 특성들의 인-시츄 (in-situ) 제어
KR20220087551A (ko) 심리스 (seamless) 고품질 갭 충진을 가능하게 하는 방법들

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160113

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160113

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170329

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170707

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20171205