JP2004519088A5 - 枚葉プロセスにおける基板の洗浄方法及び洗浄液 - Google Patents

枚葉プロセスにおける基板の洗浄方法及び洗浄液 Download PDF

Info

Publication number
JP2004519088A5
JP2004519088A5 JP2002505659A JP2002505659A JP2004519088A5 JP 2004519088 A5 JP2004519088 A5 JP 2004519088A5 JP 2002505659 A JP2002505659 A JP 2002505659A JP 2002505659 A JP2002505659 A JP 2002505659A JP 2004519088 A5 JP2004519088 A5 JP 2004519088A5
Authority
JP
Japan
Prior art keywords
substrate
cleaning
solution
rinsing
surfactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002505659A
Other languages
English (en)
Other versions
JP2004519088A (ja
Filing date
Publication date
Priority claimed from US09/891,730 external-priority patent/US6927176B2/en
Application filed filed Critical
Publication of JP2004519088A publication Critical patent/JP2004519088A/ja
Publication of JP2004519088A5 publication Critical patent/JP2004519088A5/ja
Pending legal-status Critical Current

Links

Description

【特許請求の範囲】
【請求項1】
基板の処理方法であって、
枚葉基板洗浄ツールに基板を配置するステップと、
前記枚葉基板洗浄ツール内の前記基板をスピンするステップと、
前記基板をスピンする間、前記枚葉基板洗浄ツールに前記基板を配置した後、
NHOH、

O、及び
キレート化剤を含む溶液に前記基板を露出するステップと
を含む、基板の処理方法。
【請求項2】
前記基板は、30秒未満の間、前記洗浄液に露出される請求項1に記載の方法。
【請求項3】
前記キレート化剤は、カルボン酸である請求項1に記載の方法。
【請求項4】
前記洗浄液と異なる溶液で前記基板の背面を洗浄している間、前記洗浄液で前記基板の前面を洗浄するステップをさらに含む請求項1に記載の方法。
【請求項5】
前記基板を洗浄する間、前記基板に音響波を適用するステップをさらに含む請求項1に記載の方法。
【請求項6】
前記音響波は、超音波である請求項5に記載の方法。
【請求項7】
キャビテーションガスを前記洗浄液に溶解するステップをさらに含む請求項1に記載の方法。
【請求項8】
前記キャビテーションガスは、H、N、O、O、Ar及びHeからなる群から選択される請求項7に記載の方法。
【請求項9】
前記基板を洗浄した後、400℃を超える温度で行う熱処理ステップをさらに含む請求項1に記載の方法。
【請求項10】
前記熱処理ステップは、アニールステップである請求項9に記載の方法。
【請求項11】
前記熱処理ステップは、化学気相堆積ステップである請求項9に記載の方法。
【請求項12】
前記熱処理ステップは、酸化ステップである請求項9に記載の方法。
【請求項13】
前記枚葉基板洗浄ツールに前記基板を配置する前に、前記基板からフォトレジストを除去するためのOアッシングステップをさらに含む請求項1に記載の方法。
【請求項14】
前記キレート化剤は、エチレンジアミン四酢酸(EDTA)である請求項1に記載の方法。
【請求項15】
前記溶液は、界面活性剤をさらに含む請求項1に記載の方法。
【請求項16】
前記界面活性剤は、前記溶液の1〜100ppmである請求項15に記載の方法。
【請求項17】
前記界面活性剤は、非イオン性である請求項15に記載の方法。
【請求項18】
前記界面活性剤は、陰イオン性である請求項15に記載の方法。
【請求項19】
前記界面活性剤は、非イオン性と陰イオン性化合物からなる混合物である請求項15に記載の方法。
【請求項20】
前記非イオン性活性剤は、ポリオキシエチレンブチルフェニルエーテルである請求項17に記載の方法。
【請求項21】
前記陰イオン性界面活性剤は、ポリオキシエチレンアルキルフェニル硫酸塩である請求項18に記載の方法。
【請求項22】
前記非イオン性界面活性剤は、前記溶液の30ppmである請求項17に記載の方法。
【請求項23】
前記陰イオン性界面活性剤は、前記溶液の30ppmである請求項18に記載の方法。
請求項24
前記基板は、シリコンウェーハである、請求項1に記載の方法。
【請求項25
洗浄液であって、
NHOHと、
と、
Oと、
キレート化剤と、
界面活性剤と
を含む混合物から形成される洗浄液。
【請求項26
前記NHOH、H及びHOの混合比は、5/1/1〜1000/1/1である請求項25に記載の洗浄液。
【請求項27
前記NHOHは、水に対してNHが28〜29%w/wである溶液から作られる請求項25に記載の洗浄液。
【請求項28
前記Hは、水に対してHが31〜32%w/wである溶液から作られる請求項25に記載の洗浄液。
【請求項29
前記キレート化剤の平衡定数(K)は、アルミニウムに対して1015より大きいものである請求項25に記載の洗浄液。
【請求項30
前記キレート化剤の平衡定数(K)は、アルミニウムに対して1020より大きいものである請求項25に記載の洗浄液。
【請求項31
前記キレート化剤は、N,N’−ビス(2−ヒドロキシフェニル)エチレンジイミノ二酢酸(HPED)、トリエチレンテトラニトリロ六酢酸(TTHA)、デスフェリフェリオキサミンB、N,N’,N”−トリス[2−(N−ヒドロキシカルボニル)エチル]−1,3,5−ベンゼントリカルボキサミド(BAMTH)、モリブデン酸からなる群から選択される請求項25に記載の洗浄液。
【請求項32
前記キレート化剤の前記溶液中の濃度は、0.001mg/l〜300mg/lである請求項25に記載の洗浄液。
【請求項33
前記キレート化剤の前記溶液中の濃度は、0.01mg/l〜3mg/lである請求項25に記載の洗浄液。
【請求項34
前記キレート化剤の前記溶液中の濃度は、1〜400ppmである請求項25に記載の洗浄液。
【請求項35
前記界面活性剤は、非イオン性である請求項25に記載の洗浄液。
【請求項36
前記界面活性剤は、陰イオン性である請求項25に記載の洗浄液。
【請求項37
前記界面活性剤は、三菱化学株式会社製のMCX−SD2000である請求項36に記載の洗浄液。
【請求項38
前記MCX−SD2000は、前記溶液の0.05%である請求項37に記載の洗浄液。
【請求項39
基板の洗浄方法であって、
フッ化水素溶液で前記基板をエッチングするステップと、
前記基板をエッチングした後、第1のリンスで前記基板をリンスするステップと、
前記第1のリンスで前記基板をリンスした後、
NHOH、

O、
キレート化剤、及び
界面活性剤を含む洗浄液で前記基板を洗浄するステップと、
前記洗浄液で前記基板を洗浄した後、第2のリンスで前記基板をリンスするステップと、
前記第2のリンスで前記基板の前記リンス後、前記基板を乾燥するステップと、
前記処理を3分以内に行うステップと
を含む基板の洗浄方法。
【請求項40
前記処理は、2分以内に行われる請求項39に記載の方法。
【請求項41
前記エッチングは、30秒以内に行われる請求項39に記載の方法。
【請求項42
前記第1のリンスは、20秒以内に行われる請求項39に記載の方法。
【請求項43
前記洗浄は、30秒以内に行われる請求項39に記載の方法。
【請求項44
前記第2のリンスは、20秒以内に行われる請求項39に記載の方法。
【請求項45
前記乾燥は、20秒以内に行われる請求項39に記載の方法。
【請求項46
前記基板の前記エッチング中、前記基板の前面にエッチング溶液が適用され、前記基板の背面に前記エッチング溶液と異なる溶液が適用される請求項39に記載の方法。
【請求項47
前記基板の前記第1のリンス中、前記基板の前面にリンス溶液が適用され、前記基板の背面に前記リンス溶液と異なる溶液が適用される請求項39に記載の方法。
【請求項48
前記基板の前記洗浄中、前記基板の前面に前記洗浄液が適用され、前記基板の背面に前記洗浄液と異なる溶液が適用される請求項39に記載の方法。
【請求項49
前記基板の前記第2のリンス中、前記基板の前面にリンス溶液が適用され、前記基板の背面に前記リンス溶液と異なる溶液が適用される請求項39に記載の方法。
請求項50
前記基板は、シリコン基板である、請求項39に記載の方法。
【請求項51
基板の洗浄方法であって、
キレート化剤と界面活性剤とを含む第1の溶液で前記基板を洗浄するステップと、
前記基板を洗浄した後、水と酸化剤とを含む前記溶液で前記基板をリンスするステップと
を含む基板の洗浄方法。
【請求項52
前記酸化剤は、O、O及びHからなる群から選択される請求項51に記載の方法。
【請求項53
前記酸化剤は、Cu2+を酸化するのに十分な濃度で前記第2の溶液に存在する請求項51に記載の方法。
【請求項54
前記酸化剤の前記濃度は、1ppmより高い請求項51に記載の方法。
【請求項55
前記酸化剤の前記濃度は、100ppmより高い請求項51に記載の方法。
【請求項56
前記第2の溶液の標準酸化電位は、0.5Vより大きい請求項51に記載の方法。
【請求項57
前記水は、前記水に前記酸化剤が添加される前に脱気される請求項51に記載の方法。
【請求項58
前記水は、脱イオン化される請求項51に記載の方法。
請求項59
前記基板は、シリコンウェーハである、請求項51に記載の方法。
【請求項60
基板の処理方法であって、
枚葉基板洗浄ツールに前記基板を配置するステップと、
前記枚葉基板洗浄ツールに前記基板を配置した後、2〜3秒間、前記基板上にHF溶液を供給して、HF被覆基板を生じさせるステップと、
前記基板上に前記HF溶液を供給した後、前記HF被覆基板上に洗浄液を供給するステップと
を含む基板の処理方法。
【請求項61
前記処理中に前記基板をスピンすることをさらに含む請求項60に記載の方法。
【請求項62
前記基板の第1の面上に前記HF溶液が供給される間、前記基板の第2の面上に、前記HF溶液と異なる溶液が供給される請求項60に記載の方法。
【請求項63
前記基板上に前記洗浄液が供給されるとき、前記基板に超音波が適用される請求項60に記載の方法。
【請求項64
前記基板は、酸化物層を備えた表面を有する請求項60に記載の方法。
【請求項65
前記酸化物層を備えた前記表面上に、前記フッ化水素酸溶液が供給される請求項64に記載の方法。
【請求項66
前記フッ化水素酸溶液は、1Å〜8Åの厚みまで前記酸化物層をエッチングする請求項65に記載の方法。
【請求項67
前記洗浄液は、
NHOH、

O、
キレート化剤、及び
界面活性剤
を含む請求項60に記載の方法。
【請求項68
前記洗浄液は、30秒未満の間、前記基板上に存在する請求項60に記載の方法。
【請求項69
前記洗浄液は、前記HF溶液を中和するのに十分な時間、前記HF被覆基板上に供給される請求項60に記載の方法。
【請求項70
前記HF溶液は、水と、フッ化水素酸とを含む請求項60に記載の方法。
【請求項71
前記HF溶液は、水と、緩衝フッ化水素酸とを含む請求項60に記載の方法。
請求項72
前記基板は、シリコンウェーハである、請求項39に記載の方法。
【請求項73
基板の処理方法であって、
枚葉基板洗浄ツールに、第1の面と第2の面とを有する基板を配置するステップと、
前記洗浄ツールに前記基板を配置した後、2〜3秒間、前記基板の前記第1の面上にHF溶液を供給して、前記基板のHF被覆された第1の面を生じさせるステップと、
前記基板の前記第1の面上に前記HF溶液を供給するのと同時に、前記基板の前記第2の面上に、前記HF溶液と異なる溶液を供給するステップと、
前記基板の前記第1の面上に前記HF溶液を供給した後、前記基板の前記HF被覆された第1の面上に、
NHOH、

O、
キレート化剤、及び
界面活性剤を含む洗浄液を供給するステップと
を含む基板の処理方法。
【請求項74
基板の処理方法であって、
枚葉基板洗浄ツールに、親水性表面を有する第1の面と、第2の面とを有する基板を配置するステップと、
前記枚葉基板洗浄ツールに前記基板を配置した後、前記基板をスピンするステップと、
前記基板をスピンする間、前記基板の前記第1の面上に前記疎水性表面を残すことができる程度に短い時間、前記基板の前記第1の面上にHF溶液を供給するステップと、
前記基板の前記第1の面上に前記HF溶液を供給するのと同時に、前記基板の前記第2の面上に前記HF溶液と異なる溶液を供給するステップと、
前記基板の前記第1の面上に前記HF溶液を供給した後、前記基板の前記第1の面上に洗浄液を供給するステップと
を含む基板の処理方法。
【請求項75
前記洗浄液は、
NHOH、

O、
キレート化剤、及び
界面活性剤を含む請求項74に記載の方法。
【請求項76
前記HF溶液は、前記基板上に5×1010原子/cm未満の濃度のアルミニウムを残すことができる時間、前記基板上に供給される請求項74に記載の方法。
【請求項77
基板の処理方法であって、
HF溶液で基板をエッチングするステップと、
前記基板をエッチングした後、
NHOH、

O、
キレート化剤、及び
界面活性剤を含む溶液で前記基板を洗浄するステップと、
前記基板を洗浄した後、前記基板を乾燥するステップと、
を含み、前記処理を3分以内に行う基板の処理方法。
【請求項78
基板の処理方法であって、
枚葉基板洗浄ツールに前記基板を配置するステップと、
前記枚葉基板洗浄ツールに前記基板を配置した後、前記基板をスピンするステップと、
前記基板をスピンする間、前記基板上に、
NHOH、

O、
キレート化剤、及び
界面活性剤を含む洗浄液を供給するステップと、
前記基板上に前記洗浄液を供給した後、第1のリンスで前記基板をリンスするステップと、
前記基板をリンスした後、2〜3秒間、前記基板上にフッ化水素酸溶液を供給するステップと、
前記基板を洗浄した後、第2のリンスで前記基板をリンスするステップと
を含む基板の処理方法。
【請求項79
前記第1のリンスと前記第2のリンスは、同じ溶液である請求項78に記載の方法。
【請求項80
基板の処理方法であって、
NHOH、

O、
キレート化剤、及び
界面活性剤を含む洗浄液で前記基板を洗浄するステップと、
前記基板を洗浄した後、第1のリンスで前記基板をリンスするステップと、
前記第1のリンスで前記基板をリンスした後、HF溶液で前記基板をエッチングするステップと、
前記基板をエッチングした後、第2のリンスで前記基板をリンスするステップと、
を含み、前記処理を3分以内に行う基板の処理方法。
【請求項81
前記第1のリンスと前記第2のリンスは、同じ溶液である請求項80に記載の方法。
【請求項82
基板の処理方法であって、
第1の面と第2の面とを有する基板をOアッシングし、前記Oアッシングを前記基板の前記第1の面に行うステップと、
前記基板の前記第1の面をOアッシングした後、
NHOH、

O、
キレート化剤、及び
界面活性剤を含む洗浄液で前記基板の前記第1の面を洗浄するステップと、
前記基板を洗浄した後、リンス溶液で前記基板をリンスするステップと
を含む基板の処理方法。
【請求項83
前記基板は、前記処理中にスピンされる請求項82に記載の方法。
【請求項84
前記処理中、前記基板に超音波が適用される請求項82に記載の方法。
【請求項85
前記基板の前記第1の面を洗浄する前に、前記基板の前記第1の面をリンスするステップをさらに含む請求項82に記載の方法。
【請求項86
前記基板の前記第1の面を洗浄した後、前記基板の前記第1の面をリンスするステップをさらに含む請求項82に記載の方法。
【請求項87
前記リンス溶液は、オゾン処理された水である請求項82に記載の方法。
【請求項88
前記基板をリンスした後、2000〜4000rpmの速度で前記基板をスピンすることにより、前記基板を洗浄した後に前記基板を乾燥するステップをさらに含む請求項82に記載の方法。
【請求項89
前記基板の前記第1の面を洗浄するのと同時に、前記洗浄液と異なる溶液で前記基板の前記第2の面を洗浄するステップをさらに含む請求項82に記載の方法。
【請求項90
基板の処理方法であって、
NHOH、

O、
キレート化剤、及び
界面活性剤を含む洗浄液で前記基板を洗浄するステップと、
前記基板を洗浄した後、前記基板をリンスするステップと、
前記基板をリンスした後、前記基板を乾燥するステップと、
前記洗浄、リンス及び乾燥を2分以内に行うステップと
を含む基板の処理方法。
【請求項91
基板の処理方法であって、
枚葉基板洗浄ツールに基板を配置するステップと、
前記枚葉基板洗浄ツールに前記基板を配置した後、前記基板をスピンするステップと、
前記基板をスピンする間、前記基板上にHF溶液を供給するステップと、
前記基板上に前記HF溶液を供給した後、前記基板上に、
NHOH、

O、
キレート化剤、及び
界面活性剤を含む洗浄液を供給するステップと
を含む基板の洗浄方法。
【請求項92
洗浄液であって、
NHOHと、
N,N’−ビス(2−ヒドロキシフェニル)エチレンジイミノ二酢酸(HPED)と
を含む洗浄液。
【請求項93
基板の洗浄方法であって、
枚葉基板洗浄ツールに前記基板を配置するステップと、
NHOH、及び
N,N’−ビス(2−ヒドロキシフェニル)エチレンジイミノ二酢酸(HPED)を含む洗浄液で前記基板を洗浄するステップと
を含む基板の洗浄方法。
【請求項94
洗浄液であって、
NHOHと、
トリエチレンテトラニトリロ六酢酸(TTHA)と
を含む洗浄液。
【請求項95
基板の洗浄方法であって、
枚葉基板洗浄ツールに基板を配置するステップと、
NHOH及びトリエチレンテトラニトリロ六酢酸(TTHA)を含む溶液で前記基板を洗浄するステップと
を含む基板の洗浄方法。
【請求項96
洗浄液であって、
NHOHと、
デスフェリフェリオキサミンBと
を含む洗浄液。
【請求項97
基板の洗浄方法であって、
枚葉基板洗浄ツールに基板を配置するステップと、
NHOH及びデスフェリフェリオキサミンBを含む溶液で前記基板を洗浄するステップと
を含む基板の洗浄方法。
【請求項98
前記リンス中、前記基板に超音波が適用される請求項97に記載の方法。
【請求項99
前記基板の第1の面に前記リンス溶液が適用され、前記基板の第2の面に前記リンス溶液と異なる溶液が適用される請求項97に記載の方法。
【請求項100
洗浄液であって、
NHOHと、
N,N’,N”−トリス[2−(N−ヒドロキシカルボニル)エチル]−1,3,5−ベンゼントリカルボキサミド(BAMTH)と
を含む洗浄液。
【請求項101
基板の洗浄方法であって、
枚葉基板洗浄ツールに基板を配置するステップと、
NHOH及びモリブデン酸を含む溶液で前記基板を洗浄するステップと
を含む基板の洗浄方法。
JP2002505659A 2000-06-26 2001-06-26 枚葉プロセスにおけるウェーハの洗浄方法及び洗浄液 Pending JP2004519088A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US21411600P 2000-06-26 2000-06-26
US09/891,730 US6927176B2 (en) 2000-06-26 2001-06-25 Cleaning method and solution for cleaning a wafer in a single wafer process
PCT/US2001/041160 WO2002001609A2 (en) 2000-06-26 2001-06-26 Cleaning method and solution for cleaning a wafer in a single wafer process

Publications (2)

Publication Number Publication Date
JP2004519088A JP2004519088A (ja) 2004-06-24
JP2004519088A5 true JP2004519088A5 (ja) 2008-08-21

Family

ID=26908694

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002505659A Pending JP2004519088A (ja) 2000-06-26 2001-06-26 枚葉プロセスにおけるウェーハの洗浄方法及び洗浄液

Country Status (3)

Country Link
US (5) US6927176B2 (ja)
JP (1) JP2004519088A (ja)
WO (1) WO2002001609A2 (ja)

Families Citing this family (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6927176B2 (en) * 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US7456113B2 (en) * 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US20030062069A1 (en) * 2000-09-08 2003-04-03 Semitool, Inc Apparatus and methods for removing metallic contamination from wafer containers
JP4046486B2 (ja) * 2001-06-13 2008-02-13 Necエレクトロニクス株式会社 洗浄水及びウエハの洗浄方法
US6837944B2 (en) * 2001-07-25 2005-01-04 Akrion Llc Cleaning and drying method and apparatus
TWI276682B (en) * 2001-11-16 2007-03-21 Mitsubishi Chem Corp Substrate surface cleaning liquid mediums and cleaning method
EP1347496A3 (en) * 2002-03-12 2006-05-03 Dainippon Screen Mfg. Co., Ltd. Substrate treating apparatus and substrate treating method
US6660635B1 (en) * 2002-03-20 2003-12-09 Taiwan Semiconductor Manufacturing Company Pre-LDD wet clean recipe to gain channel length scaling margin beyond sub-0.1 μm
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US20040002430A1 (en) * 2002-07-01 2004-01-01 Applied Materials, Inc. Using a time critical wafer cleaning solution by combining a chelating agent with an oxidizer at point-of-use
US8236382B2 (en) * 2002-09-30 2012-08-07 Lam Research Corporation Proximity substrate preparation sequence, and method, apparatus, and system for implementing the same
KR100675628B1 (ko) * 2002-10-16 2007-02-01 엘지.필립스 엘시디 주식회사 절연막 식각장치 및 식각방법
US8316866B2 (en) * 2003-06-27 2012-11-27 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US7648584B2 (en) * 2003-06-27 2010-01-19 Lam Research Corporation Method and apparatus for removing contamination from substrate
US7913703B1 (en) 2003-06-27 2011-03-29 Lam Research Corporation Method and apparatus for uniformly applying a multi-phase cleaning solution to a substrate
US7799141B2 (en) * 2003-06-27 2010-09-21 Lam Research Corporation Method and system for using a two-phases substrate cleaning compound
US8522801B2 (en) * 2003-06-27 2013-09-03 Lam Research Corporation Method and apparatus for cleaning a semiconductor substrate
US7737097B2 (en) * 2003-06-27 2010-06-15 Lam Research Corporation Method for removing contamination from a substrate and for making a cleaning solution
JP4494840B2 (ja) * 2003-06-27 2010-06-30 大日本スクリーン製造株式会社 異物除去装置、基板処理装置および基板処理方法
US20050000549A1 (en) * 2003-07-03 2005-01-06 Oikari James R. Wafer processing using gaseous antistatic agent during drying phase to control charge build-up
JP4397646B2 (ja) * 2003-07-30 2010-01-13 東京エレクトロン株式会社 基板処理装置および基板処理方法
US7568490B2 (en) * 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
US8043441B2 (en) 2005-06-15 2011-10-25 Lam Research Corporation Method and apparatus for cleaning a substrate using non-Newtonian fluids
US8522799B2 (en) * 2005-12-30 2013-09-03 Lam Research Corporation Apparatus and system for cleaning a substrate
US7862662B2 (en) * 2005-12-30 2011-01-04 Lam Research Corporation Method and material for cleaning a substrate
US7416370B2 (en) * 2005-06-15 2008-08-26 Lam Research Corporation Method and apparatus for transporting a substrate using non-Newtonian fluid
US8323420B2 (en) 2005-06-30 2012-12-04 Lam Research Corporation Method for removing material from semiconductor wafer and apparatus for performing the same
KR100634401B1 (ko) * 2004-08-03 2006-10-16 삼성전자주식회사 반도체 제조공정의 기판 처리 방법
US7718009B2 (en) * 2004-08-30 2010-05-18 Applied Materials, Inc. Cleaning submicron structures on a semiconductor wafer surface
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US7521374B2 (en) * 2004-11-23 2009-04-21 Applied Materials, Inc. Method and apparatus for cleaning semiconductor substrates
JP4308806B2 (ja) * 2004-12-21 2009-08-05 セイコーエプソン株式会社 半導体基板の処理方法、半導体部品および電子機器
US7919391B2 (en) * 2004-12-24 2011-04-05 S.O.I.Tec Silicon On Insulator Technologies Methods for preparing a bonding surface of a semiconductor wafer
KR100588217B1 (ko) * 2004-12-31 2006-06-08 동부일렉트로닉스 주식회사 반도체 소자의 게이트 산화막 형성 방법
US7681581B2 (en) * 2005-04-01 2010-03-23 Fsi International, Inc. Compact duct system incorporating moveable and nestable baffles for use in tools used to process microelectronic workpieces with one or more treatment fluids
FR2884647B1 (fr) * 2005-04-15 2008-02-22 Soitec Silicon On Insulator Traitement de plaques de semi-conducteurs
US20060254616A1 (en) * 2005-05-11 2006-11-16 Brian Brown Temperature control of a substrate during wet processes
JP2006352075A (ja) 2005-05-17 2006-12-28 Sumitomo Electric Ind Ltd 窒化物系化合物半導体および化合物半導体の洗浄方法、これらの製造方法および基板
US7432177B2 (en) * 2005-06-15 2008-10-07 Applied Materials, Inc. Post-ion implant cleaning for silicon on insulator substrate preparation
JP4813115B2 (ja) * 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
US20070068558A1 (en) * 2005-09-06 2007-03-29 Applied Materials, Inc. Apparatus and methods for mask cleaning
US20070093406A1 (en) * 2005-10-24 2007-04-26 Omoregie Henryson Novel cleaning process for masks and mask blanks
EP2428557A1 (en) * 2005-12-30 2012-03-14 LAM Research Corporation Cleaning solution
US7846266B1 (en) 2006-02-17 2010-12-07 Kla-Tencor Technologies Corporation Environment friendly methods and systems for template cleaning and reclaiming in imprint lithography technology
CN101389415A (zh) * 2006-02-22 2009-03-18 赛迈有限公司 单侧工件处理
US20070254098A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Apparatus for single-substrate processing with multiple chemicals and method of use
US20090235952A1 (en) * 2006-05-05 2009-09-24 Sez Ag Device and method for wet treating plate-like substrates
JP4799266B2 (ja) * 2006-05-18 2011-10-26 コバレントマテリアル株式会社 半導体装置の製造方法、半導体基板の製造方法および半導体基板
US7969548B2 (en) * 2006-05-22 2011-06-28 Asml Netherlands B.V. Lithographic apparatus and lithographic apparatus cleaning method
KR101437071B1 (ko) * 2006-07-07 2014-09-02 티이엘 에프에스아이, 인코포레이티드 액체 에어로솔 입자 제거 방법
JP2009543338A (ja) 2006-07-07 2009-12-03 エフエスアイ インターナショナル インコーポレーテッド 1つ以上の処理流体によりマイクロエレクトロニクス半製品を処理するために用いられる道具において使われる隔壁構造およびノズル装置
US7893493B2 (en) * 2006-07-10 2011-02-22 International Business Machines Corproation Stacking fault reduction in epitaxially grown silicon
US20080268617A1 (en) * 2006-08-09 2008-10-30 Applied Materials, Inc. Methods for substrate surface cleaning suitable for fabricating silicon-on-insulator structures
US7781140B2 (en) * 2006-08-17 2010-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fine pitch bump stripping
US20080115802A1 (en) * 2006-11-22 2008-05-22 Rey-Hsing Chiang Cleaning method for improving wafer surface polluted by metal ions
US20080148595A1 (en) * 2006-12-20 2008-06-26 Lam Research Corporation Method and apparatus for drying substrates using a surface tensions reducing gas
US20080156360A1 (en) * 2006-12-26 2008-07-03 Applied Materials, Inc. Horizontal megasonic module for cleaning substrates
SG144040A1 (en) * 2006-12-27 2008-07-29 Siltronic Ag Cleaning liquid and cleaning method for electronic material
US7694688B2 (en) 2007-01-05 2010-04-13 Applied Materials, Inc. Wet clean system design
US7897213B2 (en) * 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US20080230092A1 (en) * 2007-03-23 2008-09-25 Alexander Sou-Kang Ko Method and apparatus for single-substrate cleaning
US8283257B2 (en) * 2007-06-21 2012-10-09 Micron Technology, Inc. Systems and methods for oscillating exposure of a semiconductor workpiece to multiple chemistries
KR101060664B1 (ko) 2007-08-07 2011-08-31 에프에스아이 인터내쇼날 인크. 하나 이상의 처리유체로 전자소자를 처리하는 장비의 배리어 판 및 벤튜리 시스템의 세정방법 및 관련 장치
US8500913B2 (en) 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US7682457B2 (en) * 2007-10-04 2010-03-23 Applied Materials, Inc. Frontside structure damage protected megasonics clean
US20090117500A1 (en) * 2007-11-01 2009-05-07 Roman Gouk Photoresist strip with ozonated acetic acid solution
US8226775B2 (en) 2007-12-14 2012-07-24 Lam Research Corporation Methods for particle removal by single-phase and two-phase media
US20090233447A1 (en) * 2008-03-11 2009-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Control wafer reclamation process
JP2009231346A (ja) * 2008-03-19 2009-10-08 Toshiba Corp 基板処理装置および基板処理方法
KR20130083940A (ko) 2008-05-09 2013-07-23 티이엘 에프에스아이, 인코포레이티드 개방 동작 모드와 폐쇄 동작 모드사이를 용이하게 변경하는 처리실 설계를 이용하여 마이크로일렉트로닉 워크피이스를 처리하는 공구 및 방법
JP5251977B2 (ja) * 2008-06-02 2013-07-31 三菱瓦斯化学株式会社 半導体素子の洗浄方法
US8268085B2 (en) * 2009-03-20 2012-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming metal gate transistors
KR101024927B1 (ko) 2009-07-30 2011-03-31 노바테크인더스트리 주식회사 에칭 공정에서의 세정 방법
US8101561B2 (en) * 2009-11-17 2012-01-24 Wai Mun Lee Composition and method for treating semiconductor substrate surface
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
JP2012054451A (ja) * 2010-09-02 2012-03-15 Shin Etsu Chem Co Ltd 貼り合わせ基板の製造方法および半導体基板洗浄液
CN102064090B (zh) * 2010-10-15 2013-01-09 北京通美晶体技术有限公司 化合物半导体晶片清洗方法
US9005464B2 (en) 2011-06-27 2015-04-14 International Business Machines Corporation Tool for manufacturing semiconductor structures and method of use
US8641828B2 (en) 2011-07-13 2014-02-04 United Microelectronics Corp. Cleaning method of semiconductor manufacturing process
US9090854B2 (en) 2011-10-25 2015-07-28 Lam Research Ag Method and apparatus for processing wafer-shaped articles
CA3085086C (en) 2011-12-06 2023-08-08 Delta Faucet Company Ozone distribution in a faucet
CN104508072A (zh) 2012-02-15 2015-04-08 安格斯公司 用于cmp后去除的组合物及使用方法
CN102618936B (zh) * 2012-03-21 2015-01-14 北京通美晶体技术有限公司 砷化镓表面化学腐蚀方法和化学腐蚀液
US9808757B2 (en) * 2012-06-04 2017-11-07 The Southern Company Systems and methods for sequestering CO2
US9099481B2 (en) 2013-03-15 2015-08-04 Semiconductor Components Industries, Llc Methods of laser marking semiconductor substrates
WO2014178289A1 (ja) 2013-04-30 2014-11-06 オルガノ株式会社 銅露出基板の洗浄方法および洗浄システム
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
RU2537743C1 (ru) * 2013-10-03 2015-01-10 Закрытое Акционерное Общество "ТЕЛЕКОМ-СТВ" Способ предэпитаксиальной обработки поверхности германиевой подложки
JP2015185813A (ja) 2014-03-26 2015-10-22 株式会社Screenホールディングス 基板洗浄方法および基板洗浄装置
KR102338076B1 (ko) * 2014-10-06 2021-12-13 삼성디스플레이 주식회사 기판 처리 장치 및 이를 이용한 기판 처리 방법
CN108463437B (zh) 2015-12-21 2022-07-08 德尔塔阀门公司 包括消毒装置的流体输送系统
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
CN107619098A (zh) * 2016-08-19 2018-01-23 Mag技术株式会社 碳酸氢气水及其在基板表面的应用
JP6990034B2 (ja) * 2017-04-19 2022-01-12 株式会社Screenホールディングス 基板処理方法および基板処理装置
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
JP6934376B2 (ja) * 2017-09-20 2021-09-15 株式会社Screenホールディングス 基板処理方法および基板処理装置
IT201900006736A1 (it) 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di fabbricazione di package
IT201900006740A1 (it) * 2019-05-10 2020-11-10 Applied Materials Inc Procedimenti di strutturazione di substrati
US11931855B2 (en) 2019-06-17 2024-03-19 Applied Materials, Inc. Planarization methods for packaging substrates
CN112216608A (zh) * 2019-07-10 2021-01-12 中芯国际集成电路制造(上海)有限公司 生成物层的处理方法
US11862546B2 (en) 2019-11-27 2024-01-02 Applied Materials, Inc. Package core assembly and fabrication methods
US11257790B2 (en) 2020-03-10 2022-02-22 Applied Materials, Inc. High connectivity device stacking
US11454884B2 (en) 2020-04-15 2022-09-27 Applied Materials, Inc. Fluoropolymer stamp fabrication method
US11400545B2 (en) 2020-05-11 2022-08-02 Applied Materials, Inc. Laser ablation for package fabrication
US11232951B1 (en) 2020-07-14 2022-01-25 Applied Materials, Inc. Method and apparatus for laser drilling blind vias
US11676832B2 (en) 2020-07-24 2023-06-13 Applied Materials, Inc. Laser ablation system for package fabrication
US11521937B2 (en) 2020-11-16 2022-12-06 Applied Materials, Inc. Package structures with built-in EMI shielding
US11404318B2 (en) 2020-11-20 2022-08-02 Applied Materials, Inc. Methods of forming through-silicon vias in substrates for advanced packaging
US11705365B2 (en) 2021-05-18 2023-07-18 Applied Materials, Inc. Methods of micro-via formation for advanced packaging
TWI804275B (zh) * 2022-04-14 2023-06-01 欣興電子股份有限公司 蝕刻裝置
CN116631848A (zh) * 2023-07-20 2023-08-22 山东有研艾斯半导体材料有限公司 一种改善硅抛光片表面金属和颗粒品质的硅片的清洗方法
CN116759295B (zh) * 2023-08-14 2023-11-14 天府兴隆湖实验室 一种硅片清洗方法及硅片清洗设备

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB860866A (en) * 1956-07-13 1961-02-15 Smith & Sons Ltd S Improvements in driving mechanisms
US3045702A (en) * 1960-04-18 1962-07-24 Cenco Instr Corp Gas sampling valve
GB1103503A (en) * 1964-09-10 1968-02-14 Alfred Nigel Blades Apparatus and methods for dispensing measured volumes of fluid
US4243071A (en) * 1978-08-23 1981-01-06 Altex Scientific, Inc. Sample injection valve
JPS5551427A (en) 1978-10-09 1980-04-15 Kazuhiko Sakaoka Automatic mixer for liquids
US4326992A (en) * 1980-12-08 1982-04-27 Shell Oil Company Process for preparing a supported molybdenum carbide composition
US4911761A (en) * 1984-05-21 1990-03-27 Cfm Technologies Research Associates Process and apparatus for drying surfaces
US4554050A (en) * 1984-07-16 1985-11-19 At&T Bell Laboratories Etching of titanium
US4671852A (en) * 1986-05-07 1987-06-09 The Standard Oil Company Method of forming suspended gate, chemically sensitive field-effect transistor
DE3615859A1 (de) 1986-05-10 1987-11-12 Edeleanu Gmbh Verfahren zum mischen von partien eines fliessfaehigen mediums und vorrichtung zur durchfuehrung dieses verfahrens
DE3884435T2 (de) * 1987-03-25 1994-02-17 Hitachi Ltd Verfahren zur Erzeugung hochreinen Wassers und Verfahren zur Verwendung dieses Wassers.
US4817652A (en) * 1987-03-26 1989-04-04 Regents Of The University Of Minnesota System for surface and fluid cleaning
US5134445A (en) * 1989-02-14 1992-07-28 Canon Kabushiki Kaisha Sample inspecting method and apparatus
JP2746289B2 (ja) * 1989-09-09 1998-05-06 忠弘 大見 素子の作製方法並びに半導体素子およびその作製方法
US5820823A (en) * 1990-03-02 1998-10-13 Sievers Instruments, Inc. Method and apparatus for the measurement of dissolved carbon
US5071547A (en) * 1990-03-23 1991-12-10 Separations Technology, Inc. Column chromatographic column apparatus with switching capability
US5254143A (en) * 1990-07-09 1993-10-19 Dainippon Ink And Chemical, Inc. Diaphragm for gas-liquid contact, gas-liquid contact apparatus and process for producing liquid containing gas dissolved therein
US5370269A (en) * 1990-09-17 1994-12-06 Applied Chemical Solutions Process and apparatus for precise volumetric diluting/mixing of chemicals
DE69231971T2 (de) * 1991-01-24 2002-04-04 Wako Pure Chem Ind Ltd Lösungen zur Oberflächenbehandlung von Halbleitern
JP3075290B2 (ja) * 1991-02-28 2000-08-14 三菱瓦斯化学株式会社 半導体基板の洗浄液
US5279704A (en) * 1991-04-23 1994-01-18 Honda Giken Kogyo Kabushiki Kaisha Method of fabricating semiconductor device
KR930008856B1 (ko) * 1991-05-15 1993-09-16 금성일렉트론 주식회사 혼합용액의 일정비율 혼합장치
JP2677468B2 (ja) * 1991-10-04 1997-11-17 オルガノ株式会社 純水の製造方法及び装置
TW263531B (ja) 1992-03-11 1995-11-21 Mitsubishi Gas Chemical Co
JP3115095B2 (ja) 1992-04-20 2000-12-04 ディップソール株式会社 無電解メッキ液及びそれを使用するメッキ方法
JPH0760082A (ja) 1993-08-31 1995-03-07 Mitsubishi Rayon Co Ltd 超純水の比抵抗調整方法及び装置
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
JP3422117B2 (ja) * 1994-01-28 2003-06-30 和光純薬工業株式会社 新規な表面処理方法及び処理剤
TW274630B (ja) 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
KR0164007B1 (ko) * 1994-04-06 1999-02-01 이시다 아키라 미세 패턴화된 레지스트막을 가지는 기판의 건조처리방법 및 장치
US5472516A (en) * 1994-04-15 1995-12-05 At&T Corp. Process and apparatus for semiconductor device fabrication
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5853491A (en) * 1994-06-27 1998-12-29 Siemens Aktiengesellschaft Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5637151A (en) 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5520205A (en) * 1994-07-01 1996-05-28 Texas Instruments Incorporated Apparatus for wafer cleaning with rotation
JPH08148457A (ja) * 1994-11-15 1996-06-07 Tadahiro Omi ウェットステーション並びにそのウェットステーションを用いたウェット洗浄方法及びウェット洗浄装置
TW386235B (en) 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
KR100429440B1 (ko) 1995-07-27 2004-07-15 미쓰비시 가가꾸 가부시키가이샤 기체의표면처리방법및그에사용되는표면처리조성물
JP3690619B2 (ja) 1996-01-12 2005-08-31 忠弘 大見 洗浄方法及び洗浄装置
US6030754A (en) * 1996-02-05 2000-02-29 Texas Instruments Incorporated Photoresist removal without organic solvent following ashing operation
US6082373A (en) * 1996-07-05 2000-07-04 Kabushiki Kaisha Toshiba Cleaning method
US6132522A (en) * 1996-07-19 2000-10-17 Cfmt, Inc. Wet processing methods for the manufacture of electronic components using sequential chemical processing
US5938847A (en) * 1996-09-03 1999-08-17 Tokyo Electron Limited Method and apparatus for coating a film on an object being processed
US6265781B1 (en) 1996-10-19 2001-07-24 Micron Technology, Inc. Methods and solutions for cleaning polished aluminum-containing layers, methods for making metallization structures, and the structures resulting from these methods
KR100497835B1 (ko) 1997-01-27 2005-09-08 미쓰비시 가가꾸 가부시키가이샤 표면처리조성물및이를이용한기판의표면처리방법
US6164632A (en) * 1997-02-05 2000-12-26 Mitsubishi Rayon Co., Ltd. Method for the preparation of a carbonate spring
EP0968699B1 (en) * 1997-02-05 2002-09-11 Mitsubishi Rayon Company, Ltd. Method of manufacturing carbonated spring
US5800626A (en) 1997-02-18 1998-09-01 International Business Machines Corporation Control of gas content in process liquids for improved megasonic cleaning of semiconductor wafers and microelectronics substrates
US5922136A (en) 1997-03-28 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Post-CMP cleaner apparatus and method
JPH10324502A (ja) 1997-05-21 1998-12-08 Dainippon Ink & Chem Inc 超純水の炭酸ガス付加装置及び付加方法
JP2002505037A (ja) * 1997-06-13 2002-02-12 シーエフエムテイ・インコーポレーテツド 半導体ウェーハ処理方法
US6454871B1 (en) * 1997-06-23 2002-09-24 Princeton Trade & Technology, Inc. Method of cleaning passageways using a mixed phase flow of gas and a liquid
US6074935A (en) * 1997-06-25 2000-06-13 Siemens Aktiengesellschaft Method of reducing the formation of watermarks on semiconductor wafers
US6517637B1 (en) 1997-07-23 2003-02-11 Taiwan Semiconductor Manufacturing Co., Ltd Method for cleaning wafers with ionized water
US5962384A (en) * 1997-10-28 1999-10-05 International Business Machines Corporation Method for cleaning semiconductor devices
US6884721B2 (en) 1997-12-25 2005-04-26 Shin-Etsu Handotai Co., Ltd. Silicon wafer storage water and silicon wafer storage method
JP3962468B2 (ja) * 1997-12-25 2007-08-22 花王株式会社 洗浄剤組成物
JP2000162789A (ja) 1997-12-26 2000-06-16 Canon Inc 基体の洗浄方法および洗浄装置
US6100198A (en) * 1998-02-27 2000-08-08 Micron Technology, Inc. Post-planarization, pre-oxide removal ozone treatment
JPH11260778A (ja) * 1998-03-06 1999-09-24 Sony Corp 枚葉式表面洗浄方法及び装置
US6138995A (en) * 1998-03-31 2000-10-31 Permea, Inc. Dispense of beverage containing controlled levels of dissolved gas
JP4200565B2 (ja) 1998-06-24 2008-12-24 日立金属株式会社 電子部品の洗浄方法
JP2000077380A (ja) * 1998-08-28 2000-03-14 Neos Co Ltd シリコンウエハ製造工程用洗浄剤組成物
US6152148A (en) 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
JP3006596B1 (ja) * 1998-09-09 2000-02-07 日本電気株式会社 半導体装置の製造方法
US6063695A (en) * 1998-11-16 2000-05-16 Taiwan Semiconductor Manufacturing Company Simplified process for the fabrication of deep clear laser marks using a photoresist mask
AU3108700A (en) * 1998-12-02 2000-06-19 Massachusetts Institute Of Technology Integrated palladium-based micromembranes for hydrogen separation and hydrogenation/dehydrogenation reactions
US6582496B1 (en) * 2000-01-28 2003-06-24 Mykrolis Corporation Hollow fiber membrane contactor
US6167891B1 (en) * 1999-05-25 2001-01-02 Infineon Technologies North America Corp. Temperature controlled degassification of deionized water for megasonic cleaning of semiconductor wafers
US6328905B1 (en) 1999-08-12 2001-12-11 Advanced Micro Devices, Inc. Residue removal by CO2 water rinse in conjunction with post metal etch plasma strip
US6211055B1 (en) 1999-08-16 2001-04-03 Promos Technology, Inc. Wet-dry-wet process in wet station
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
GB2368971B (en) 2000-11-11 2005-01-05 Pure Wafer Ltd Process for Reclaimimg Wafer Substrates
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6884634B2 (en) 2002-09-27 2005-04-26 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Specifying method for Cu contamination processes and detecting method for Cu contamination during reclamation of silicon wafers, and reclamation method of silicon wafers
US20040226654A1 (en) * 2002-12-17 2004-11-18 Akihisa Hongo Substrate processing apparatus and substrate processing method
US20070068558A1 (en) * 2005-09-06 2007-03-29 Applied Materials, Inc. Apparatus and methods for mask cleaning

Similar Documents

Publication Publication Date Title
JP2004519088A5 (ja) 枚葉プロセスにおける基板の洗浄方法及び洗浄液
US7261835B2 (en) Acid blend for removing etch residue
JP3690619B2 (ja) 洗浄方法及び洗浄装置
JP2004519088A (ja) 枚葉プロセスにおけるウェーハの洗浄方法及び洗浄液
TW200302272A (en) Substrate surface cleaning liquid mediums and cleaning method
US6346505B1 (en) Cleaning solution for electromaterials and method for using same
JPH08195369A (ja) 基板の洗浄方法
US20020119245A1 (en) Method for etching electronic components containing tantalum
TW200902705A (en) Process for cleaning a semiconductor wafer using a cleaning solution
JP2000091289A (ja) 半導体集積回路装置の製造方法
JP3889271B2 (ja) 半導体装置の製造方法
JP2001053050A (ja) 半導体基板の洗浄方法
JP6575643B2 (ja) シリコンウェーハの製造方法
JP3624809B2 (ja) 洗浄剤組成物、洗浄方法及びその用途
CN112928017A (zh) 有效去除硅片表面金属的清洗方法
JPS63274149A (ja) 半導体処理剤
JP3358180B2 (ja) 半導体ウェハの湿式化学的表面処理法
JP6529715B2 (ja) シリコンウェーハの製造方法
JP2569574B2 (ja) 半導体処理剤
JPH10183185A (ja) 洗浄液、その配合決定方法ならびに製造方法、洗浄方法、および、半導体基板の製造方法
JP2007150196A (ja) 半導体ウエーハの洗浄方法および製造方法
JP3533366B2 (ja) 半導体基板の洗浄処理及びウェットエッチング処理を同時に行う方法
JPH0831781A (ja) 洗浄薬液
JP2001217215A (ja) 半導体基板の表面処理用組成物および表面処理方法
EP1132951A1 (en) Process of cleaning silicon prior to formation of the gate oxide