JP2002217178A - 処理装置及び処理方法 - Google Patents

処理装置及び処理方法

Info

Publication number
JP2002217178A
JP2002217178A JP2001014348A JP2001014348A JP2002217178A JP 2002217178 A JP2002217178 A JP 2002217178A JP 2001014348 A JP2001014348 A JP 2001014348A JP 2001014348 A JP2001014348 A JP 2001014348A JP 2002217178 A JP2002217178 A JP 2002217178A
Authority
JP
Japan
Prior art keywords
processing
temperature
gas
mounting table
heat medium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001014348A
Other languages
English (en)
Other versions
JP4644943B2 (ja
Inventor
Hirobumi Kitayama
博文 北山
Noriaki Matsushima
範昭 松島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2001014348A priority Critical patent/JP4644943B2/ja
Priority to US10/466,116 priority patent/US7235137B2/en
Priority to PCT/JP2001/010796 priority patent/WO2002059953A1/ja
Priority to KR1020037009495A priority patent/KR100842948B1/ko
Publication of JP2002217178A publication Critical patent/JP2002217178A/ja
Application granted granted Critical
Publication of JP4644943B2 publication Critical patent/JP4644943B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/14Substrate holders or susceptors
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/16Feed and outlet means for the gases; Modifying the flow of the gases

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 1つの処理容器内において低温処理と高温処
理ができ、しかも昇温操作と比較して長い時間を要する
降温操作を迅速に行うことが可能な処理装置を提供す
る。 【解決手段】 真空引き可能になされた処理容器24
と、前記処理容器内へ必要な処理ガスを供給する処理ガ
ス供給手段148と、処理すべき被処理体Wを載置する
載置台40とを有する処理装置において、前記載置台に
熱媒体を流すための熱媒体流路50を形成し、前記熱媒
体流路に、低温処理を行うための冷却用熱媒体と高温処
理を行うための加熱用熱媒体とを選択的に供給する熱媒
体選択供給機構54を接続するように構成する。これに
より、1つの処理容器内において低温処理と高温処理が
でき、しかも昇温操作と比較して長い時間を要する降温
操作を迅速に行う。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、シリコン基板等の
半導体ウエハなどに所定の2つの処理を連続的に行う処
理装置及び処理方法に関する。
【0002】
【従来の技術】一般に、半導体集積回路を形成するため
には、シリコン基板等の半導体ウエハの表面に成膜処
理、酸化拡散処理、アニール処理、改質処理、エッチン
グ処理等の各種の処理が繰り返し施されることになる。
この場合、上記各処理の処理直前に、半導体ウエハ表面
に自然酸化膜(SiO )が生成されていると、半導
体集積回路の電気的特性が劣化したり、最悪の場合には
不良品となる場合もあった。そこで、必要な場合には上
記各種処理を行う直前に半導体ウエハ表面に付着してい
る自然酸化膜を除去する処理、すなわちプレクリーニン
グ(Pre−Cleaning)が行われている。ここ
で従来のプレクリーニング処理の一例について説明す
る。ここではプレクリーニング処理として、まず、自然
酸化膜を昇華し易い中間体に変換し、その後、これを加
熱することにより中間体を昇華させて自然酸化膜を除去
するようにした、2段階プロセスのプレクリーニング処
理について説明する。
【0003】図8は自然酸化膜を中間体に変換する従来
の処理装置の一例を示す概略構成図、図9は中間体を昇
華させる従来の処理装置の一例を示す概略構成図であ
る。まず、図8に示すように、処理装置1の真空引き可
能になされた処理容器2の載置台4上にシリコン基板よ
りなる半導体ウエハWを載置する。この半導体ウエハW
の表面には、すでに不必要な自然酸化膜が付着してい
る。この処理容器2の天井部には、例えば2.45GH
zのマイクロ波によりN ガスとH ガスとからプラ
ズマを形成するためのリモートプラズマ発生機構6が設
けられており、上記プラズマを利用して水素活性種(H
*)や窒素活性種(N*)を形成するようになってい
る。そして、減圧状態に維持された処理容器2内におい
て、載置台4に冷却水を流してウエハ温度を例えば15
℃程度の比較的低温に維持しつつ、処理ガスとしてNF
ガスを導入する。これにより、NF ガス等と上記
各活性種であるH*やN*が自然酸化膜に作用して反応
し、自然酸化膜は中間体である(NHSiF
に変換される結果、中間体膜8が形成されることにな
る。
【0004】次に、表面に中間体膜8が形成された半導
体ウエハWをこの処理装置から取り出して図9に示すよ
うな別の処理装置10内へ導入する。この処理装置10
内の載置台12には、加熱手段として例えば抵抗加熱ヒ
ータ14を設けており、例えばN ガスの減圧雰囲気
下において、この半導体ウエハWを、例えば200℃程
度の比較的高温に維持する。これにより、上記半導体ウ
エハ表面に付着していた中間体膜8は、熱分解して昇華
し、H 、N 、NH 、SiF 、HOガス等
になって排出されて行き、これにより、半導体ウエハ表
面から自然酸化膜を除去することができる。
【0005】
【発明が解決しようとする課題】ところで、上述したよ
うなプレクリーニング処理では、中間体の形成を行う処
理装置1と、この中間体を昇華させる処理装置10の2
つの装置が必要であることから、大幅な設備コストを余
儀なくされてしまう、といった問題があった。特に、複
数の各種の処理装置を、トランスチャンバの周囲に、い
わばすずなり状に接続してなるクラスタツール装置にあ
っては、接続できる装置数は制限されていることから、
上述したようにプレクリーニング処理を行うだけで2つ
の処理装置を設けるのは、処理効率の上からも好ましく
なかった。本発明は、以上のような問題点に着目し、こ
れを有効に解決すべく創案されたものである。本発明の
目的は、1つの処理容器内において低温処理と高温処理
ができ、しかも昇温操作と比較して長い時間を要する降
温操作を迅速に行うことが可能な処理装置及び処理方法
を提供することにある。
【0006】
【課題を解決するための手段】請求項1に規定する発明
は、真空引き可能になされた処理容器と、前記処理容器
内へ必要な処理ガスを供給する処理ガス供給手段と、処
理すべき被処理体を載置する載置台とを有する処理装置
において、前記載置台に熱媒体を流すための熱媒体流路
を形成し、前記熱媒体流路に、低温処理を行うための冷
却用熱媒体と高温処理を行うための加熱用熱媒体とを選
択的に供給する熱媒体選択供給機構を接続するように構
成したものである。これにより、載置台の熱媒体流路に
冷却用熱媒体と高温用熱媒体とを選択的に流すことによ
り、同一の処理容器内において低温処理と高温処理とを
連続的に、且つ迅速に行うことが可能となる。
【0007】また、例えば請求項2に規定するように、
前記載置台には、補助熱媒体流路が形成されており、前
記補助熱媒体流路には必要時に前記高温処理後に前記載
置台の降温を促進させるための冷媒を流すための冷媒供
給機構を接続するように構成してもよい。これによれ
ば、載置台の降温時に補助熱媒体流路に冷媒を流すこと
により、載置台の降温操作を更に迅速に行うことが可能
となり、その分、スループットを向上させることが可能
となる。
【0008】この場合、例えば請求項3に規定するよう
に、前記載置台は、上段ブロックと下段ブロックとに上
下2段に分離して接合されており、前記上段ブロックに
は前記熱媒体流路が形成され、前記下段ブロックには前
記補助熱媒体流路が形成されているようにしてもよい。
上記の場合、例えば請求項4に規定するように、前記上
段ブロックと前記下段ブロックとの接合部には、不活性
ガスよりなる熱対流用ガスを供給する熱対流用ガス供給
機構が接続されているようにしてもよい。これによれ
ば、上段ブロックと下段ブロックとの間の微細な隙間
(接合部)に熱対流用ガスが導入されるので、両ブロッ
ク間の熱伝達効率を促進させて、載置台の降温操作を一
段と迅速に行うことが可能となる。
【0009】また、例えば請求項5に規定するように、
前記処理容器には、必要な活性種を発生させて前記処理
容器内へ導入するための活性種発生機構が接続されてい
る。また、例えば請求項6に規定するように、前記低温
処理は、前記被処理体の表面に形成されている自然酸化
膜を中間体へ変換する中間体形成処理であり、前記高温
処理は、前記中間体を昇華させる昇華処理である。
【0010】また、例えば請求項7に規定するように、
前記中間体形成処理では、プラズマガスとしてN
スとH ガスとを用い、処理ガスとしてNF ガスと
SF ガスとCF ガスの内の少なくとも一種を用い
る。請求項8に係る発明は、上記処理装置を用いて実施
される方法発明であり、すなわち、真空引き可能になさ
れた処理容器と、前記処理容器内へ必要な処理ガスを供
給する処理ガス供給手段と、処理すべき被処理体を載置
する載置台とを有する処理装置を用いた処理方法におい
て、前記載置台上に載置した被処理体に対して所定の低
温の温度範囲において低温処理を行う低温処理工程と、
前記低温処理工程に引き続いて前記載置台を加熱するこ
とにより前記被処理体を昇温して所定の高温の温度範囲
内において高温処理を行う高温処理工程とを有する。
【0011】この場合、例えば請求項9に規定するよう
に、前記低温処理工程は、前記被処理体の表面に形成さ
れている自然酸化膜を中間体へ変換する工程であり、前
記高温処理工程は、前記中間体を昇華させる工程であ
る。また、例えば請求項10に規定するように、前記所
定の低温の温度範囲は10〜25℃であり、前記所定の
高温の温度範囲は200〜400℃である。
【0012】
【発明の実施の形態】以下に、本発明に係る処理装置及
び処理方法の一実施例を添付図面に基づいて詳述する。
図1は本発明に係る処理装置を示す構成図、図2は図1
中の載置台中に形成された熱媒体流路を示す水平断面
図、図3は図1中の載置台中に形成された補助熱媒体流
路を示す水平断面図である。ここでは、処理として前述
したプレクリーニング処理を行う場合を例にとって説明
する。この処理装置20は、図示するように例えばアル
ミニウムにより内部が筒体状に成形された処理容器24
を有している。この処理容器24の底部26の中心部に
は、挿通孔28が形成されると共に周辺部には、排気口
30が形成されており、この排気口30には、図示しな
い真空引きポンプ等を介設した真空排気系32が接続さ
れており、容器内部を真空引き可能としている。この排
気口30は、容器底部26に複数個、例えば等間隔で同
一円周上に4個程度設けられ、各排気口30は、真空排
気系32により共通に真空引きされている。
【0013】また、この処理容器24の側壁の一部に
は、ウエハ搬出入口34が設けられ、ここに真空引き可
能になされたトランスファチャンバ36との間を連通・
遮断する前記ゲートバルブ38を設けている。尚、トラ
ンスファチャンバ36に替えて、ロードロック室を設け
る場合もある。この処理容器24内には、例えば表面が
アルマイト処理されたアルミニウム製の円板状の載置台
40が設けられ、この上面に被処理体としての例えばシ
リコン基板よりなる半導体ウエハWを載置するようにな
っている。この載置台40の下面中央部には下方に延び
る中空円筒状の脚部42が一体的に形成されている。こ
の脚部42の下端は上記容器底部26の挿通孔28の周
辺部にOリング等のシール部材44を介在させてボルト
等を用いて気密に取り付け固定される。従って、この中
空脚部42内は、外側に開放され、処理容器24内に対
して気密状態となっている。
【0014】上記載置台40は、薄い円板状の上段ブロ
ック40Aと、同じく薄い円板状の下段ブロック40B
とを上下2段に分離して接合されて構成されている。そ
して、上段及び下段ブロック40A、40Bはそれぞれ
2cm程度の厚さに設定されると共に、両ブロック40
A、40Bの接合部には、その周縁部に沿ってOリング
等のシール部材46が介在されており、両ブロック40
A、40B間に形成される微細な隙間48を処理容器2
4内側に対して気密にシールしている。そして、上記上
段ブロック40A内には、図2にも示すように、断面が
例えば矩形状になされた熱媒体流路50が上段ブロック
40Aの全域に亘って例えば1〜2周程度、あたかも巻
回するように形成されている。また、上記下段ブロック
40B内にも、図3にも示すように、断面が例えば矩形
状になされた熱媒体流路52が下段ブロック40Bの全
域に亘って例えば1〜2周程度、あたかも巻回するよう
に形成されている。尚、これらの流路50、52の巻回
数は上述したものに限定されず、更に多く設定してもよ
い。
【0015】そして、上記上段ブロック40Aの熱媒体
流路50には、本発明の特徴とする熱媒体選択供給機構
54が接続されており、低温処理を行うための冷却用熱
媒体と高温処理を行うための加熱用熱媒体とを選択的に
供給し得るようになっている。ここでは、上記冷却用熱
媒体と加熱用熱媒体とは共に不活性ガス、例えば窒素ガ
スを用いており、この窒素ガスの温度を変えることによ
って、冷却と加熱の双方を行うようになっている。具体
的には、上記熱媒体流路50の媒体入口50Aには、下
段ブロック40Bに形成した貫通孔56を挿通させて主
媒体供給ライン58が接続されると共に、上記熱媒体流
路50の媒体出口50Bには、下段ブロック40Bに形
成した貫通孔60を挿通させて主媒体排出ライン62が
接続されている。
【0016】上記主媒体供給ライン58の基端部には、
熱媒体となる不活性ガス、例えば窒素ガスを貯留するガ
ス源64が接続されている。そして、この主媒体供給ラ
イン58の途中は、2つに分岐されて一方には、窒素ガ
スを所定の温度、例えば10〜25℃に冷却して維持す
る冷却部66が介設されると共に、他方には窒素ガスを
所定の温度、例えば200〜400℃に加熱して維持す
る加熱部68が介設される。そして、上記主媒体供給ラ
イン58の分岐点には、それぞれ例えば3方弁よりなる
上流側切替弁70と下流側切替弁72とが介設されてお
り、冷却或いは加熱された熱媒体のいずれか一方を選択
的に流すようになっている。そして、上記ガス源64と
上記上流側切替弁70との間の主媒体供給ライン58に
は、開閉弁74及びマスフローコントローラのような流
量制御器76が順次介設されている。尚、上記窒素ガス
を循環使用させるようにしてもよい。
【0017】一方、上記下段ブロック40Bの補助熱媒
体流路52には、冷媒供給機構80が接続されており、
必要時に載置台40の降温を促進させ得るようになって
いる。ここでは、冷媒として、例えば冷却水が用いられ
るがこれに限定されない。具体的には、上記補助熱媒体
流路52の媒体入口52Aには、補助媒体供給ライン8
2が接続されると共に、上記補助熱媒体流路52の媒体
出口52Bには、補助媒体排出ライン84が接続されて
いる。そして、上記両ライン82、84は、補助冷媒を
貯留してこれを圧送する補助冷媒源86にて接続されて
おり、冷却水を循環使用するようになっている。そし
て、この補助冷媒源86の上流側の補助媒体供給ライン
82には、これに流れる冷却水の温度を所定の冷却温
度、例えば10〜25℃に維持する冷却部88と開閉弁
90とが順次介設されている。
【0018】更に、上記上段ブロック40Aと下段ブロ
ック42Bとの接合部の僅かな隙間48には、これに不
活性ガスよりなる熱対流用ガス、例えばヘリウムガスを
供給するための熱対流用ガス供給機構92が接続され
る。具体的には、上記隙間48に熱対流用ガスライン9
4を接続し、このライン94の基端部にヘリウム源96
を接続している。尚、ヘリウムガスに替えてアルゴンガ
スを用いるようにしてもよい。そして、この熱対流用ガ
スライン94には、上記ヘリウム源96より開閉弁98
及びマスフローコントローラのような流量制御器100
が順次介設されている。
【0019】一方、上記載置台40の下方には、複数
本、例えば3本のL字状のリフタピン102(図示例で
は2本のみ記す)が上方へ起立させて設けられており、
このリフタピン102の基部は、リング部材104に共
通に接続されている。そして、このリング部材104を
処理容器底部に貫通して設けられた押し上げ棒106に
より上下動させることにより、上記リフタピン102を
載置台40に貫通させて設けたリフタピン穴108に挿
通させてウエハWを持ち上げ得るようになっている。上
記押し上げ棒106の容器底部の貫通部には、処理容器
24において内部の気密状態を保持するために伸縮可能
なベローズ110が介設され、この押し上げ棒106の
下端はアクチュエータ112に接続されている。
【0020】また、この処理容器24の天井部には、シ
ール部材114を介して天井板116が気密に設けられ
ると共に、この天井板116の中央部には比較的大口径
の活性種導入孔118が形成されている。そして、この
活性種導入孔118に、必要な活性種を発生させて下方
の処理容器24内へ導入するための活性種発生機構12
0が設置されている。具体的には、この活性種導入孔1
18の上部には、下端が開放された有天井の円筒体状の
プラズマ容器122がシール部材124を介して気密に
取り付けられている。このプラズマ容器122は、例え
ば石英やセラミックス材などの絶縁材よりなる。このプ
ラズマ容器122の周囲には、一端が接地されたマイク
ロ波コイル126が適当数だけ巻回されている。
【0021】そして、このコイル126の他端には、マ
ッチング回路128を介して例えば2.45GHzのマ
イクロ波発生器130が接続されており、上記プラズマ
容器122内にマイクロ波を導入して後述するようにプ
ラズマを立てるようになっている。そして、このプラズ
マ容器122の天井部には、ガス導入口132が形成さ
れており、このガス導入口132には、活性種用ガスラ
イン134が接続されている。そして、この活性種用ガ
スライン134には、活性種用ガスとしてNガスとH
ガスを貯留するN ガス源136及びH ガス源
138が分岐してそれぞれ接続される。そして、各分岐
路には、それぞれ開閉弁140、142及びマスフロー
コントローラのような流量制御器144、146が順次
介設されており、必要に応じて上記N ガスやH
スを流量制御しつつ供給し得るようになっている。
【0022】そして、上記活性種導入孔118の周囲の
天井板116には、処理ガス供給手段148の一部を構
成する複数のガス導入口150が形成されており、この
ガス導入口150には、処理ガスライン152が接続さ
れている。この処理ガスライン152には、処理ガスと
してNF ガスを貯留するNF ガス源154が接続
されると共に、途中には、開閉弁156及びマスフロー
コントローラのような流量制御器158が下流側に向け
て順次介設されている。尚、図示されていないが、載置
台40の上段、下段ブロック40A、40Bの接合部に
おいて、リフタピン孔108の周囲や貫通孔56、60
の周囲にも、Oリング等のシール部材が介在されてお
り、処理容器24内や隙間48内の気密性を維持してい
るのは勿論である。
【0023】次に、以上のように構成された処理装置を
用いて行なわれる本発明の処理方法について図4及び図
5も参照して説明する。図4は中間体の作成を行う低温
処理工程を説明するための説明図、図5は中間体を昇華
させるための高温処理工程を説明するための説明図、図
6は処理方法の流れを示すフローチャートである。ま
ず、真空状態に維持された処理容器24内に、トランス
ファチャンバ36側からウエハ搬出入口34を介して未
処理の半導体ウエハWを搬入し、これを載置台40上に
載置する(図6中の点P1)。この半導体ウエハWのシ
リコン表面には、種々の要因から僅かに不要な自然酸化
膜が付着している。このウエハWの搬入に先立って、載
置台40の上段ブロック40Aの熱媒体流路50には、
冷却用熱媒体が流されており、また、下段ブロック40
Bの補助熱媒体流路52には冷媒として冷却水が流され
ており、載置台40は所定の温度に維持されている。
尚、実際には、直前に行われた高温処理の温度から載置
台40は十分に降温されて上記した所定の温度に維持さ
れている。
【0024】具体的には、熱媒体選択供給機構54にお
いては、主媒体供給ライン58に介設された上流側切替
弁70と下流側切替弁72は、熱媒体が冷却部66側を
流れるように切り替えられている。従って、ガス源64
から流量制御されつつ流れ出した窒素ガスは、冷却部6
6にて所定の低温(室温)10〜25℃の範囲内に冷却
維持され、この冷却用媒体は主媒体供給ライン58を流
れて上段ブロック40Aの熱媒体流路50に至り、そし
て、この熱媒体流路50の全体を流れた後に、主媒体排
出ライン62から排出されることになる。これにより、
上段ブロック40Aは、高温状態から十分に降温冷却さ
れることになる。また、この載置台40の降温を促進さ
せるために、冷媒供給機構80においては、補助媒体供
給ライン82と補助媒体排出ライン84とを用いた循環
ラインにより、冷媒として冷却水が下段ブロック40B
の補助熱媒体流路52に流されて循環している。この冷
却水は、冷却部88により上記冷却時の窒素ガスと同じ
温度、例えば10〜25℃の範囲内に設定維持されてい
る。これにより、下段ブロック40Bも高温状態から十
分に降温冷却されて、上記した所定の温度を維持してい
る。
【0025】この時、上段ブロック40Aを迅速に降温
させて所定の温度に安定的に維持するには、熱対流用ガ
ス供給機構92を動作させて、この熱対流用ガスライン
94から隙間48にHeガスを供給し、これにより上段
ブロック40Aから下段ブロック40Bへの熱伝達効率
を上げるようにするのがよい。尚、上記冷却されたN
ガスよりなる低温用熱媒体のみで、十分迅速に載置台
40を冷却し得るならば、冷却水及びHeガスの使用は
省略してもよい。
【0026】このようにして、10〜25℃程度に維持
されている載置台40上に、上述したように半導体ウエ
ハWが載置されたならば、この処理容器24内を密閉し
て、容器内部を真空引きしつつ、処理ガスとしてNF
ガスを流量制御しつつガス導入口150から処理容器
24内へ導入すると共に、活性種用ガスとしてN
スとH ガスとを活性種発生機構120のガス導入口
132からプラズマ容器122内へ流量制御しつつ導入
する。これと同時に、マイクロ波コイル126へ2.4
5GHzのマイクロ波を印加し、これにより、図4に示
すようにマイクロ波によりH ガスとN ガスのプラ
ズマが発生してこのプラズマにより両ガスの活性主H
*、N*及びこれらの活性種が結合して別の活性種NH
*が生成されることになる。
【0027】これらの活性種はH*、N*、NH*はプ
ラズマ容器122内を降下して処理容器24内に流入
し、ここで処理ガスであるNF ガスと反応してNF
Hxとなり、更に、これらのガスや活性種が、半導
体ウエハ表面の自然酸化膜(SiO )と反応して、
これが(NH SiF よりなる中間体に変換
され、ウエハ表面に中間体膜8が形成されることにな
る。この時のプロセス圧力は例えば532Pa(4To
rr)程度、ウエハWが300mmサイズの時の各ガス
の流量は、例えばN ガス(プラズマ用)が1リット
ル/min、H ガスが50sccm程度、NF
スが150sccm程度、プロセス時間が60秒程度で
ある。尚、これらの各数値は単に一例を示したに過ぎ
ず、これに限定されない。また、プロセス温度が、10
℃より低い場合、或いは25℃よりも高い場合には、い
ずれの場合にも自然酸化膜を十分に中間体へ変換させる
ことができない。このようにして、所定の時間だけ低温
処理工程を行って中間体を作成したならば(図6中の点
P2)、次に、高温処理工程へ移行して上記中間体を昇
華させる。
【0028】まず、図5に示すように、処理ガスである
NF ガスの供給及びH ガスの供給をそれぞれ停止
し、また、マイクロ波コイル126へのマイクロ波の印
加も停止し、プラズマや活性種を発生させないようにす
る。尚、N ガス源136からのN ガスの供給は引
き続いて行い、処理容器24内をN ガス雰囲気にす
る。これと同時に、冷媒供給機構80の動作を停止して
冷却水を補助熱媒体流路52内へ流さないようにし、更
に、上下段ブロック40A、40B間の隙間48へのH
eガスの供給も停止する。そして、熱媒体選択供給機構
54にあっては、冷却部66の両側の上流側切替弁70
と下流側切替弁72とを切り替えて加熱部68側へN
ガスが流れるように設定する。これにより、加熱部6
8ではN ガスが所定の高温、例えば200〜400
℃程度の範囲内に加熱されて、N ガスは今度は加熱
用熱媒体(ホットN )となって上段ブロック40A
の熱媒体流路50内に沿って流れることになり、この載
置台40を直ちに加熱昇温する。
【0029】このようにして、載置台40の温度が昇温
して所定の高温状態で安定したならば(図6中の点P
3)、この状態で所定の時間、例えば30秒程度だけ高
温処理を施す。これにより、ウエハ表面上の中間体膜8
は、熱分解してH 、NH、N 、SiF ガス
等となって飛んでしまい、昇華してしまう。この時のプ
ロセス圧力は、例えば93Pa(0.7Torr)程度
である。この場合、プロセス温度が200℃よりも低い
と中間体膜8が十分に昇華せず、また、400℃よりも
高いと、製造すべき回路素子の種類にもよるが、電気的
特性が急激に劣化する恐れがあるし、また、処理容器壁
等から不純物金属が排出されてウエハが金属汚染を生ず
る恐れもある。
【0030】このようにして、高温処理工程が終了した
ならば(図6中の点P4)、スループットを考慮して載
置台40を迅速に冷却して降温させるために、先に図6
中の点P1−点P2間で説明したような操作を行う。す
なわち、上段ブロック40Aの熱媒体流路50に流れる
熱媒体を、加熱用熱媒体から冷却用熱媒体へ切り替え、
下段ブロック40Bの補助熱媒体流路52へ冷媒として
冷却水を流しはじめると共に、上下段ブロック40A、
40B間の隙間48へHeガスを流しはじめる。これに
より、前述したように載置台40の温度を急速に10〜
25℃に向けて低下させる。尚、この時も、前述したよ
うに冷却されたN ガスよりなる低温用熱媒体のみ
で、十分迅速に載置台40を冷却し得るならば、冷却水
及びHeガスの使用は省略してもよい。そして、半導体
ウエハWのハンドリング温度になったならば、処理済み
のウエハと未処理のウエハの差し替えを行う(図6中の
点P5)。
【0031】このようにして、載置台40の温度が所定
の低温状態になって、ウエハ温度も安定したならば(図
6中の点P6)、前述したと同様に、低温処理工程を行
えばよい。以後は、上述したようにこのような低温処理
工程と高温処理工程とを繰り返し行うことになる。この
ようにして、1つの処理装置内において、低温処理工
程、例えば中間体の形成操作と、高温処理工程、例えば
中間体の昇華操作とを、スループットを低下させること
なく迅速に連続的に行うことが可能となる。また、一般
的に昇温よりも降温により多くの時間を要する載置台4
0の熱的特性に鑑みて、降温時のみに下段ブロック40
Bの補助熱媒体52に冷媒(冷却水)を流すようにすれ
ば、載置台40の降温速度を促進させることができ、ス
ループットを一層向上させることが可能となる。
【0032】更には、上下段ブロック40A、40B間
の隙間48に、降温時にHeガスなどの熱対流用ガスを
供給すれば、両ブロック40A、40B間の熱移動が促
進され、更に、載置台40の降温速度を促進させること
が可能となる。また、ここでは冷却用熱媒体及び加熱用
媒体として窒素ガスを用いたが、これに限定されず、例
えばAr、He等を用いることができる。また、この熱
媒体として、気体に限らず液体、例えば温水等を用いる
ことができる。また、ここでは補助熱媒体流路52に流
す冷媒として冷却水を用いたが、これに限定されず、他
の冷媒、例えばガルデン(商品名)、フロリナート(商
品名)等も用いることができる。更には、ここでは処理
ガスとしてNF ガスを用いたが、これに限定され
ず、NF ガス、SF ガス、CF ガスの内の少
なくとも一種を用いることができる。
【0033】また、上記実施例では、載置台40を、上
下段ブロック40A、40Bの2つのブロックに分割さ
れたものを接合して構成した場合を例にとって説明した
が、これに限定されず、図7に示すように、載置台40
として薄い一枚の円板状のブロック内に、熱媒体流路5
0と補助熱媒体流路52を別々に形成するうにしてもよ
い。これによれば、載置台40自体の熱容量を小さくし
て、載置台40の昇温操作及び降温操作を一層迅速に行
うことが可能となる。また、ここでは低温処理工程と高
温処理工程として、ウエハ表面から自然酸化膜を除去す
るプレクリーニング処理を例にとって説明したが、これ
に限定されず、2つの異なる温度帯域で連続的に処理す
る工程ならばどのような処理にも本発明を適用すること
ができる。
【0034】
【発明の効果】以上説明したように、本発明の処理装置
及び処理方法によれば、次のように優れた作用効果を発
揮することができる。請求項1、5、6、7、8、9、
10に係る発明によれば、載置台の熱媒体流路に冷却用
熱媒体と高温用熱媒体とを選択的に流すことにより、同
一の処理容器内において低温処理と高温処理とを連続的
に、且つ迅速に行うことができる。請求項2、3に係る
発明によれば、載置台の降温時に補助熱媒体流路に冷媒
を流すことにより、載置台の降温操作を更に迅速に行う
ことが可能となり、その分、スループットを向上させる
ことができる。請求項4に係る発明によれば、上段ブロ
ックと下段ブロックとの間の微細な隙間(接合部)に熱
対流用ガスが導入されるので、両ブロック間の熱伝達効
率を促進させて、載置台の降温操作を一段と迅速に行う
ことができる。
【図面の簡単な説明】
【図1】本発明に係る処理装置を示す構成図である。
【図2】図1中の載置台中に形成された熱媒体流路を示
す水平断面図である。
【図3】図1中の載置台中に形成された補助熱媒体流路
を示す水平断面図である。
【図4】中間体の作成を行う低温処理工程を説明するた
めの説明図である。
【図5】中間体を昇華させるための高温処理工程を説明
するための説明図である。
【図6】処理方法の流れを示すフローチャートである。
【図7】本発明の処理装置の変形例を示す構成図であ
る。
【図8】自然酸化膜を中間体に変換する従来の処理装置
の一例を示す概略構成図である。
【図9】中間体を昇華させる従来の処理装置の一例を示
す概略構成図である。
【符号の説明】
8 中間体膜 20 処理装置 24 処理容器 40 載置台 50 熱媒体流路 52 補助媒体流路 54 熱媒体選択供給機構 58 主媒体供給ライン 62 主媒体排出ライン 66 冷却部 68 加熱部 70 上流側切替弁 72 下流側切替弁 80 冷媒供給機構 82 補助媒体供給ライン 84 補助媒体排出ライン 86 補助冷媒源 92 熱対流用ガス供給機構 94 熱対流用ガスライン 96 ヘリウム源 120 活性種発生機構 122 プラズマ容器 126 マイクロ波コイル 130 マイクロ波発生器 148 処理ガス供給手段 154 NF ガス源 W 半導体ウエハ(被処理体)
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 4K030 CA04 CA12 DA04 FA02 FA10 GA02 JA10 KA23 KA26 4M104 DD23 5F004 AA14 BA20 BB18 BB25 BB26 CA01 CA04 DA01 DA17 DA18 DA24 DA25 DB03 EA28 5F033 QQ12 QQ15 QQ94 WW03

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 真空引き可能になされた処理容器と、前
    記処理容器内へ必要な処理ガスを供給する処理ガス供給
    手段と、処理すべき被処理体を載置する載置台とを有す
    る処理装置において、前記載置台に熱媒体を流すための
    熱媒体流路を形成し、前記熱媒体流路に、低温処理を行
    うための冷却用熱媒体と高温処理を行うための加熱用熱
    媒体とを選択的に供給する熱媒体選択供給機構を接続す
    るように構成したことを特徴とする処理装置。
  2. 【請求項2】 前記載置台には、補助熱媒体流路が形成
    されており、前記補助熱媒体流路には必要時に前記高温
    処理後に前記載置台の降温を促進させるための冷媒を流
    すための冷媒供給機構を接続するように構成したことを
    特徴とする請求項1記載の処理装置。
  3. 【請求項3】 前記載置台は、上段ブロックと下段ブロ
    ックとに上下2段に分離して接合されており、前記上段
    ブロックには前記熱媒体流路が形成され、前記下段ブロ
    ックには前記補助熱媒体流路が形成されていることを特
    徴とする請求項2記載の処理装置。
  4. 【請求項4】 前記上段ブロックと前記下段ブロックと
    の接合部には、不活性ガスよりなる熱対流用ガスを供給
    する熱対流用ガス供給機構が接続されていることを特徴
    とする請求項3記載の処理装置。
  5. 【請求項5】 前記処理容器には、必要な活性種を発生
    させて前記処理容器内へ導入するための活性種発生機構
    が接続されていることを特徴とする請求項1乃至4のい
    ずれかに記載の処理装置。
  6. 【請求項6】 前記低温処理は、前記被処理体の表面に
    形成されている自然酸化膜を中間体へ変換する中間体形
    成処理であり、前記高温処理は、前記中間体を昇華させ
    る昇華処理であることを特徴とする請求項1乃至5のい
    ずれかに記載の処理装置。
  7. 【請求項7】 前記中間体形成処理では、プラズマガス
    としてN ガスとHガスとを用い、処理ガスとして
    NF ガスとSF ガスとCF ガスの内の少なく
    とも一種を用いるようにしたことを特徴とする請求項6
    記載の処理装置。
  8. 【請求項8】 真空引き可能になされた処理容器と、前
    記処理容器内へ必要な処理ガスを供給する処理ガス供給
    手段と、処理すべき被処理体を載置する載置台とを有す
    る処理装置を用いた処理方法において、 前記載置台上に載置した被処理体に対して所定の低温の
    温度範囲において低温処理を行う低温処理工程と、 前記低温処理工程に引き続いて前記載置台を加熱するこ
    とにより前記被処理体を昇温して所定の高温の温度範囲
    内において高温処理を行う高温処理工程とを有すること
    を特徴とする処理方法。
  9. 【請求項9】 前記低温処理工程は、前記被処理体の表
    面に形成されている自然酸化膜を中間体へ変換する工程
    であり、前記高温処理工程は、前記中間体を昇華させる
    工程であることを特徴とする請求項8記載の処理方法。
  10. 【請求項10】 前記所定の低温の温度範囲は10〜2
    5℃であり、前記所定の高温の温度範囲は200〜40
    0℃であることを特徴とする請求項8または9記載の処
    理方法。
JP2001014348A 2001-01-23 2001-01-23 処理装置 Expired - Fee Related JP4644943B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2001014348A JP4644943B2 (ja) 2001-01-23 2001-01-23 処理装置
US10/466,116 US7235137B2 (en) 2001-01-23 2001-12-10 Conductor treating single-wafer type treating device and method for semi-conductor treating
PCT/JP2001/010796 WO2002059953A1 (fr) 2001-01-23 2001-12-10 Dispositif de traitement de type a plaquette unique et procede de traitement de semi-conducteur
KR1020037009495A KR100842948B1 (ko) 2001-01-23 2001-12-10 반도체 처리용 낱장식 처리 장치 및 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001014348A JP4644943B2 (ja) 2001-01-23 2001-01-23 処理装置

Publications (2)

Publication Number Publication Date
JP2002217178A true JP2002217178A (ja) 2002-08-02
JP4644943B2 JP4644943B2 (ja) 2011-03-09

Family

ID=18881059

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001014348A Expired - Fee Related JP4644943B2 (ja) 2001-01-23 2001-01-23 処理装置

Country Status (4)

Country Link
US (1) US7235137B2 (ja)
JP (1) JP4644943B2 (ja)
KR (1) KR100842948B1 (ja)
WO (1) WO2002059953A1 (ja)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
JP2007507104A (ja) * 2003-09-26 2007-03-22 東京エレクトロン株式会社 連絡空間を用いた効率的な温度制御のための方法と装置
JP2007081170A (ja) * 2005-09-14 2007-03-29 Tokyo Electron Ltd 基板処理装置、cor処理モジュール、及び基板リフト装置
JP2008187063A (ja) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp プラズマ処理装置
JP2008192643A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
JP2009013497A (ja) * 2007-06-29 2009-01-22 Tts:Kk 半導体製造装置
JP2009512224A (ja) * 2005-10-17 2009-03-19 アーテーテー システムズ ゲーエムベーハー ハイブリッドチャック
JP2010510649A (ja) * 2006-11-17 2010-04-02 セントロターム・サーマル・ソルーションズ・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング・ウント・コンパニー・コマンデイトゲゼルシヤフト 基層の熱処理方法及び熱処理装置
US20100122774A1 (en) * 2008-11-20 2010-05-20 Tokyo Electron Limited Substrate mounting table and substrate processing apparatus having same
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法
JP2011519471A (ja) * 2008-03-27 2011-07-07 ビジョンセミコン カンパニー リミテッド 洗浄チェンバを備えた半導体パネル用プラズマ洗浄装置
US8007591B2 (en) 2004-01-30 2011-08-30 Tokyo Electron Limited Substrate holder having a fluid gap and method of fabricating the substrate holder
WO2012002393A1 (ja) * 2010-06-28 2012-01-05 株式会社アルバック 酸化膜の除去方法
US8092602B2 (en) 2002-11-29 2012-01-10 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP2012015286A (ja) * 2010-06-30 2012-01-19 Tokyo Electron Ltd 基板処理装置
JP2013522882A (ja) * 2010-03-10 2013-06-13 アプライド マテリアルズ インコーポレイテッド 周期的な酸化およびエッチングのための装置と方法
JP2013522884A (ja) * 2010-03-10 2013-06-13 アプライド マテリアルズ インコーポレイテッド 周期的な酸化およびエッチングのための装置と方法
KR20150115014A (ko) * 2013-03-08 2015-10-13 닛폰 하츠죠 가부시키가이샤 기판 지지 장치
JP2017174889A (ja) * 2016-03-22 2017-09-28 東京エレクトロン株式会社 被加工物の処理装置
JP2018125463A (ja) * 2017-02-02 2018-08-09 東京エレクトロン株式会社 被加工物の処理装置
US10418258B2 (en) 2011-07-20 2019-09-17 Tokyo Electron Limited Mounting table temperature control device and substrate processing apparatus
JP2020505764A (ja) * 2017-01-16 2020-02-20 イーアールエス エレクトロニック ゲーエムベーハーERS electronic GmbH 基板の温度を制御するための装置及びその製造方法
JP2020145238A (ja) * 2019-03-04 2020-09-10 日本碍子株式会社 ウエハ載置装置
JP2022016319A (ja) * 2020-07-10 2022-01-21 セメス株式会社 基板処理装置及び基板支持ユニット

Families Citing this family (512)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7750654B2 (en) * 2002-09-02 2010-07-06 Octec Inc. Probe method, prober, and electrode reducing/plasma-etching processing mechanism
CN100421209C (zh) * 2003-03-07 2008-09-24 东京毅力科创株式会社 衬底处理装置和温度调节装置
KR100943588B1 (ko) * 2003-09-19 2010-02-23 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
WO2006103773A1 (ja) * 2005-03-30 2006-10-05 Mimasu Semiconductor Industry Co., Ltd. スピン処理方法及び装置
JP4793927B2 (ja) * 2005-11-24 2011-10-12 東京エレクトロン株式会社 基板処理方法及びその装置
JP2007311540A (ja) * 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
KR100798813B1 (ko) * 2006-07-25 2008-01-28 삼성전자주식회사 정전척 어셈블리 및 정전척 온도 제어방법
US8034181B2 (en) * 2007-02-28 2011-10-11 Hitachi High-Technologies Corporation Plasma processing apparatus
US9091491B2 (en) * 2008-02-22 2015-07-28 Applied Materials, Inc. Cooling plates and semiconductor apparatus thereof
US20090286397A1 (en) * 2008-05-15 2009-11-19 Lam Research Corporation Selective inductive double patterning
US8596336B2 (en) * 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control
JP2010010397A (ja) * 2008-06-27 2010-01-14 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US8323410B2 (en) * 2008-07-31 2012-12-04 Tokyo Electron Limited High throughput chemical treatment system and method of operating
US8303715B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput thermal treatment system and method of operating
US8303716B2 (en) * 2008-07-31 2012-11-06 Tokyo Electron Limited High throughput processing system for chemical treatment and thermal treatment and method of operating
GB0814025D0 (en) * 2008-08-01 2008-09-10 Goodrich Control Sys Ltd Fuel pumping system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120285621A1 (en) * 2011-05-10 2012-11-15 Applied Materials, Inc. Semiconductor chamber apparatus for dielectric processing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
US9948214B2 (en) * 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9353441B2 (en) * 2012-10-05 2016-05-31 Asm Ip Holding B.V. Heating/cooling pedestal for semiconductor-processing apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8748322B1 (en) * 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
JP2015069770A (ja) * 2013-09-27 2015-04-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
JP6190278B2 (ja) * 2014-01-08 2017-08-30 東京エレクトロン株式会社 熱交換システム及び同熱交換システムを有する基板処理装置
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US20170140956A1 (en) * 2015-11-13 2017-05-18 Varian Semiconductor Equipment Associates, Inc. Single Piece Ceramic Platen
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN105575866A (zh) * 2016-01-06 2016-05-11 京东方科技集团股份有限公司 搬送装置、搬送方法及蒸镀设备
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6820206B2 (ja) * 2017-01-24 2021-01-27 東京エレクトロン株式会社 被加工物を処理する方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102030068B1 (ko) * 2017-10-12 2019-10-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
JP7015219B2 (ja) * 2018-06-29 2022-02-02 株式会社Screenホールディングス 基板処理方法および基板処理装置
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7254542B2 (ja) * 2019-02-01 2023-04-10 東京エレクトロン株式会社 載置台及び基板処理装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP6918042B2 (ja) * 2019-03-26 2021-08-11 日本碍子株式会社 ウエハ載置装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN113053715B (zh) * 2019-12-27 2023-03-31 中微半导体设备(上海)股份有限公司 下电极组件、等离子体处理装置及其工作方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07130830A (ja) * 1993-11-05 1995-05-19 Sony Corp 半導体製造装置
JPH0936097A (ja) * 1995-07-18 1997-02-07 Sony Corp 温度調整装置
JP2000208498A (ja) * 1998-11-11 2000-07-28 Tokyo Electron Ltd 表面処理方法及びその装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2981243B2 (ja) 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US5177878A (en) * 1989-05-08 1993-01-12 U.S. Philips Corporation Apparatus and method for treating flat substrate under reduced pressure in the manufacture of electronic devices
US5078851A (en) * 1989-07-26 1992-01-07 Kouji Nishihata Low-temperature plasma processor
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US6063710A (en) 1996-02-26 2000-05-16 Sony Corporation Method and apparatus for dry etching with temperature control
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
KR100605884B1 (ko) * 1998-11-11 2006-08-01 동경 엘렉트론 주식회사 표면 처리 방법 및 장치
US6461801B1 (en) * 1999-05-27 2002-10-08 Matrix Integrated Systems, Inc. Rapid heating and cooling of workpiece chucks
JP4592916B2 (ja) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07130830A (ja) * 1993-11-05 1995-05-19 Sony Corp 半導体製造装置
JPH0936097A (ja) * 1995-07-18 1997-02-07 Sony Corp 温度調整装置
JP2000208498A (ja) * 1998-11-11 2000-07-28 Tokyo Electron Ltd 表面処理方法及びその装置

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US8927907B2 (en) 2002-11-29 2015-01-06 Tokyo Electron Limited Thermally zoned substrate holder assembly
US8092602B2 (en) 2002-11-29 2012-01-10 Tokyo Electron Limited Thermally zoned substrate holder assembly
JP2007507104A (ja) * 2003-09-26 2007-03-22 東京エレクトロン株式会社 連絡空間を用いた効率的な温度制御のための方法と装置
JP4782682B2 (ja) * 2003-09-26 2011-09-28 東京エレクトロン株式会社 連絡空間を用いた効率的な温度制御のための方法と装置
US8007591B2 (en) 2004-01-30 2011-08-30 Tokyo Electron Limited Substrate holder having a fluid gap and method of fabricating the substrate holder
JP2007081170A (ja) * 2005-09-14 2007-03-29 Tokyo Electron Ltd 基板処理装置、cor処理モジュール、及び基板リフト装置
JP2009512224A (ja) * 2005-10-17 2009-03-19 アーテーテー システムズ ゲーエムベーハー ハイブリッドチャック
JP2010510649A (ja) * 2006-11-17 2010-04-02 セントロターム・サーマル・ソルーションズ・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング・ウント・コンパニー・コマンデイトゲゼルシヤフト 基層の熱処理方法及び熱処理装置
JP2008187063A (ja) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp プラズマ処理装置
JP2008192643A (ja) * 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
JP2009013497A (ja) * 2007-06-29 2009-01-22 Tts:Kk 半導体製造装置
JP2011519471A (ja) * 2008-03-27 2011-07-07 ビジョンセミコン カンパニー リミテッド 洗浄チェンバを備えた半導体パネル用プラズマ洗浄装置
JP2010123809A (ja) * 2008-11-20 2010-06-03 Tokyo Electron Ltd 基板載置台および基板処理装置
US20100122774A1 (en) * 2008-11-20 2010-05-20 Tokyo Electron Limited Substrate mounting table and substrate processing apparatus having same
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法
JP2013522882A (ja) * 2010-03-10 2013-06-13 アプライド マテリアルズ インコーポレイテッド 周期的な酸化およびエッチングのための装置と方法
JP2013522884A (ja) * 2010-03-10 2013-06-13 アプライド マテリアルズ インコーポレイテッド 周期的な酸化およびエッチングのための装置と方法
WO2012002393A1 (ja) * 2010-06-28 2012-01-05 株式会社アルバック 酸化膜の除去方法
JP5420077B2 (ja) * 2010-06-28 2014-02-19 株式会社アルバック 酸化膜の除去方法
JP2012015286A (ja) * 2010-06-30 2012-01-19 Tokyo Electron Ltd 基板処理装置
US10418258B2 (en) 2011-07-20 2019-09-17 Tokyo Electron Limited Mounting table temperature control device and substrate processing apparatus
US9551071B2 (en) 2013-03-08 2017-01-24 Nhk Spring Co., Ltd. Substrate support device
KR101719444B1 (ko) * 2013-03-08 2017-03-23 닛폰 하츠죠 가부시키가이샤 기판 지지 장치
KR20150115014A (ko) * 2013-03-08 2015-10-13 닛폰 하츠죠 가부시키가이샤 기판 지지 장치
JP2017174889A (ja) * 2016-03-22 2017-09-28 東京エレクトロン株式会社 被加工物の処理装置
JP2020505764A (ja) * 2017-01-16 2020-02-20 イーアールエス エレクトロニック ゲーエムベーハーERS electronic GmbH 基板の温度を制御するための装置及びその製造方法
JP2018125463A (ja) * 2017-02-02 2018-08-09 東京エレクトロン株式会社 被加工物の処理装置
US11404251B2 (en) 2017-02-02 2022-08-02 Tokyo Electron Limited Processing apparatus for processing target object
JP2020145238A (ja) * 2019-03-04 2020-09-10 日本碍子株式会社 ウエハ載置装置
JP7221737B2 (ja) 2019-03-04 2023-02-14 日本碍子株式会社 ウエハ載置装置
US11784078B2 (en) 2019-03-04 2023-10-10 Ngk Insulators, Ltd. Wafer placement apparatus
JP2022016319A (ja) * 2020-07-10 2022-01-21 セメス株式会社 基板処理装置及び基板支持ユニット
JP7209775B2 (ja) 2020-07-10 2023-01-20 セメス株式会社 基板処理装置及び基板支持ユニット

Also Published As

Publication number Publication date
US20040097088A1 (en) 2004-05-20
KR20030074713A (ko) 2003-09-19
US7235137B2 (en) 2007-06-26
KR100842948B1 (ko) 2008-07-01
WO2002059953A1 (fr) 2002-08-01
JP4644943B2 (ja) 2011-03-09

Similar Documents

Publication Publication Date Title
JP4644943B2 (ja) 処理装置
KR100768598B1 (ko) 기판을 가열 및 냉각하는 방법 및 장치
US8196619B2 (en) Load lock apparatus, processing system and substrate processing method
CN110504157B (zh) 基板处理方法和基板处理装置
JP2008539564A (ja) 異なる環境での処理を可能とする基板処理プラットフォーム
JPWO2002065532A1 (ja) 被処理体の処理方法及びその処理装置
JP4660926B2 (ja) 枚葉式の処理装置
JPH1116858A (ja) 成膜装置のクリーニング方法及び処理方法
JP3258885B2 (ja) 成膜処理装置
TW201236078A (en) Substrate processing apparatus, substrate supporting tool and method of manufacturing semiconductor device
JP2008235309A (ja) 基板処理装置、基板処理方法および記録媒体
JP4927623B2 (ja) ロードロック装置の昇圧方法
JP3204836B2 (ja) プラズマ処理方法およびプラズマ処理装置
JP3253002B2 (ja) 処理装置
JP2002299329A (ja) 熱処理装置、熱処理方法及びクリーニング方法
JP2005259858A (ja) 基板処理装置
JP2003059899A (ja) 基板処理装置
JP3297857B2 (ja) クラスタツール装置
JP3184666B2 (ja) プラズマ装置の運転方法
US11393696B2 (en) Method of controlling substrate treatment apparatus, substrate treatment apparatus, and cluster system
JP2001007117A (ja) 処理装置及び処理方法
KR102653253B1 (ko) 기판 처리 방법 및 기판 처리 장치
JP2000114186A (ja) 半導体製造装置及びウエハ処理方法
JP2006134901A (ja) 基板処理装置
JP2004349332A (ja) ロードロック装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100720

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100908

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101109

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101122

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131217

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees