JP2013522884A - 周期的な酸化およびエッチングのための装置と方法 - Google Patents

周期的な酸化およびエッチングのための装置と方法 Download PDF

Info

Publication number
JP2013522884A
JP2013522884A JP2012557251A JP2012557251A JP2013522884A JP 2013522884 A JP2013522884 A JP 2013522884A JP 2012557251 A JP2012557251 A JP 2012557251A JP 2012557251 A JP2012557251 A JP 2012557251A JP 2013522884 A JP2013522884 A JP 2013522884A
Authority
JP
Japan
Prior art keywords
gas
chamber
plasma
substrate
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012557251A
Other languages
English (en)
Other versions
JP5921448B2 (ja
JP2013522884A5 (ja
Inventor
ウダヤン ガングリー,
好隆 横田
クリストファー, エス. オルセン,
マシュー, ディー. スコットニー−キャッスル,
ヴィッキー グエン,
スワミナタン スリニバサン,
ウェイ リウ,
エフ. スウェンバーグ,ヨハネス,
ノゼ, エー. マリン,
アジト バラクリシュナ,
ジェイコブ ニューマン,
ステファン, シー. ヒッカーソン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013522884A publication Critical patent/JP2013522884A/ja
Publication of JP2013522884A5 publication Critical patent/JP2013522884A5/ja
Application granted granted Critical
Publication of JP5921448B2 publication Critical patent/JP5921448B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

狭ピッチの適用分野に適した半導体デバイスを製造する装置およびその製造方法が、本明細書に記載されている。材料層の表面を酸化させて酸化物層を形成し;エッチングプロセスによって酸化物層の少なくとも一部を除去し;材料層が所望の形状に形成されるまで酸化および除去処理を周期的に繰り返すことによって、材料層を形成および/または成形するように構成された様々な単一のチャンバが開示されている。いくつかの実施形態では、材料層は、半導体デバイスの浮遊ゲートとすることができる。

Description

本発明の実施形態は、一般に、半導体製造のプロセスとデバイスの分野に関し、具体的には、狭ピッチの適用分野に使用するのに適したデバイスを製造する装置と方法に関する。
単にデバイス構造を縮小することによって半導体デバイスをスケール変更すると、小さい寸法では、許容できる結果が得られないことが多い。たとえば、NANDフラッシュメモリデバイスでは、浮遊ゲートがスケール変更されると、浮遊ゲートの表面積に応じて浮遊ゲートの容量結合(たとえば、側壁容量)がスケール変更される。したがって、浮遊ゲートの表面積が小さければ小さいほど、浮遊ゲートと、たとえば制御ゲートとの間の容量結合も小さくなる。通常、NANDメモリデバイスが引き続き機能する限り、スケール変更のために容量結合を犠牲にする妥協を許容することができる。しかし残念ながら、デバイスノードがかなり小さくなり、その結果、浮遊ゲートと制御ゲートとの間の容量結合が許容動作電圧でデバイスを効果的にプログラムするには小さくなりすぎると、スケール変更は制限される。さらに、隣接する浮遊ゲート間の寄生容量(すなわち、ノイズ)は、NANDメモリデバイス内のシステムコントローラの読取り誤差に対する限界を超えて増大する。したがって、そのような条件下では、NANDデバイスは機能することができない。
デバイス、たとえばNANDデバイスおよび他のデバイスの製造方法および装置が提供される。
本明細書では、狭ピッチの適用分野に適した半導体デバイスを製造する装置および方法について記載する。本明細書に記載する様々な装置および方法は、特定のタイプのデバイスの製造に限定されるものではないが、本明細書に記載する装置および方法は、浮遊ゲートを含み、浮遊ゲートの基部近傍の第1の幅が浮遊ゲートの頂部近傍の第2の幅より大きい半導体デバイスを製造するのに特に適している。いくつかの実施形態では、浮遊ゲートの幅は、第1の幅から第2の幅まで非直線的に低減する。
いくつかの実施形態では、基板を処理する装置は、基板を支持するように構成された基板支持体が中に配置された処理チャンバであって、この基板支持体が、基板支持体の温度を第1の温度近傍に制御するように結合された温度制御システムをさらに有する処理チャンバと;少なくとも酸素含有ガス、不活性ガス、およびエッチングガスを提供するガス源と;ガス源によって提供されるガスにエネルギーを提供して酸化プラズマまたはエッチングプラズマの少なくとも1つを形成するように処理チャンバに結合されたプラズマ源と;基板にエネルギーを提供して基板の温度を第1の温度を上回る第2の温度まで選択的に上昇させるように処理チャンバに結合された熱源とを含むことができる。本発明の他のさらなる実施形態について、以下に記載する。
1つまたは複数の実施形態によれば、酸化(および/または窒化)ならびにエッチングステップの全処理シーケンスは、チャンバ内で約3分未満で完了することができる。特定の実施形態では、酸化および/または窒化ならびにエッチングステップの全処理シーケンスは、チャンバ内で約2分未満で完了することができ、さらに特定の実施形態では、酸化および/または窒化ならびにエッチングステップの全処理シーケンスは、チャンバ内で約1分未満、たとえば45秒または30秒で完了することができる。
本発明の上述の特徴を詳細に理解できるように、実施形態を参照すれば、上記で簡単に要約した本発明のより具体的な説明を得ることができる。実施形態の一部を、添付の図面に示す。しかし、本発明は他の等しく効果的な実施形態も許容しうるため、添付の図面は本発明の典型的な実施形態のみを示し、したがって本発明の範囲を限定すると見なすべきではないことに留意されたい。
本発明のいくつかの実施形態による方法および装置を利用して作られた浮遊ゲートを有する半導体構造を示す図である。 本発明のいくつかの実施形態による浮遊ゲートを形成する方法の流れ図である。 図2の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 図2の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 図2の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 本発明のいくつかの実施形態による浮遊ゲートを形成する方法の流れ図である。 図4の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 図4の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 図4の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 図4の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 図4の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 本発明のいくつかの実施形態による浮遊ゲートを形成する方法の流れ図である。 図6の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 図6の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 図6の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 図6の方法のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 AおよびBは、図6の方法のいくつかの実施形態による浮遊ゲートの製造段階を示す図である。 本発明のいくつかの実施形態によって酸化物厚さを時間の関数として示す概略図である。 本発明のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 本発明のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 本発明のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 本発明のいくつかの実施形態による浮遊ゲートの一製造段階を示す図である。 A〜Cは、本発明のいくつかの実施形態による構造の製造段階を示す図である。 本発明のいくつかの実施形態による例示的な処理チャンバを示す図である。 本発明のいくつかの実施形態による第1の例示的な修正されたプラズマ処理チャンバを示す図である。 いくつかの実施形態によるチャンバ内で使用できる基板支持体冷却システムの例示的な実施形態を示す図である。 本発明のいくつかの実施形態による第2の例示的な修正されたプラズマ処理チャンバを示す図である。 本発明のいくつかの実施形態による第3の例示的な修正されたプラズマ処理チャンバを示す図である。 1つまたは複数の実施形態のチャンバによって材料表面を加熱するために使用できる光源システムを示す図である。 1つまたは複数の実施形態による材料表面を加熱するために使用できる、図16の光源システムのさらなる細部を示す図である。 本発明の一実施形態による周期的な酸化およびエッチングを実行するための修正されたチャンバを示す図である。 図18のチャンバの頂部部分を示す図である。 図18のチャンバの下部を示す図である。 1つまたは複数の実施形態による修正された急速熱処理チャンバを示す図である。 図21のチャンバ内で使用されるガス分配プレートを示す図である。
図面は見やすいように簡略化されており、原寸に比例して描かれていない。理解を容易にするために、複数の図に共通の同一の要素を指すには、可能な限り同一の参照番号を使用した。一実施形態のいくつかの要素を他の実施形態に有益に組み込むことができることが企図される。
単一のチャンバ内で半導体デバイスの材料層の表面を酸化させて酸化物層を形成し、エッチングによって酸化物層の少なくとも一部分を除去する装置および方法が提供される。本発明は特定のデバイスに限定されるものではないが、記載する装置および方法は、狭ピッチの適用分野に適した半導体デバイスおよび構造の製造に使用することができる。本明細書では、狭ピッチの適用分野は、32nm以下のハーフピッチ(たとえば、32nm以下のデバイスノード)を含む。本明細書では、「ピッチ」という用語は、半導体デバイスの並列構造または隣接構造間の尺度を指す。ピッチは、隣接または実質上並列の構造の同じ側の側面から側面までを測定することができる。当然ながら、半導体デバイスおよび構造は、より大きいピッチを有する適用分野でも同様に利用することができる。半導体デバイスは、たとえばNANDもしくはNORフラッシュメモリ、または他の適したデバイスとすることができる。いくつかの実施形態では、半導体デバイスは、デバイスの浮遊ゲートと、たとえば制御ゲートとの間の側壁容量を維持または改善し、それによって隣接するデバイス内で隣接する浮遊ゲート間の干渉(すなわち、ノイズ)を低減させる。本明細書に開示する本発明の装置および方法は、たとえば処理中にトンネル酸化物層を厚くする可能性のある酸素の拡散など、望ましくない効果を制限することが有利である。さらに、本発明の装置および方法は、従来のリソグラフィパターニングによって課される限界寸法の寸法制限を克服するために、たとえばフィン電界効果トランジスタ(FinFET)デバイス、ハードマスク構造、または他の構造などの他のデバイスまたは構造の製造に適用できることが有利である。1つの構造の形成に関して本明細書に開示する特定の酸化およびエッチング装置および処理は、異なる記載がない限り、本明細書に開示する任意の他の構造の形成で利用できることが企図される。
したがって、本発明の実施形態は、単一のチャンバまたは器具内で周期的な酸化およびエッチングを層ごとに実行する装置および方法を提供し、別個のチャンバまたは器具内で処理を実行した場合より高いスループットを可能にする。別個のチャンバ内で周期的な酸化およびエッチングの複数の反復を実行する必要があるとき、チャンバ間の移送時間のため、スループットは低下する。複数の処理が可能なチャンバまたは器具が提供された場合、スループットを高めることができる。しかし、まったく異なる温度を必要とする複数のエッチングおよび酸化プロセスを実行できるチャンバを入手できるとは考えられない。1つまたは複数の実施形態によれば、単一のチャンバ内で基板の急速な加熱および冷却を可能にするチャンバまたは器具が提供され、それによって周期的な酸化および/または窒化ならびにエッチングプロセスを実行することができる。1つまたは複数の実施形態では、本明細書に開示する処理チャンバは、本明細書に記載する酸化およびエッチングの単一のサイクルを5分未満、4分未満、3分未満、2分未満、1分未満、または30秒未満で実行することができる。1つまたは複数の実施形態では、酸化プロセスは、約200℃〜800℃、より具体的には約300℃〜500℃の温度で実行され、エッチングプロセスの一部分は、約150℃未満、具体的には約120℃未満、より具体的には約100℃以下の温度で実行される。1つまたは複数の実施形態では、エッチングプロセスは、プラズマ、たとえばフッ素含有プラズマを使用する乾式エッチングプロセスを利用し、エッチングプロセスは、約50℃未満、具体的には約40℃未満、より具体的には約25℃〜35℃の範囲内で実行される処理と、それに続いて約100℃を超過し、たとえば約100℃〜約200℃の範囲内の温度で実行されるステップとを含む。
本発明によって作ることができる半導体デバイス、ならびに本発明の装置および/または方法の実施形態の一例を、メモリデバイス100として例示的に適用し、図1に関して以下に記載する。メモリデバイス100は基板102を含み、基板102上にトンネル酸化物層104が配置される。トンネル酸化物層104上に、浮遊ゲート106が配置される。浮遊ゲート106、トンネル酸化物層104、および基板102の下にある部分は、メモリデバイス100のセル103(またはメモリユニット)を構成することができる。メモリデバイスの各セルは分離することができる。たとえば、メモリデバイス100内では、基板102内で各セルの間に、浅いトレンチ隔離(STI)領域108が配置される(たとえば、トンネル酸化物層104および浮遊ゲート106に隣接して配置され、STI領域108は、セル103を隣接するセル105および107から分離する)。メモリデバイス100は、浮遊ゲート106の上に配置されたインターポリ誘電体(IPD)層110と、制御ゲート層112とをさらに含む。IPD層110は、浮遊ゲート106を制御ゲート層112から分離する。
基板102は、結晶シリコン(たとえば、Si<100>またはSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープされたポリシリコンまたはドープされていないポリシリコン、ドープされたシリコンウエハまたはドープされていないシリコンウエハ、パターニングされたウエハまたはパターニングされていないウエハ、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、砒化ガリウム、ガラス、サファイアなどの適した材料を含むことができる。いくつかの実施形態では、基板102はケイ素を含むことができる。トンネル酸化物層104は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、またはアルミニウム(Al)、ハフニウム(Hf)、もしくはランタン(La)、ジルコニウム(Zr)ベースの酸化物もしくは酸素窒化物などの高誘電率誘電体材料、あるいは単層または多層構造の窒化ケイ素(Si)(たとえば、SiO/高誘電率/SiO)など、ケイ素および酸素を含むことができる。トンネル酸化物層104は、任意の適した厚さ、たとえば、約5〜約12nmを有することができる。トンネル酸化物層104は、各セル内で、浮遊ゲート106の基部の幅と実質上同等の幅を有することができる。STI領域108は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)など、ケイ素および酸素を含むことができる。
浮遊ゲート106は通常、ポリシリコン、金属などの導電性材料を含む。浮遊ゲート106は、制御ゲート層112のうち、隣接するセル間(たとえば、セル103、105、および107間)の部分の配置を容易にするのに適した構成を有する。したがって、浮遊ゲートは、「T」を逆さにした形状で形成することができる。本明細書では、「T」を逆さにしたという用語とは、概略的に、浮遊ゲート106の上部が浮遊ゲート106の基部に対して浮き上がっている構造の形状寸法を指す。そのような浮き上がりは、隣接する浮遊ゲート106間の間隙を完全に充填することなく浮遊ゲート106の上にIPD層110を形成する場所を提供し、それによって隣接する浮遊ゲート106間に制御ゲート層112の一部分を配置することができる。
たとえば、図1に示すように、浮遊ゲート106を全体として、基部115およびステム113(または浮遊ゲート106の上部)を有する、Tを逆さにした形状で示す。浮遊ゲート106は通常、特定の適用分野で所望通り任意の寸法を有することができる。いくつかの実施形態では、浮遊ゲート106の高さは、約20〜約100nmとすることができる。いくつかの実施形態では、基部115の厚さは、約35nm以下とすることができる。
浮遊ゲート106の上部が浮き上がるため、浮遊ゲート106では、浮遊ゲート106の基部115近傍の第1の幅109が、浮遊ゲート106の頂部近傍の第2の幅111より大きい。いくつかの実施形態では、第1の幅109と第2の幅111との比は、少なくとも約2:1である。いくつかの実施形態では、第1の幅109は、第2の幅111を約4nm以上もしくは約6nm以上、または約4〜約6nm超過することができる。浮遊ゲート106の幅は、浮遊ゲート106の基部115と頂部との間で直線的、非直線的、連続的、非連続的に任意の形態で変動することができる。いくつかの実施形態では、図1に示すように、浮遊ゲート106の幅は、第1の幅109と第2の幅111との間で非直線的に変動する。いくつかの実施形態では、第1の幅は、約35nm未満または約20〜約35nmとすることができる。第2の幅は、約5〜約30nm、たとえば5nm、10nm、12nm、13nm、14nm、15nm、20nm、25nm、または30nmとすることができる。
ステム113の側壁部分は、図1に示すように、実質上垂直のプロファイルを有することができる。いくつかの実施形態では、実質上垂直とは、垂直から約10度以下、または垂直から約5度以下、または垂直から約1度以下を意味する。側壁の実質上垂直のプロファイルは、浮遊ゲート106の高さ全体の約40パーセントまでとすることができ、または約40パーセントより大きくすることができる。いくつかの実施形態では、実質上垂直のプロファイルは、浮遊ゲート106の高さの約40パーセントより大きい。いくつかの実施形態では、実質上垂直のプロファイルは、約20〜約100nmである。
IPD層110は、任意の適した単層または多層の誘電体材料を含むことができる。単層のIPDは、トンネル酸化物層104に関して上記で論じたように、SiO、SiON、高誘電率誘電体材料などを含むことができる。多層のIPDの非限定的な例は、第1の酸化物層、窒化物層、および第2の酸化物層を含む多層のONO層である。第1および第2の酸化物層は通常、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)などのケイ素および酸素を含む。窒化物層は通常、窒化ケイ素(SiN)などのケイ素および窒素を含む。いくつかの実施形態では、SiO/高誘電率/SiO(SiO/Al/SiOなど)を含む多層のIPD層をIPD層110として使用することもできる。いくつかの実施形態では、IPD層110は、約12〜約15nmの厚さまで堆積される。
Tを逆さにした形状の浮遊ゲート106を覆ってIPD層110を共形に堆積させることで、堆積させたIPD層110内のウェル114の形成を容易にする。ウェル114は、隣接する浮遊ゲート間に形成される。いくつかの実施形態では、ウェル114の幅は約4〜約20nmであり、深さは約20〜約90nmである。
任意選択で、IPD堆積の前に、隣接する浮遊ゲート間の間隙を充填するようにSiOなどの材料層を堆積させ、この材料層を、たとえば化学機械平坦化(CMP)によって平坦化して、浮遊ゲート106の頂部から余分な材料を除去することによって、隣接する浮遊ゲート間のIPD浸入の深さレベルを画定することができる。次いで、隣接する浮遊ゲート間の間隙内に残っている材料を所望の深さまでエッチングして、浮遊ゲート間のIPD浸入レベルを設定することができる。
制御ゲート層112は、IPD層110上およびウェル114内に堆積させて、制御ゲートを形成することができる。制御ゲート層112は通常、ポリシリコン、金属などの導電性材料を含む。ウェル114を追加することで、浮遊ゲート106の側壁近傍で制御ゲート層112に対してより大きい表面積を提供する。ウェル114によって制御ゲート層112の表面積を容易に増大することで、浮遊ゲート106の側壁と制御ゲートとの間の容量結合を改善できることが有利である。さらに、隣接する浮遊ゲート(たとえば、セル103および105の浮遊ゲート)間に配置されたウェル114は、隣接する浮遊ゲート間の寄生容量、浮遊ゲートの干渉、ノイズなどを低減させることができる。さらに、Tを逆さにした形状の浮遊ゲート106は、同じ高さでほぼ長方形の浮遊ゲートと比較すると、表面積を低減させる。横断面を低減させることで、ビット線(たとえば、メモリデバイスの異なるワード線および同じビット線)方向に、隣接する浮遊ゲート間の寄生容量を低減させることが有利である。浮遊ゲートと制御ゲートとの間の側壁容量は、浮遊ゲートの高さの制御によって、独立して制御できる(たとえば、所望のレベルで維持される)ことが有利である。
図2は、本発明のいくつかの実施形態による浮遊ゲートの形状寸法を有する半導体デバイスを製造する方法200を示す。本明細書に記載する方法は、まったく異なる温度で処理する能力を有する酸化およびエッチング向けに構成された任意の適した単一のチャンバ内で実行することができる。1つまたは複数の実施形態による周期的な酸化およびエッチングを伴う処理では、酸化は比較的高い温度で実行され、エッチングは比較的低い温度で実行される。たとえば、酸化は、1つまたは複数の実施形態によって500℃以上の温度で実行することができ、別法として、500℃以下、より具体的には400℃以下の温度で実行することができる。たとえば、エッチングプロセスの部分は、低い温度、たとえば20℃、25℃、または30℃などの室温で実行することができる。エッチングプロセスは、最高約75℃などのより高い温度で実行できることが理解されるであろう。エッチング後、温度を約100℃まで上昇させて化合物を昇華できることが望ましい。これについて、以下でより詳細に説明する。
本発明の態様は、単一のチャンバ内で酸化プロセス、エッチングプロセス、および昇華を実行することに関する。酸化は、プラズマ酸化、急速熱酸化(RTO)、ラジカル酸化などによって実現することができる。適した酸化チャンバは、プラズマ浸漬イオン注入(P3I)または結合解除されたプラズマ酸化(DPO)などのプラズマチャンバを含むことができる。別法として、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なRADIANCE(登録商標)、VANTAGE(登録商標)RADOX(商標)チャンバ、または遠隔および/もしくは局所プラズマ源を含む炉などの熱酸化チャンバを使用することができる。例示的な熱酸化プロセスは、酸素(O)、一酸化窒素(NO)、亜酸化窒素(NO)などの1つまたは複数などの酸化ガスと、任意選択で窒素(N)、アルゴン(Ar)、ヘリウム(He)などの1つまたは複数などの不活性ガスとを含む酸化ガス混合物内で、水素(H)、アンモニア(NH)などの1つまたは複数などの還元ガスに対する還元ガス濃度を変動させることを含む、様々な酸化反応で実行することができる。例示的なプラズマ酸化プロセスは、熱酸化プロセスに関して上記で論じた酸化反応のいずれかを使用することができ、加熱チャックの有無にかかわらず実行することができる。光化学処理、たとえば紫外光(UV)の存在下で酸素種(たとえば、O)を利用して酸化物層を形成すること、または湿式化学酸化、たとえば酸化に適した別の酸である硝酸(HNO)を含む化学溶液を利用することを適用することもできる。しかし、これらのチャンバは通常、酸化プロセスのみを実行するように構成され、低温エッチングなどの低温処理向けには構成されていない。したがって、酸化とエッチングとの間で必要な急速な温度変化を実現するには、これらのチャンバに修正を加える必要がある。具体的な詳細については、以下に述べる。
別法として、本明細書に記載する方法の実施形態は、湿式または乾式エッチング、反応性イオンエッチング(RIE)など向けに構成された、修正された任意の適したエッチングチャンバ内で実行することができる。例示的なエッチングチャンバは、同じくカリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なSICONI(商標)、Producer(登録商標)、またはCarina(商標)チャンバを含む。1つの非限定的で例示的な乾式エッチングプロセスは、遠隔プラズマによるアンモニア(NH)もしくは三フッ化窒素(NF)ガス、または無水フッ化水素(HF)ガス混合物を含むことができ、この混合物を低温(たとえば、約30℃)のSiO上で凝固させ、反応させて化合物を形成し、この化合物を適度な温度(たとえば、100℃超)で昇華させてSiOをエッチングする。そのような例示的なエッチングプロセスは時間とともに縮小し、最終的に、化合物の一部分を(たとえば、上述した昇華処理によって)除去しない限りさらなるエッチングが発生しない時点で飽和状態になる。エッチングプロセスは、上記の機構を使用して、および/または時間設定されたエッチングプロセス(たとえば、所定の期間にわたるエッチング)によって制御することができる。例示的な湿式エッチングプロセスは、フッ化水素(HF)などを含むことができる。例示的なプラズマまたは遠隔プラズマエッチングプロセスは、四フッ化炭素(CF)、トリフルオロメタン(CHF)、六フッ化硫黄(SF)、水素(H)などの1つまたは複数のエッチング剤を含むことができ、加熱チャックの有無にかかわらず実行することができる。エッチング選択性は、異種表面などの異なる材料の組合せに対して約1〜約1000になるように設計することができる。たとえば、いくつかの実施形態では、エッチング選択性は、二酸化ケイ素(SiO)のエッチングにおけるケイ素(Si)の場合、約100とすることができる。エッチングは、エッチング速度が初期エッチング速度の約0%〜約90%または約75%に低下すると終了させて、エッチングされている材料の厚さ制御を提供することができる。たとえば、いくつかの実施形態では、上記で論じたようにエッチングプロセスを終了させることで、エッチング時の厚さ制御を提供することができる。この制御は、たとえばケイ素(Si)および二酸化ケイ素(SiO)を含む異種材料上に配置された酸化物層をエッチングするとき、特に有利であろう。SICONIチャンバなどのエッチングチャンバは、チャンバ内で酸化プロセスを実行するための修正を必要とする。これについては、以下でより詳細に説明する。
このように、単一のチャンバ内で実行されることが理解される方法200は、202において、浮遊ゲートに形成すべき材料層を有する基板を設けることから開始される。たとえば、図3Aに示すように、基板102および材料層304は、部分的に製造されたメモリデバイス300の一部とすることができる。メモリデバイス300は基板102を含むことができ、基板102上にトンネル酸化物層104が配置される。トンネル酸化物層104上に、材料層304を堆積させることができる。トンネル酸化物層104および材料層304に隣接して、浅いトレンチ隔離(STI)領域302(STI領域108に類似)を配置することができる。方法200を開始する前に実行される基板および部分的に製造されたメモリデバイス300を提供する他の製造ステップは、STI領域302内にSiOなどの隔離材料を堆積し、材料層304の上面と同じ高さで隔離材料を平坦化し、所望のレベルまで隔離材料をエッチングすることを含み、その結果、基板の材料層304は、本明細書に提供する教示によって浮遊ゲートに処理する準備ができる。
材料層304は、ポリシリコン、金属などの導電性材料を含むことができる。材料層304は通常、わずかに台形または方形の横断面を有することができる。材料層304は通常、任意の適した開始形状を有することができ、したがって本明細書に記載する方法によって酸化および/またはエッチングされるとき、材料層304は、図1に関して上述したように、Tを逆さにした形状を有する浮遊ゲートに形成することができる(たとえば、材料層304は、STI構造302の形成を容易にするようにパターニングおよびエッチングすることができ、その結果得られる材料層304のプロファイルを、本明細書に開示するさらなる処理に対する開始点とすることができる)。
204で、材料層304を選択的に酸化させて、図3Bに示す酸化物層306を形成する。酸化物層306は、材料層304の頂部および側壁上に形成され、酸化ケイ素、金属酸化物などを含むことができる。いくつかの実施形態では、酸化物層306は、材料層304を約3〜約15nmまたは約10nmの深さまで消費することができる。酸化物層306は、図3Bに示すように、STI領域302の一部分をさらに消費(または他では浸食もしくは置換)することができる。酸化物層306は、湿式もしくは乾式酸化、急速熱酸化(RTO)、ラジカル酸化、プラズマ酸化、たとえば結合解除されたプラズマ酸化(DPO)、または本明細書に記載する任意の他の酸化プロセスを使用して形成することができる。熱量が低いこと、および/または酸素の拡散が低減されることが望ましいいくつかの実施形態では、プラズマ酸化またはラジカル酸化を利用することができる。材料層304の酸化中にトンネル酸化物層104が厚くなるのを防止するには、低い熱量を必要とすることがある。本明細書では、低い熱量とは、摂氏850度のピーク温度で数十分の炉処理より小さい熱量を意味する。
次に206で、図3Cに示すように、酸化ステップ204を実行したのと同じチャンバ内で、酸化物層306がエッチングプロセスによって除去される。材料層304の酸化および酸化物層306の除去後の材料層304の残りの部分は、概ねTを逆さにした形状、たとえば図1に示す浮遊ゲート106の形状に類似の形状とすることができる。このエッチングプロセスは、フッ化水素酸(HF)、塩酸(HCl)を含む化学物質もしくはガス、または本明細書に開示する他のエッチングプロセスなどを使用することができる。エッチングプロセスは選択的に行うことができ、たとえば酸化物層306を選択的に除去することができる。一実施形態では、エッチングプロセスは、酸化ケイ素に対して選択的であり、ポリシリコンを含む材料層に対して酸化ケイ素を含む酸化物層306を除去する。エッチングプロセスは、酸化物層306の除去中にSTI領域302の一部分をさらに除去することができる。
Tを逆さにした形状を有する浮遊ゲートを形成するためのエッチングプロセスが完了すると、方法200は概ね終了する。メモリデバイスのさらなる処理は、図1に関して記載した層に類似のIPD層および制御ゲート層の堆積を含むことができる。いくつかの実施形態では、IPD層の堆積前に、隣接する材料層304間でSTI領域302上の領域に、間隙充填材料、たとえばSiO、またはSTI領域302を構成したのと同じ材料が充填される。次に、この充填された領域の頂部を、化学機械平坦化(CMP)または任意の適した平坦化方法によって平坦化して、材料層304の頂部と実質上同一平面にすることができる。間隙充填およびCMPに続いて、間隙充填材料のエッチングを行い、IPD層の堆積前に、隣接する材料層304間のIPDに対する所望の浸入深さを設定する。
別法として、図4に示すように、方法400を使用して、Tを逆さにした形状を有する浮遊ゲートを形成することができる。方法400について、図5A〜Eを参照して例示的に説明する。図5A〜Eは、方法400の実施形態によるメモリデバイス300の製造段階を示す。方法400は、犠牲窒化物層の堆積を含む。犠牲窒化物層は、材料層304を酸化させるために使用される酸化プロセス中に酸素の拡散を制限するために利用することができる。酸素の拡散を制限することで、後述する酸化物層除去処理中に、トンネル酸化物層104の望ましくない厚膜化を防止し、かつ/あるいはトンネル酸化物層104および/またはSTI領域302(もしくは間隙充填材料)の一部分の望ましくない除去を防止できることが望ましい。
方法400は通常、402から始まり、図5Aに示すように、部分的に製造されたメモリデバイス300が設けられる。メモリデバイス300については上述したが、基板102を含み、基板102上にトンネル酸化物層104が配置され、トンネル酸化物層104上に材料層304が配置される。メモリデバイス300はSTI層302をさらに含み、STI層302は、基板102内に、トンネル酸化物層104および材料層304に隣接して配置される。
404で、図5Cに示すように、材料層304およびSTI領域302の露出表面上に窒化物層502が形成される。窒化物層502は、任意の適した窒化処理、たとえばプラズマ窒化または窒化ケイ素堆積によって形成することができる。窒化物層502は、窒化ケイ素(SiN)、酸窒化ケイ素(SiON)、または両方を含むことができる。窒化物層502は、材料層304およびSTI領域302の水平表面上に、材料層304の側壁と比較するとより大きい厚さまで(たとえば、方向性の窒化処理によって)形成することができる。いくつかの実施形態では、材料層304およびSTI領域302の水平表面上の窒化物層の厚さと、材料層304の側壁上の厚さとの比は、約2:1〜約10:1である。いくつかの実施形態では、窒化物層502の厚さは、材料層304およびSTI領域302の水平表面上では約5〜約10nmである。いくつかの実施形態では、窒化物層502の厚さは、材料層304の側壁上では約1nm以下である。
406で、窒化物層502および材料層304を選択的に酸化させて、酸素窒化物層504および酸化物層506を形成する。酸化プロセスは、窒化ステップ404と同じチャンバ内で実行される。酸化ステップ406は、方法200に関して上記で論じた任意の適した酸化プロセスを含むことができ、図5C〜Dに関して記載する単一段階の処理で実行することができる。最初に、図5Cに示すように、酸化プロセスは、酸素窒化物層504の形成を容易にする。酸素窒化物層504は、材料層304およびSTI領域302の水平表面上では窒化物層502の一部分を消費することができ、材料層304の側壁上では実質上窒化物層502全体を消費することができる。水平表面上で窒化物層502の厚さを増大させることで、下にある表面の酸化を制限または防止することができる。材料層304の側壁上で窒化物層502を消費する際、酸化プロセスは材料層304の一部分を消費することができる。側壁の表面上には消費されていない窒化物層502が配置されたまま残っているため、材料層の側壁の酸化は水平表面上より迅速に進行することができる。
図5Dに示すように、酸化プロセスは、材料層304の側壁上でより速い速度で進行し、側壁内側から材料層304を概ね消費することによって、酸化物層506を形成する。材料層304のうち消費されていない残りの部分は、概ねTを逆さにした所望の形状になることができる。さらに、図5Dに示すように、酸化プロセスは、側壁における材料層304の消費より遅い速度ではあるが、残りの窒化物層502の一部分およびSTI領域302の一部分を引き続き消費する。
408で、酸素窒化物層504および酸化物層506を除去することができ、その結果、図5Eに示すように、Tを逆さにした形状を有する浮遊ゲートが得られる。これらの層は、エッチングプロセス、たとえば方法200に関して上記で論じた湿式または乾式化学エッチング、反応性イオンエッチングなどによって除去することができる。エッチングプロセスは選択的に行うことができ、たとえば酸素窒化物層504および酸化物層506を選択的に除去することができる。一実施形態では、エッチングプロセスは、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、および窒化ケイ素(SiN)に対して選択的であり、ポリシリコンを含む材料層304に対して選択的に、SiNを含む窒化物層502、SiONを含む酸素窒化物層504、およびSiOを含む酸化物層506を除去する。エッチングプロセスは、図5Eに示すように、STI領域302の一部分をさらに選択的に除去することができる。いくつかの実施形態では、エッチングプロセスは、多段階のエッチングプロセスとすることができる。たとえば最初に、エッチングプロセスは、酸化物層506を除去するように、SiOのみに対して選択的に行うことができる。次に、エッチングプロセスは、酸素窒化物層504および窒化物層502を除去するように、SiONおよびSiNに対して選択的に行うことができる。Tを逆さにした形状を有する浮遊ゲートを形成するためのエッチングプロセスが完了すると、メモリデバイス300は、たとえば図1に関して記載した層に類似のIPD層および制御ゲート層を堆積させることによって、さらに処理することができる。上記で論じたように、隣接する材料層304間の充填領域の間隙充填およびCMP、それに続いて充填領域のエッチングを実行してから、IPD層の堆積を行うことができる。
上記で論じたように、いくつかの実施形態では、たとえばトンネル酸化物層104またはSTI領域302の厚膜化を制限するために、熱量が低いこと(たとえば、ドーパント、酸素(O)、またはケイ素(Si)の1つまたは複数などの材料の拡散が低いこと)が望ましいであろう。しかし、そのような望ましくない厚膜化の影響を制限することが可能な場合、高熱量の処理(すなわち、高い酸素の拡散)を利用することもできる。たとえば、高熱量の処理(たとえば、湿式、乾式、またはRTO)により、共形の酸化、より速い酸化速度、より厚い酸化(たとえば、厚さ約5〜約15nm)、およびより効率的な側壁酸化を提供することができる。さらに、高熱量の酸化プロセスは、浮遊ゲートを形成するために使用される材料層の異なる結晶方位に対する感度を低減させ、したがって酸化中に平滑な表面を生成することが有利である。たとえば多結晶材料を含む材料層を使用して浮遊ゲートを形成するとき、結晶方位に対する感度を低減させることが望ましいであろう。平滑な表面は、たとえば接合抵抗を低減させることなどによって、メモリデバイス内の信頼性を改善することが有利である。
したがって、図6に関して後述するようないくつかの実施形態では、材料層702を有する部分的に製造されたメモリデバイス700を使用して、Tを逆さにした形状を有する浮遊ゲートを形成することができる。材料層702は、たとえば図3Aおよび5Aそれぞれに示した材料層304と比較すると、より高くすることができる。さらに、STI領域302の高さは、(たとえば、上記で論じたように、SiOなどの間隙充填材料を堆積させて再びエッチングすることによって)材料層702の高さとともにスケール変更して、露出表面とトンネル酸化物層との間の距離を増大させ、それによって高熱量処理中のトンネル酸化物層内への酸化拡散に対する抵抗を促進する。いくつかの実施形態では、材料層702の頂部とSTI領域302の頂部との間の間隙は、図3Aおよび5Aに示す類似の構造と実質上同等の距離にすることができる。図3Aおよび5Aの類似のメモリデバイスと比較すると、材料層702とSTI領域302の両方の高さを増大させることで、酸素原子がトンネル酸化物層104に到達するのに進まなければならない距離を長くできることが有利である。両構造の高さを増大させることで、より高い熱量の酸化プロセスを使用することができ、トンネル酸化物層104の厚膜化を制限することができる。したがって、メモリデバイス700内のSTI領域302の高さを増大させることによって、高熱量の酸化プロセスを使用して、Tを逆さにした形状を有する浮遊ゲートを形成できることが有利である。高熱量の酸化プロセスおよびそれによって形成された酸化物層の除去に続いて、エッチングプロセスおよび/またはより制御可能な低熱量の酸化プロセスを使用して、浮遊ゲートの基部の厚さを低減させることができる。高熱量の酸化プロセスと、エッチングプロセスまたは低熱量の酸化プロセスのいずれかとのそのような組合せについて、図6〜8に関して後述する。
たとえば、図6は、本発明のいくつかの実施形態による浮遊ゲートを有する半導体デバイスを製造する方法600を示す。方法600について、図7A〜Dおよび図8A〜Bを参照して例示的に説明する。図7A〜Dおよび図8A〜Bは、方法600の実施形態によるメモリデバイス700の製造段階を示す。
方法600は通常、602から始まり、浮遊ゲートに形成すべき材料層を有する基板を設けることができる。たとえば、図7Aに示すように、基板102および材料層702は、部分的に製造されたメモリデバイス700の一部とすることができる。メモリデバイス700は基板102を含むことができ、基板102上にトンネル酸化物層104が配置される。トンネル酸化物層104上に、材料層702を堆積させることができる。基板102内でトンネル酸化物層104および材料層702に隣接して、浅いトレンチ隔離(STI)領域302を配置することができる。基板102、トンネル酸化物層104、およびSTI領域302については、上記で論じた。
材料層702は、ポリシリコン、金属などの導電性材料を含むことができる。材料層702は、実質上方形またはわずかに台形の横断面を含む開始形状を有することができる。材料層702は通常、任意の適した開始形状を有することができ、したがって本明細書に記載する方法によって酸化および/またはエッチングされるとき、材料層702は、Tを逆さにした形状を有する浮遊ゲートに形成することができる。材料層702の高さは、約30nmより大きくすることができ、または最高約130nmとすることができる。材料層702の高さと幅の比は、約2:1より大きくすることができる。
次に604で、材料層702を選択的に酸化させて、図7Bに示す第1の酸化物層704を形成する。第1の酸化物層704は、材料層702の頂部および側壁上に形成され、酸化ケイ素、金属酸化物などを含むことができる。いくつかの実施形態では、第1の酸化物層704は、材料層702を約5〜約15nmまたは約10nmの深さまで消費することができる。第1の酸化物層704は、STI領域302の一部分をさらに厚くすることができる。酸化物層の形成は、湿式もしくは酸化、急速熱酸化(RTO)、ラジカル酸化、またはプラズマ酸化、たとえば結合解除されたプラズマ酸化(DPO)を使用して実行することができる。熱量が低いこと、および/または酸素の拡散が低減されることが望ましいいくつかの実施形態では、プラズマ酸化またはラジカル酸化を利用することができる。材料層702の酸化中にトンネル酸化物層104が厚くなるのを防止するには、低い熱量を必要とすることがある。
酸化後の材料層702の残りの部分は、概ねTを逆さにした形状とすることができ、所望の最終的な形態より大きい寸法を有することができる(たとえば、基部の高さおよび/またはステムの幅をより大きくすることができる)。606で、第1の酸化物層704は、ステップ604と同じチャンバ内でエッチングプロセスによって除去され、その結果、図7Cに示す材料層702の残りの部分によって示すように、概ねTを逆さにした形状を有する浮遊ゲートが得られる。エッチングプロセスは、湿式もしくは乾式エッチング、または反応性イオンエッチングとすることができる。このエッチングプロセスは、フッ化水素酸(HF)、塩酸(HCl)などを含む化学物質またはガスを使用することができる。エッチングプロセスは選択的に行うことができ、たとえば第1の酸化物層704を選択的に除去することができる。一実施形態では、エッチングプロセスは、酸化ケイ素に対して選択的であり、ポリシリコンを含む材料層に対して酸化ケイ素を含む第1の酸化物層704を除去する。エッチングプロセスは、第1の酸化物層704の除去中にSTI領域302の一部分をさらに除去することができる。
608で、図7Dに示すように、エッチングプロセスを使用して残りの材料層702の追加の部分を除去し、所望のTを逆さにした形状を有する浮遊ゲートを形成することができる。このエッチングプロセスは、湿式または乾式エッチング、反応性イオンエッチングなどを含むことができる。一実施形態では、エッチングプロセスは反応性イオンエッチングである。方法600を使用して形成される浮遊ゲートは、上記で論じた方法200および400で形成される浮遊ゲートに類似の寸法とすることができる。
材料層702をエッチングして、Tを逆さにした形状および上記で論じた寸法を有する浮遊ゲートを形成すると、方法600は概ね終了し、メモリデバイスの製造を完了するためのさらなる処理を実行することができる。メモリデバイス700のさらなる処理は、上記で論じたように、IPD層および制御ゲート層の堆積を含むことができる。任意選択で、上記で論じたように、間隙充填およびCMP処理を行い、それに続いて充填領域を再びエッチングして隣接する浮遊ゲート間の領域内のIPD層の所望の深さの制御を実行してから、IPD層の堆積を行うことができる。
別法として、いくつかの実施形態では、第1の酸化物層704を除去した後、方法600は、同じチャンバ内で606から610へ進むことができ、材料層を選択的に酸化させて第2の酸化物層706を形成することができる。第2の酸化物層706は、図8Aに示すように、材料層702の残りの部分の頂部および側壁上に形成され、酸化ケイ素、金属酸化物などを含むことができる。いくつかの実施形態では、第2の酸化物層706は、材料層702を約5〜約15nmまたは約10nmの深さまで消費することができる。酸化物層の形成は、湿式もしくは酸化、急速熱酸化(RTO)、ラジカル酸化、またはプラズマ酸化、たとえば結合解除されたプラズマ酸化(DPO)を使用して実行することができ、熱量が低いこと、および/または酸素の拡散が低減されることが望ましく、プラズマ酸化またはラジカル酸化を利用することができる。いくつかの実施形態では、低熱量の方向性の酸化(たとえば、プラズマ酸化)を使用することができ、第2の酸化物層706は材料層702の水平表面上で、側壁表面上より速い速度で成長する。
第2の酸化物層706を形成するための選択的な酸化後の材料層702の残りの部分は、概ねTを逆さにした形状とすることができる。612で、第2の酸化物層706をエッチングプロセスによって除去し、図8Bに示す材料層702の残りの部分によって示すように、Tを逆さにした形状を有する浮遊ゲートの形成を完成させる。エッチングプロセスは、乾式エッチングまたは反応性イオンエッチングとすることができる。このエッチングプロセスは、フッ化水素酸(HF)、塩酸(HCl)などを含む化学物質またはガスを使用することができる。エッチングプロセスは選択的に行うことができ、たとえば第2の酸化物層706を除去するように選択的に行うことができる。一実施形態では、エッチングプロセスは、酸化ケイ素に対して選択的であり、ポリシリコンを含む材料層702に対して酸化ケイ素を含む第2の酸化物層706を除去する。エッチングプロセスは、第2の酸化物層706の除去中にSTI領域302の一部分をさらに除去することができる。
材料層702の残りの部分をエッチングして第2の酸化物層706を除去し、所望のTを逆さにした形状を有する浮遊ゲートを形成すると、方法600は概ね終了する。方法600によって形成された浮遊ゲートは、608に上記で論じたものと同等の寸法を有することができる。メモリデバイス700のさらなる処理は、上記で論じたように、IPD層および制御ゲート層の堆積を含むことができる。
上記で論じたように、いくつかの実施形態では高熱量の処理が有利であるが、上記の材料層702などの材料層の酸化速度は、より高い熱量が印加されると飽和状態になる傾向がある。たとえば、この結果、所望の寸法を有する形状に材料層702を成形すること、トンネル酸化物層104を厚くすること、またはその両方ができなくなる可能性がある。さらに、広範囲の温度のいずれか、たとえば摂氏約30〜約1100度を使用すると酸化速度が飽和状態になる可能性があるが、初期酸化速度は、摂氏30度など、その範囲内のより低い温度でも速い。この温度範囲は、本明細書に開示するすべての酸化プロセスに有効である。さらに、プラズマ酸化または光化学(UVもしくはオゾン)あるいは乾式/湿式化学(たとえば、オゾン、硝酸、過酸化水素)ベースの酸化を、室温以下で行うことができる。したがって、本発明者らは、以下で論じるように高い初期酸化速度を利用することが有利である材料層702などの材料層を成形する方法を開発した。
高い熱量での酸化速度の飽和の概略図を図9に示す。図9は、酸化物層厚さの図を時間の関数として概略的に示す。等温線1000は、酸化物層が所望の任意の温度で連続的に成長する酸化プロセスを表す。最初、等温線1000内の第1の期間1002では、第1の期間1002に成長する第1の酸化物層厚さ1004によって示すように、酸化速度は速い。時間(および熱量)が増大すると、酸化速度は飽和し始める。たとえば、持続時間が第1の期間1002と同等である、第1の期間1002直後の第2の期間1006では、第2の期間1006中の酸化速度がより遅いため、第2の期間1006中に成長する第2の酸化物層厚さ1008は、第1の酸化物層厚さ1004より小さい。本発明者らは、様々な温度で等温線1000の形状を概ねたどることをさらに発見した。
したがって、材料層702を所望の形状に成形するには、浮遊ゲートの所望の寸法を形成するのに必要な酸化物層の厚さを実現するために、高い熱量を必要とすることがある。しかし残念ながら、いくつかの構造の製造中、高熱量の酸化プロセスを適用することで、露出した酸化物層(トンネル酸化物層104など)内への望ましくない酸素(O)の拡散を引き起こし、酸化物層の望ましくない厚膜化を引き起こす可能性がある。
したがって、図9で上述したように、方法600のいくつかの実施形態では、酸化およびエッチングプロセスを反復して、第1の期間1002中に適用される高い初期酸化速度を利用することが有利である。たとえば、いくつかの実施形態では、604で、材料層(たとえば、材料層702)の表面を酸化させて、酸化物層(たとえば、第1の酸化物層704)を初期酸化速度で形成することができる。材料層702は、初期酸化速度が比較的速い第1の期間(たとえば、第1の期間1002)に酸化させることができる。たとえば第2の期間1006中に酸化速度が所定の量まで低減した後、酸化プロセスが終了される。いくつかの実施形態では、第1の酸化物層704の形成は、酸化速度が初期酸化速度の約90%以下または約75%以下になったときに終了させることができる。いくつかの実施形態では、第1の酸化物層704の形成は、酸化速度が初期速度の約0%〜約90%または約75%になったときに終了させることができる。
酸化プロセスが終了した後、606で、第1の酸化物層704の少なくとも一部がエッチングプロセス(上記で論じ、図7Cに示す)によって除去される。図7Cに示すように、第1の酸化物層704が除去された後、材料層702は、上記で論じたように、少なくとも部分的に所望の形状に形成することができる。第1の酸化物層704を除去することで、材料層702の新しい露出表面を提供し、材料層の所望の形状が形成されるまで、この新しい露出表面をさらに酸化させることができる。いくつかの実施形態では、エッチングプロセスは、上述したように、2段階の凝固および昇華エッチングプロセスとすることができる。いくつかの実施形態では、エッチングプロセスは、エッチング速度が初期エッチング速度の約0%〜約75%または約90%になると終了させることができる。エッチング速度の低減は、材料の対比(たとえば、SiとSiOの選択性)または拡散に関連する飽和(たとえば、均質なSiO層上)のために生じることがある。エッチングプロセス中のエッチング速度の時間依存性は、犠牲酸化中に材料除去をさらに独立して制御する方法を提供することができる。これにより、浮遊ゲートの形成構造内に例示するように、異種表面(Si/SiO)上で層ごとに除去することができる。不均一な材料除去を回避するために、異種基板から酸化した材料を除去するときは、この方法を使用できることが有利である。
たとえば、610で、部分的に成形された材料層702の露出表面を再び酸化させて、別の酸化物層(たとえば、第2の酸化物層706)を形成する。酸化プロセスは、第1の酸化物層704の除去のため、第1の酸化層704に関して上記で論じた初期酸化速度と実質上同等とすることができる初期酸化速度で進む。上記のように、酸化速度が所定の量まで低減した後、たとえば第2の期間1006中に、酸化プロセスが終了する。処理の所望の終了時点は、上記で論じたものと類似の任意の時間とすることができる。第2の酸化物層706を形成するための酸化について、図8Aに示す。
酸化プロセスの繰返しが終了した後、612で、第2の酸化物層706の少なくとも一部がエッチングプロセス(上記で論じ、図8Bに示す)によって除去される。図8Bに示すように、第2の酸化物層706が除去された後、材料層702は、上記で論じたように、所望の形状に形成することができる。別法として、この場合も第2の酸化物層706を除去することで、材料層702の新しい露出表面を提供し、材料層の所望の形状が形成されるまで、この新しい露出表面をさらに酸化させることができる。したがって、酸化およびエッチングプロセスを1回だけ繰り返すと開示するが、これらの処理の繰返しは、材料層の所望の形状を形成するのに必要なだけ何回でも継続することができる(すなわち、この処理は1回または複数回繰り返すことができる)。
酸化物層の周期的な酸化および除去のプロセスで酸化させることにより、連続して実行される酸化プロセスと比較して、同じ熱量でより多くの酸化物を形成することが可能になる。単一のチャンバ内で酸化物層の周期的な酸化および除去のプロセスを実行することで、処理スループットを大いに増大させることができる。たとえば、図9に示すように、第1の期間1002および第2の期間1006にわたって適用される等温線1000によって示すものなど、連続して適用される酸化プロセスは、第1の厚さ1004と第2の厚さ1008との和である厚さを有する酸化物層を形成する。しかし、周期的な酸化および除去のプロセス、たとえば第1の期間1002で第1の酸化物層(たとえば、第1の酸化物層704)を形成し、第1の酸化物層を除去し、第2の期間1006で材料層を酸化させて第2の酸化物層(たとえば、第2の酸化物層706)を形成する結果、すべての酸化物の厚さ(たとえば、第1の酸化物層704と第2の酸化物層706との厚さの和)は、連続する酸化プロセスと同じ熱量を使用すると、第1の厚さ1004の2倍になる。
周期的な酸化および除去のプロセスを概略的に示す等温線1010を、図9に示す。図示のように、等温線1010は、第1の期間1002後、等温線1000(連続する酸化プロセスを表す)から実質上外れる。等温線1010について、図9では直線として示すが、これは単に例示的である。等温線1010は、周期的な酸化および除去のプロセスがどのように適用されるかに基づいて、任意の形状を有することができる。たとえば、繰り返される各酸化プロセスが同じ期間(たとえば、第1の期間1002)にわたって行われる場合、等温線1010は、連続する各ステップの第1の期間1002中に等温線1010の形状を繰り返す形状を有することができる。別法として、周期的な酸化および除去のプロセスにおける次のステップは、第1の期間(図示せず)とは異なる持続時間にわたって適用することができ、それに応じて等温線1010の形状は変動することができる。しかし、周期的な酸化および除去のプロセス中に形成されるすべての酸化物は、同じ熱量を使用すると、連続する酸化プロセス(たとえば、等温線1000)によって形成されるものより大きい。いくつかの実施形態では、周期的な酸化および除去のプロセス中に形成されるすべての酸化物は、同じ熱量を使用すると、連続する酸化プロセスによって形成されるものより最高約3倍大きい。
上記の周期的な酸化および除去のプロセスを使用して、サブリソグラフィ寸法を有する構造を含む他の構造を形成できることが有利である。そのような構造は、たとえば、超薄型の浮遊ゲート、finFETデバイスのフィン、パターニングされたハードマスクなどを含むことができる。
たとえば、いくつかの実施形態では、周期的な酸化および除去のプロセスを利用して、図10A〜Dに示すように、超薄型の浮遊ゲートを形成することができる。図10A〜Dは、本発明のいくつかの実施形態による浮遊ゲート1102の製造段階を示す。この方法は、図10Aに示すように、部分的に製造されたメモリデバイス1100を設けることから始まる。メモリデバイス1100は、上記で論じたメモリデバイス100に構造および組成が類似している。メモリ構造1100は基板102を含み、基板102上にトンネル酸化物層104が配置される。トンネル酸化物層104上には、上記で論じた材料層に組成が類似している材料層1102が配置される。材料層1102の両側に、材料層1102に隣接して、上記で論じたSTI領域に組成が類似しているSTI領域1104が配置される。STI領域1104は、デバイス1100の個々のメモリセルを分離する。通常、STI領域1104の頂面1103および材料層1102の頂面1105は実質上平面である。
次に、上記で論じた周期的な酸化および除去のプロセスを同じチャンバ内で利用して、材料層1102を所望の形状(たとえば、厚さ)まで薄くすることができる。上記で論じたように、材料層1102の頂面1105を酸化させて、図10Bに示すように、初期酸化速度で酸化物層1106を形成することができる。酸化プロセスは、上記で論じたように、酸化速度が初期速度の指定の百分率を下回ると終了する。次いで、図10Cに示すように、酸化物層1106は(STI領域1104内の酸化物の一部分とともに)エッチングプロセスによって除去される。酸化および除去処理は、材料層1102が浮遊ゲートを形成するのに所望の形状まで薄くなるまで繰り返すことができる。
いくつかの実施形態では、材料層1102の所望の形状は、材料層1102の底部の第1の幅が材料層1102の頂部の第2の幅と実質上同等の形状とすることができる。さらに、所望の形状は、たとえば約5ナノメートル未満の材料層1102の最終の厚さを含むことができる(しかし、他の厚さ、たとえば約1〜約20nmまたは約1〜約10nmも企図される)。周期的な酸化および除去のプロセスは、下にあるトンネル酸化物層104の望ましくない酸化による厚膜化を引き起こすことなく、材料層1102を薄くして浮遊ゲートの所望の形状にすることが有利である。本発明者らは、STI領域1104内に存在する酸化物が障壁として作用し、酸化プロセスがトンネル酸化物層104に到達するのを防止することを発見した。図10Dに示すように、薄くした材料層1102上にIPD層1108および導電層1110を堆積させて、完成したメモリデバイス1100を形成することができる。IPD層1108と制御ゲート層1100はそれぞれ、上記で論じたように、IPD層および制御ゲート層に適した任意の材料または材料の組合せを含むことができる。
いくつかの実施形態では、周期的な酸化および除去のプロセスを利用して、リソグラフィ技法によってアクセス可能な寸法より小さい限界寸法に構造を形成することができる。たとえば、図11A〜Cは、周期的な酸化および除去のプロセスを利用して、リソグラフィでパターニングされた構造1200をサブリソグラフィ限界寸法までトリミングする段階を示す。構造1200は、たとえば、FinFETなどの部分的に製造された論理デバイス、または部分的に製造されたハードマスク構造とすることができる。
構造1200は、基板1204上に堆積させた材料層1202を含む。材料層1202は、図11Aに示すように、基板1204の上面1203の1つまたは複数の部分が露出したままになるように堆積させることができる。材料層1202上に、マスク層1206を堆積させることができる。マスク層1206は、たとえば、材料層1202をリソグラフィで画定される限界寸法にパターニングするために使用されたものとすることができる。
基板1204は、上記で論じたような任意の適した基板とすることができる。いくつかの実施形態では、たとえば論理デバイスの製造では、基板1204は、ケイ素(Si)または二酸化ケイ素(SiO)を含むことができる。いくつかの実施形態では、たとえばハードマスク構造の製造では、基板1204は、ハードマスクによってパターニングすべきケイ素を含まない層1210上に堆積させた層1208(図11A〜Cに点線で示す)を含むことができる。層1208は、Siを含まない層1210をエッチングするときに第2のハードマスクとして機能することができる。層1208は、低い温度で堆積させた二酸化ケイ素(SiO)、窒化ケイ素(SiN)、酸化アルミニウム(Al)、もしくは他の材料、またはシリコンオンインシュレータ(SOI)の製造中に形成されて埋設された酸化物の1つまたは複数を含むことができる。ケイ素を含まない層1210は、タングステン(W)、窒化チタン(TiN)などの1つまたは複数などの金属、ならびに/あるいはSiO、高誘電率の2元酸化物、3元酸化物、相変化材料(酸化ニッケル、テルル化ゲルマニウムアンチモンなど)、ならびに/または第IV族材料(たとえば、Ge、SiGe)および/もしくは第III−V材料(たとえば、GaAs、GaN、InPなど)の交替チャネル材料などの誘電体材料、ならびに/あるいは有機物(たとえば、ペンタセン、フラーレンなど)を含むことができる。一部の材料は、摂氏約100度を上回る温度で劣化することがあるが、デバイス性能を向上させるように本発明の方法によってアクセス可能になるサブリソグラフィパターニングからの利益を得ることができる。
マスク層1206は、ハードマスクまたはフォトレジスト層などの任意の適したマスク層とすることができる。マスク層1206は、SiO、SiN、ケイ化チタン(TiSi)、ケイ化ニッケル(NiSi)などのケイ化物、ならびに、ケイ酸アルミニウム(AlSiO)、ケイ酸ジルコニウム(ZrSiO)、ケイ酸ハフニウム(HfSiO)などのケイ酸塩の少なくとも1つを含むことができる。
上記で論じた周期的な酸化および除去のプロセスを既存の構造1200に適用して、リソグラフィでパターニングされた材料層1202をサブリソグラフィ限界寸法までトリミングすることができる。図11Aに示すように、材料層1202の側壁1212、およびいくつかの実施形態では基板1204の露出した上面1203を、上記で論じた初期酸化速度で酸化させて、酸化物層1214を形成することができる。この酸化プロセスは、第1の期間後に初期酸化速度が上記で論じた初期速度のある割合を下回ると終了させることができる。
酸化物層1214は、図11Cに示すように、エッチングプロセスを使用して除去され、このエッチングプロセスは、上記で論じたように、酸化プロセスと同じチャンバ内で実行される任意の適したエッチングプロセスとすることができる。酸化および除去処理は、材料層1202を、たとえば所望のサブリソグラフィ寸法を有する所望の形状に形成するのに必要なだけ繰り返すことができる。基板1204(または酸化物層1208)が酸化および/またはエッチングプロセスによって少なくとも部分的に消費されるいくつかの実施形態では、周期的な酸化およびエッチングのプロセスが完了すると、周期的な処理によって形成された基板1204の上昇部分1216上に材料層1202を配置することができる。上昇部分1216の幅は、材料層1202の底部近傍の第1の幅および材料層1202の頂部近傍の第2の幅と実質上同等にすることができる。いくつかの実施形態では、トリミングされた材料層1202の第1の幅および第2の幅は、約1〜約30ナノメートルとすることができる。いくつかの実施形態では、トリミングされた材料層1202(たとえば、所望の形状の材料層)のアスペクト比は、約0.5〜約20である。いくつかの実施形態では、トリミングされた材料層1202の高さは、約1〜約30ナノメートルである。別法として、いくつかの実施形態では、基板は、周期的な処理によって実質上消費されないことがあり、上昇部分1216は存在しないことがある。たとえば、エッチングプロセスが層1208の材料に対して選択的である場合、たとえばいくつかの実施形態でSiOはエッチングするが、SiNを含む層1208はエッチングできない場合、この上昇部分をなくすことができる。
周期的な酸化および除去のプロセスを使用して材料層1202をトリミングした後の構造1200をさらに処理することができる。たとえば、材料層1202は、FinFETデバイス内のフィンとして利用することができ、ゲート層およびソース/ドレイン領域を堆積させることができる。別法として、トリミングされた材料層1202自体を利用して、基板1204から形成すべきハードマスクの限界寸法を画定することができる。さらに、本発明の方法を利用して、リソグラフィおよびフィンエッチングによって生じるラインエッジ粗さおよび表面粗さを低減できることが有利である。FinFETチャネル形状および側壁表面上の粗さおよび変動を低減させることで、ノイズおよび変動性を低減させることによってデバイスおよびシステム性能を改善することができる。
上述した個々の方法の部分および/または全体は、Tを逆さにした形状の浮遊ゲートを有するメモリデバイスを形成するために、適宜区別なく使用できることがさらに企図される。たとえば、部分的に製造されたメモリデバイス700(図6に関して論じた)の材料層702上に窒化物層(図4に関して論じた)を堆積させて、トンネル酸化物層が厚くなるのをさらに制限することができる。本明細書に開示する方法の他の組合せおよび変更も同様に、本発明の範囲内である。
たとえば酸化およびエッチングプロセスなどの本明細書に記載する方法は、上記で論じた処理を実行するのに必要なそれぞれのプロセスガス、プラズマなどを提供するように構成された単一の基板処理チャンバ内で実行される。
したがって、本発明の方法は、酸化、エッチング、および任意選択で窒化処理を実行するように構成された単一の反応器またはチャンバ内で実行される。処理チャンバは、紫外(UV)、オゾン、熱、プラズマベースの酸化、または他のラジカルベースの酸化方式(たとえば、ホットワイヤ)の1つまたは複数を含む酸化プロセスを実行するように構成することができる。したがって、チャンバにガス源を結合して、酸化プロセスのための1つまたは複数の酸素含有ガスを提供することができる。処理チャンバは、上記で論じたように、プラズマエッチングまたは凝固および昇華を含む2段階エッチングの1つまたは複数を含むエッチングプロセスを実行するようにさらに構成することができる。2段階エッチングプロセスは、プラズマを用いて活性化することができ、またはプラズマを提供しないで熱活性化することができる。処理チャンバは、2段階エッチングプロセスを容易にするために、基板の温度を急速に制御する熱制御システムをさらに備える。たとえば、処理チャンバは、基板を周期的に加熱および冷却する周期的な加熱(および冷却)能力を含むことができる。そのような加熱能力は、フラッシュエネルギーベースのシステム(ランプ、レーザなど)、チャンバ内の少なくとも2つの所定の基板処理ゾーン間に大きな熱勾配を提供する熱源(それぞれの処理ゾーン内に基板を位置決めすることによって、凝固に適した低い基板温度および昇華に適した高い基板温度を選択的に維持するのに適したものなど)、またはエッチングガスの遠隔プラズマ活性化のための遠隔プラズマ源とプラズマによって引き起こされた加熱を提供する直接プラズマ源との組合せを使用することを含むことができる。基板支持体は、所定の処理ゾーン内で基板を支持するように可動式とすることができ、処理の加熱部分中には基板を支持表面から選択的に上昇させ、処理の冷却部分中には基板を基板支持体表面へ戻すために、リフトピンまたは他の基板持上げ機構をさらに含むことができる。基板支持体はまた、基板支持体を所定の温度(エッチングプロセスのための凝固温度付近など)で維持するために、冷却(または温度制御)システムを有することができる。たとえば、いくつかの実施形態では、熱制御システムは、基板温度を摂氏約30度(凝固を容易にする)から少なくとも摂氏約100度(昇華を容易にする)まで急速(たとえば、約1秒未満、または最高約10秒、もしくは最高約100秒)に変化させるのに適している。
たとえば、そのような構成を有する処理チャンバ1300の概略図を図12に示す。処理チャンバ1300は、基板1303を上に支持するように中に配置された基板支持体1302を含む。チャンバ1300にガス源1304が結合され、酸素含有ガス、エッチングガス、および任意選択で不活性ガス、ならびに/または窒素含有ガス(たとえば、上記で論じたガスのいずれか)を提供する。処理チャンバにプラズマ源1306が結合され、ガス源によって提供されたガスにエネルギーを提供して酸化プラズマまたはエッチングプラズマ、および任意選択で窒化プラズマの少なくとも1つを形成することができる。処理チャンバに加熱源1308が結合され、基板を選択的に加熱し、任意選択で、ガス源のガスにエネルギーを提供して酸化またはエッチング反応の少なくとも1つを形成する。処理チャンバ1300にコントローラ1310が結合され、処理チャンバ1300の動作および構成要素を制御する。ガス源1304は、複数のガス源を有するガスパネルなどの任意の適したガス源とすることができる。ガス源1304は、酸素含有ガスおよびエッチングガスを提供してそれぞれ酸化プラズマ、エッチングプラズマ、酸化反応、またはエッチング反応の1つまたは複数を形成するように最小に構成される。任意選択で、ガス源1304はまた、1つまたは複数の不活性ガスおよび/または窒素含有ガスを提供して、窒化プラズマを形成することができる。
プラズマ源1306は、遠隔プラズマ源、誘導結合源、容量結合源、オーバーヘッド電極(図示せず)に結合された第1の源、および基板支持体に結合された第2の源(図示せず)などの任意の適した1つもしくは複数のプラズマ源、またはプラズマを形成する任意の他のプラズマ源構成とすることができる。いくつかの実施形態では、プラズマ源1306は、ガス源1304のガスにエネルギーを提供して酸化プラズマ、エッチングプラズマ、および任意選択で窒化プラズマを形成するように構成される。いくつかの実施形態では、プラズマ源は、ウエハに熱を供給して、エッチング中に反応生成物を昇華させることができる。
加熱源1308は、基板を加熱し、かつ/またはガス源1304によって提供されたガスから酸化もしくはエッチング反応を形成するのに適した任意の加熱源とすることができる。たとえば、加熱源は、基板またはガス源によって提供されたガスを加熱するように構成された1つまたは複数のランプを含むことができる。別法として、または組み合わせて、加熱源は、抵抗加熱器などの加熱器を含むことができ、この加熱器はたとえば、基板支持体1302内、または処理チャンバにプロセスガスを提供するガスシャワーヘッド内に配置することができる。
動作の際には、システムコントローラ1310は、ガス源1304、プラズマ源1306、および加熱源1308などのそれぞれのシステムからのデータ収集およびフィードバックを可能にし、器具1300の性能を最適化する。システムコントローラ1310は通常、中央処理装置(CPU)、メモリ、および支持回路を含む。CPUは、産業用の設定で使用できる任意の形態の汎用コンピュータプロセッサの1つとすることができる。従来、支持回路はCPUに結合されており、キャッシュ、クロック回路、入出力サブシステム、電源などを含むことができる。上述した浮遊ゲートを形成する方法を実行するものなどのソフトウェアルーチンが、CPUによって実行されると、CPUを専用コンピュータ(コントローラ)1310に変換する。ソフトウェアルーチンはまた、器具1300から遠隔に位置する第2のコントローラ(図示せず)によって記憶および/または実行することができる。1つまたは複数の実施形態によって上述した処理を実行する特定の単一チャンバ装置について、次に説明する。
図13〜15は、修正されたプラズマ処理チャンバの実施形態を説明している。本発明の実施形態は、カリフォルニア州サンタクララのApplied Materials,Inc.または他の場所から入手可能で、図13Aを参照して後述する結合解除されたプラズマ酸化(DPO)反応器など、適した装備を備えたプラズマ反応器内で実施することができる。遠隔プラズマ酸化(RPO)反応器、またはApplied Materials,Inc.から入手可能なP3Iなどの環状源のプラズマ浸漬イオン注入反応器を含む、他の適したプラズマ反応器を利用することもできる。これらの反応器については、それぞれ図14および15を参照して後述する。たとえば、図13Aは、本発明の実施形態による周期的な酸化物形成および除去処理を実施するのに適した例示的なプラズマ反応器1400を示す。反応器1400は、パルスまたは連続波(CW)RF電力生成器によって駆動される誘導結合されたプラズマ源電力アプリケータを介して、イオンエネルギーの低いプラズマを提供することができる。反応器は、円筒形の側壁1412と、ドーム状(図面に示す)、平坦、または他の形状寸法とすることができる天井1414とを有するチャンバ1410を含む。プラズマ源電力アプリケータはコイルアンテナ1416を備え、コイルアンテナ1416は、天井1414上に配置され、インピーダンス整合ネットワーク1418を介してRF電源に結合される。RF電源は、RF電力生成器1420と、選択されたデューティーサイクルを有するパルス信号によって制御される生成器1420の出力部にあるゲート1422とからなる。RF電力生成器1420は、約50ワット〜約2500ワットの電力を提供するように構成される。遠隔RFまたはマイクロ波プラズマ源など、低いイオンエネルギーをもたらす他のプラズマ源電力アプリケータも同様に利用できることが企図される。別法として、電力生成器は、パルス直流生成器とすることができる。
反応器1400は、基板1426、たとえば200または300mmの半導体ウエハなどを保持する静電チャックまたは他の適した基板支持体などの基板支持ペデスタル1424をさらに含む。基板支持ペデスタル1424は通常、基板支持ペデスタル1424の頂面の下に加熱器1434などの加熱装置を含む。加熱器1434は、図13Aに示すように、放射状に内側の加熱要素1434Aおよび外側の加熱要素1434Bを有するデュアル放射状ゾーン加熱器など、単一または複数のゾーン加熱器とすることができる。
反応器1400は、チャンバの内部に結合されたガス射出システム1428および真空ポンプ1430をさらに含む。ガス射出システム1428は、1つまたは複数のプロセスガス源、たとえばO、NO、NO、NO、HO、H、およびHを含む酸化ガスを供給する酸化ガスコンテナ(複数可)1432、水素などの還元ガスを供給する還元ガスコンテナ(複数可)1442、CF、CHF、SF、NH、NF、He、Arなどのエッチングガスを供給するエッチングガスコンテナ(複数可)1448、または特定の用途に必要な他のプロセスガス源、たとえばHe、Arなどのガス、もしくはNなどの窒化ガスに供給される。ガス源(たとえば、酸化ガスコンテナ(複数可)1432、還元ガスコンテナ(複数可)1442、エッチングガスコンテナ1448など)にそれぞれ結合された流量制御バルブ1446、1444、および1449を利用して、処理中にプロセスガスまたはプロセスガス混合物をチャンバの内部に選択的に提供することができる。不活性ガス(ヘリウム、アルゴンなど)、ガス混合物などの追加のガスを提供する他のガス源(図示せず)を設けることもできる。チャンバ圧力は、真空ポンプ1430のスロットルバルブ1438によって制御することができる。
ゲート1422で出力されるパルスRF電力のデューティーサイクルは、ゲート1422に出力部が結合されたパルス生成器1436のデューティーサイクルを制御することによって制御することができる。コイルアンテナ1416によって取り囲まれた天井1414下の体積に対応するイオン生成領域1440内で、プラズマが生成される。このプラズマは、チャンバ1410の上部領域内で基板から距離を空けて形成されるため、疑似遠隔プラズマと呼ばれる(たとえば、プラズマは、遠隔プラズマ形成の利益を有するが、基板1426と同じ処理チャンバ1410内で形成される。)別法として、遠隔プラズマを利用することができ、その場合、イオン生成領域1440をチャンバ1410の外側に配置することができる。
動作の際には、プラズマ反応器1400を用いて、上述した酸化物層に対して本発明の実施形態による酸化プロセスを実施することができる。たとえば、プラズマ処理チャンバ1400内でプロセスガスからプラズマを生成して、酸化物層を形成することができる。プラズマは、チャンバ1410のイオン生成領域1440内で、天井1414の上に配置されたコイルアンテナ1416からのRFエネルギーの誘導結合を介して形成され、低いイオンエネルギー(たとえば、パルスプラズマの場合は約5eV未満、またCWプラズマの場合は15eV未満)を提供する。
いくつかの実施形態では、プラズマを形成するのに適した周波数(たとえば、MHzもしくはGHzの範囲内、または約13.56MHz以上)で、約25〜5000ワットの電力をコイルアンテナ1416に提供することができる。この電力は、約2〜70パーセントのデューティーサイクルで、連続波またはパルスモードとして提供することができる。
たとえば、いくつかの実施形態では、連続する「オン」時間中にプラズマを生成することができ、連続する「オフ」間隔中にプラズマのイオンエネルギーを減衰させることができる。「オフ」間隔は連続する「オン」間隔を分離し、「オン」および「オフ」間隔が制御可能なデューティーサイクルを画定する。デューティーサイクルは、基板の表面の運動イオンエネルギーを所定の閾値エネルギー未満に制限する。いくつかの実施形態では、所定の閾値エネルギーは、約5eV以下である。
たとえば、パルスRF電力の「オン」時間中はプラズマエネルギーが増大し、「オフ」時間中は低減する。短い「オン」時間中、コイルアンテナ1416によって密閉された体積にほぼ対応するイオン生成領域1440内でプラズマが生成される。イオン生成領域1440は、基板1426の上に著しい距離Lだけ上昇する。「オン」時間中にイオン生成領域1440において天井1414付近で生成されるプラズマは、「オフ」時間中に平均速度Vで基板1426の方へ漂流する。各「オフ」時間中、最も速い電子がチャンバ壁へ拡散し、プラズマを冷却させる。最も活発な電子は、プラズマイオン漂流速度Vよりはるかに速い速度でチャンバ壁へ拡散する。したがって、「オフ」時間中にプラズマイオンエネルギーが著しく低減してから、イオンは基板1426に到達する。次の「オン」時間中、イオン生成領域1440内でさらなるプラズマが生じ、サイクル全体が繰返し行われる。その結果、基板1426に到達するプラズマイオンのエネルギーは著しく低減される。チャンバ圧力のより低い範囲、すなわち約10mT以下では、パルスRFの場合のプラズマエネルギーは、連続RFの場合から大いに低減される。
パルスRF電力波形の「オフ」時間、およびイオン生成領域1440と基板1426との距離Lはどちらも、基板1426に到達したときにイオン衝撃による損傷または欠陥をほとんどまたはまったく引き起こさないように、イオン生成領域1440内で生成されたプラズマが十分な量のエネルギーを失うのに十分なものとしなければならない。具体的には、「オフ」時間は、約2〜30kHzまたは約10kHzのパルス周波数、および約5%〜20%の「オン」デューティーサイクルによって画定される。したがって、いくつかの実施形態では、「オン」間隔は、約5〜50マイクロ秒または約20マイクロ秒続くことができ、「オフ」間隔は、約50〜95マイクロ秒または約80マイクロ秒続くことができる。
生成されたプラズマは、低圧処理で形成することができ、それによって汚染が欠陥を引き起こす可能性を低減させる。たとえば、いくつかの実施形態では、チャンバ1410は、約1〜500ミリトルの圧力で維持することができる。さらに、そのような低いチャンバ圧力レベルで予期されるはずのイオン衝撃が引き起こす欠陥は、疑似遠隔プラズマ源を使用することによって、また任意選択で上述したプラズマ源電力にパルスを発生させることによって制限または防止することができる。
基板は、ほぼ室温(摂氏約22度)で、あるいは摂氏約20〜750度、または摂氏約700度未満もしくは摂氏約600度未満の温度に維持することができる。いくつかの実施形態では、遠隔プラズマ酸化プロセスで摂氏約800度未満などのより高い温度も同様に利用することができる。
図13Aのチャンバはまた、基板を冷却する手段を含む。この冷却手段は、ペデスタル1424の上に配置されたシャワーヘッド1450を含むことができる。シャワーヘッド1450は、チャネルまたは導管(図示せず)を介して冷却剤供給1452と連通する複数の開口1451を有する。冷却剤供給は、適したガス、たとえば窒素などの不活性ガス、またはヘリウム、ネオン、もしくはこれらの混合物などの伝導性のガスとすることができる。
冷却手段はまた、支持ペデスタル1424用の冷却システムを別個に、またはシャワーヘッドとともに含むことができる。図13Bは、修正されたチャックを示し、チャックを少なくとも20℃、たとえば22℃、25℃、30℃、または周期的な酸化およびエッチングのプロセスを実行するのに適した任意の他の温度ほどの低さまで冷却するためのフィードバック冷却システム1454を有する。冷却システム1454は、フィードバック制御を必ずしも含む必要がないことが理解されるであろう。支持ペデスタル1424の温度を調節する従来の冷却システムを使用することができる。そのような従来のシステムは、従来の熱サイクルを使用して冷凍剤または冷却剤媒体を冷却し、別個の液体の熱伝達媒体を介して冷却剤と支持ペデスタルとの間で熱を伝達する冷凍システムを用いる。冷却剤は、脱イオン水とグリコールおよび(または)パーフルオロポリエーテルなどの他の物質との混合物とすることができる。
図13Bに示すシステムでは、温度フィードバック制御システム1454は、米国特許出願公開第2007/0097580号に示されているタイプのものであり、フィードバック制御ループプロセッサ1455が裏側ガス圧力バルブ1456を管理する。
ウエハ温度は、膨張バルブ1468とバイパスバルブ1470のいずれか(または両方)を管理する温度フィードバック制御ループを使用して、基板1426上で所与のRF熱負荷下において所望の温度で制御または維持することができるが、最も簡単な実装形態では膨張バルブ1468のみを制御する。
ウエハ1426と冷却された支持ペデスタル1424との間の熱伝導性は、ウエハ1426の裏側と支持ペデスタル1424の頂面との間の境界面内へ熱伝導性のガス(ヘリウムなど)を圧力下で射出することによって高められる。この目的のため、支持ペデスタルの頂面内にガスチャネル1486が形成され、裏側ガス圧力バルブ1456を通ってチャネル1486の内部に加圧ヘリウム供給1488が結合される。ウエハ1426は、クランプ電圧源1490によってグリッド電極1482へ印加される直流クランプ電圧によって頂面上へ静電気によって締め付けられている。ウエハ1426と支持ペデスタル1424との間の熱伝導性は、クランプ電圧およびウエハ裏側上の熱伝導性のガス(ヘリウム)の圧力で決まる。ウエハ温度の制御は、ウエハ温度を所望のレベルに調整するように裏側ガス圧力を変動させること(バルブ1456を制御することによる)によって実施される。裏側ガス圧力が変化すると、ウエハと支持ペデスタル1424との間の熱伝導性が変化し、それによって(a)グリッド電極1482に印加されたまたはプラズマに結合されたRF電力からウエハ1426によって吸収される熱と、(b)ウエハから冷却された支持ペデスタルへ引き込まれる熱との間のバランスを変化させる。このバランスを変化させることで、必然的にウエハ温度を変化させる。したがって、ウエハ温度の敏捷なまたは非常に応答性に優れた制御のために、裏側ガス圧力を管理するフィードバック制御ループを用いることができる。実際の温度は温度プローブで感知され、温度プローブは、温度プローブ1457、第2の温度プローブ1458、蒸発器入り口1463の温度プローブ1459もしくは蒸発器出口1464の温度プローブ1460、またはこれらのプローブのいずれかもしくはすべての組合せとすることができる。この目的のため、フィードバック制御ループプロセッサ1472が、温度プローブの1つまたは複数からの1つまたは複数の入力に応答して、膨張バルブ1468のオリフィス開口寸法を管理する。プロセッサ1472には、ユーザが選択した所望の温度値が与えられ、この温度値は、メモリまたはユーザインターフェース1474内に記憶することができる。簡略化された説明として、連続する各処理サイクル中、プロセッサ1472は、プローブの少なくとも1つによって(たとえば、ESC絶縁層内のプローブ1457によって)測定される現在の温度を、所望の温度値と比較する。次いでプロセッサ1472は、所望の温度値と測定された温度値との差として誤差値を算出し、この誤差から、誤差を低減させる可能性が高いバイパスバルブ1470または膨張バルブ1468のオリフィス寸法に対する補正を判定する。次いでプロセッサ1472は、この補正に応じてバルブオリフィス寸法を変化させる。このサイクルは、基板温度を制御する基板処理の持続時間全体にわたって繰り返される。
プロセッサ1455の入力には、支持ペデスタル内の1つ(または複数)の温度センサ1457、1458、1459、または1460を接続することができる。ユーザインターフェースまたはメモリ1461が、ユーザが選択した温度または所望の温度をプロセッサ1455に提供することができる。連続する各処理サイクル中、プロセッサ1455は、現在の温度測定(センサ1457、1458、1459の1つから)と所望の温度との差として誤差信号を算出する。プロセッサ1455は、その差から、温度誤差を低減させる傾向があるはずの裏側ガス圧力バルブの現在の設定に対する補正を判定し、その補正に応じてバルブ開口を変化させる。たとえば、基板温度が所望の温度を上回っている場合、裏側ガス圧力を増大させて、冷却された支持ペデスタル1424に対する熱伝導性を増大させ、基板温度を下げる必要があるはずである。基板温度が所望の温度を下回っている場合、その逆が当てはまる。したがって基板温度は、下限が支持ペデスタル1424の冷却温度に対応し、上限が基板上のRF熱負荷で決まる温度範囲内で、実質上即座に新しい温度に制御および設定することができる。たとえば、基板温度は、RF熱負荷がなければ増大させることはできず、また支持ペデスタル1424の温度を下回って冷却することはできない。この温度範囲が十分である場合、任意の従来の技法を使用して、敏捷な温度フィードバック制御ループが裏側ガス圧力を容易に管理するのに所望の冷却温度で支持ペデスタル1424を維持することができる。
支持ペデスタル1424は、冷却媒体に対する通路を冷却する形態の熱交換器1462を含む。冷却媒体は、任意の適した冷却流体、たとえばヘリウムもしくは窒素などの冷却ガス、または上述したタイプの流体とすることができる。通路を冷却する熱交換器1462は、入り口1463および出口1464を含む。熱交換器1462は、支持ペデスタル1424とともに内部に収容される。フィードバック制御システム1454は、2つのモード、すなわち冷却モード(熱交換器1462が蒸発器として機能する)および加熱モード(熱交換器1462がコンデンサとして機能する)のいずれかで動作することができる。フィードバック制御システム1454の残りの要素は、支持ペデスタル1424の外部にあり、蓄積器1465と、圧縮器1466(ループを流れる冷却媒体を汲み上げる)と、(冷却動作モード用の)コンデンサ1467および可変オリフィス寸法を有する膨張バルブ1468とを含む。フィードバック制御システム1454(すなわち、熱交換器1462、蓄積器1465、圧縮器1466、コンデンサ1467、膨張バルブ1468、およびこれらをともに結合する導管)は、従来のタイプの冷却媒体(システムが冷却モードで動作するときに冷凍剤または冷却剤として機能する)を収容し、反応器のRF特性との干渉を回避するために低い導電性を有することができる。蓄積器1465は、液体を貯蔵することによって、あらゆる液状の冷却媒体が圧縮器1466に到達するのを防止する。この液体は、バイパスバルブ1469を適当に動作させることによって、蒸気に変換される。
処理中の熱ドリフトの問題を克服するには、熱交換器内部の冷却媒体が液相と気相とに分割されるようにフィードバック制御システム1454、1462、1465、1466、1467、1468を動作させることによって、フィードバック制御システム1454の効率が10倍以上増大される。入り口1463の気液比は、出口1464でのこの比を低減させるのに十分なほど高い。これにより、冷却媒体の蒸発の潜熱に寄与することを通じて、支持ペデスタル1424と熱交換器(蒸発器)1462内の冷却媒体(冷却剤)との間ですべて(またはほぼすべて)の熱伝達が行われることを保証する。その結果、フィードバック制御システム1454内の熱流は、単相の冷却サイクル内の熱流を10倍超過する。この条件は、少なくとも非常にわずかな量の液体しか出口1464(またはその直前)に残らないように、入り口1463から出口1464への冷却媒体の気液比の低減を十分に制限することによって満足させることができる。冷却モードでは、このため、基板上のRF熱負荷がフィードバック制御システム1454の冷却剤の容量を超過しないことが必要である。
裏側ガス圧力バルブ1456を管理する温度フィードバック制御ループ1454と冷凍膨張バルブ1468を管理する広範囲温度フィードバック制御ループは、両フィードバック制御ループプロセッサ1472、1455を制御するマスタプロセッサ1476の制御下で、協調する組合せで同時に動作させることができる。
フィードバック制御ループは、蒸発器1462、圧縮器1466、コンデンサ1467、および膨張バルブ1468を含み、支持ペデスタル1424の温度を変化させることによって加工物温度を制御する。温度範囲は、フィードバック制御システム1454の熱容量のみによって制限され、したがって加工物温度を非常に広い範囲(たとえば、−10℃〜+150℃)内の任意の温度に設定することができる。しかし、特定の瞬間に加工物温度の所望の変化をもたらすことができる速度は、支持ペデスタルの熱質量によって制限される。この速度は非常に遅く、したがって、たとえば300mmの加工物またはシリコンウエハを支持する静電チャックの場合、加工物温度が10℃変化するには、冷凍ユニットが新しい温度を満たすために冷却剤の熱条件を変化させ始める時間から、加工物温度が新しい温度に最終的に到達するまで、1分程度以上を必要とする可能性がある。
対照的に、加工物温度の所望の変化または補正を加える際、温度フィードバック制御システム1454は、支持ペデスタル温度を変化させるのではなく(少なくとも直接には変化させない)、加工物と支持ペデスタルとの間の熱伝導性だけを変化させる。加工物温度がそのような変化に応答する速度は、裏側ガス圧力を変化させることができる速度および加工物の熱質量のみによって制限されるため、極めて速い。裏側ガス圧力は、典型的なシステムでは、わずか数分の1秒内でバルブ1456の動きに応答する。典型的な300mmのシリコンウエハの場合、熱質量は非常に低く、したがってウエハ(加工物)温度は、数秒または数分の1秒内で裏側ガス圧力の変化に応答する。したがって、広範囲温度制御ループが加工物温度の変化をもたらす時間スケールに関して、温度フィードバックループの加工物温度応答は比較的瞬時である。しかし、敏捷なフィードバックループが加工物温度を変化させることができる範囲は極めて制限されており、達成しうる最も高い加工物温度は、ウエハ上のRF熱負荷によって制限され、最も低い温度は、支持ペデスタルの現在の温度を下回ることができない。しかし、敏捷な温度制御ループと広範囲の温度制御ループとをともに組み合わせた際、この組合せが広い加工物温度範囲および非常に速い応答を提供するため、それぞれの利点により他方の制限を補償する。
マスタプロセッサ1476は、広範囲フィードバック制御ループ(プロセッサ1472)を使用すると大きい温度変化をもたらすように、また敏捷なフィードバック制御ループ(プロセッサ1472)を使用すると迅速であるがより小さい温度変化をもたらすようにプログラムすることができる。RFバイアス生成器1478が、HF帯域(たとえば、13.56MHz)内の電力をもたらす。RFバイアス生成器1478のRFバイアスインピーダンス整合要素1480は、加工物ペデスタル支持体を貫通する細長い導体またはRF導体によって導電メッシュ1482に結合される。
上記で論じたように、本発明の実施形態は、図13Aおよび13Bに関して上述した結合解除されたプラズマ酸化チャンバとは異なるチャンバ内で実行することができる。周期的な酸化およびエッチングに適した2つの追加の例示的なプラズマ反応器は、図14に示す修正された急速および/または遠隔プラズマ酸化(RPO)反応器、ならびに図15に示すP3Iなどの修正された環状源のプラズマ浸漬イオン注入反応器を含む。これらの反応器はそれぞれ、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能である。
図14は、プロセスガスからプラズマを形成するために使用され、半導体構造上に酸化物層を堆積させるために利用される装置またはシステムの一実施形態を示す。この装置またはシステムは、それだけに限定されるものではないが、Applied Materials,Inc.のHONEYCOMB SOURCE(商標)を有するRTP CENTURA(登録商標)など、急速熱処理(RTP)装置1500を含む。そのような適したRTP装置およびその動作方法は、本発明の譲受人に譲渡された米国特許第5,155,336号に述べられている。RTP装置の代わりに、たとえばEpiまたはPoly CENTURA(登録商標)などの他のタイプの熱反応器を使用することもできる。エピタキシャルシリコン、ポリシリコン、酸化物、および窒化物などの高温膜を形成するには、Applied Materialsによる単一ウエハの「Cold Wall」反応器を使用することができる。Applied MaterialsによるDxZ(登録商標)チャンバも適している。
RTP装置1500にはプラズマアプリケータ1502が結合されており、このプラズマアプリケータ150は、動作の際にはRTP装置1500にプラズマのラジカルを提供する。プラズマアプリケータ1502にはエネルギー源1504が結合されており、プラズマを生じさせるための励起エネルギーを生成する。
図14に示す実施形態では、RTP装置1500は、側壁1508および底壁1510によって密閉された処理チャンバ1506を含む。チャンバ1506の側壁1508の上部は、「O」リングによって窓アセンブリ1512に密閉される。窓アセンブリ1512の上には放射エネルギー光導波管アセンブリまたは照明器1514が位置決めされ、窓アセンブリ1512に結合される。光導波管アセンブリ1514は、複数のタングステンハロゲンランプ1516、たとえばSylvania EYTランプを含み、これらのランプはそれぞれ、たとえば光導波管1518内に取り付けられている。光導波管1518は、ステンレス鋼、真鍮、アルミニウム、または他の金属から作ることができる。
チャンバ1506内部のエッジ上には、通常は炭化ケイ素から作られる支持リング1522によって、ウエハまたは基板1520が支持される。支持リング1522は、回転可能な石英の円筒1524上に取り付けられる。石英の円筒1524を回転させることによって、処理中に支持リング1522およびウエハまたは基板1520を回転させる。追加の炭化ケイ素アダプタリングを使用して、異なる直径のウエハまたは基板(たとえば、150ミリメートル、200ミリメートル、または300ミリメートルのウエハ)を処理することもできる。
RTP装置1520の底壁1510は、たとえば、エネルギーをウエハまたは基板1520の裏側へ反射する金でコーティングされた頂面またはリフレクタ1526を含む。さらに、RTP装置1500は、ウエハまたは基板1520の温度を底面全体にわたって複数の位置で検出するようにRTP装置1500の底壁1510を貫通して位置決めされた複数の光ファイバプローブ1528を含む。
RTP装置1520は、チャンバ1506内へプロセスガスを射出してチャンバ1506内で様々な処理ステップを実施できるように側壁1508を貫通して形成されたガス入り口(図示せず)を含む。ガス入り口の反対側には、側壁1508内に、ガス出口(図示せず)が位置決めされる。ガス出口は、排気システムの一部であり、チャンバ1506からプロセスガスを排気してチャンバ1506内の圧力を低減させるようにポンプ(図示せず)などの真空源に結合される。プラズマのラジカルを含むプロセスガスが処理中にチャンバ1506内へ絶えず供給されている間、排気システムは所望の圧力を維持する。
側壁1508を貫通して別のガス入り口1530が形成され、このガス入り口1530を通して、プロセスガスのプラズマを処理チャンバ内へ射出することができる。ガス入り口1530にはアプリケータ1502が結合されており、処理チャンバ内へプラズマのラジカルを射出する。
光導波管アセンブリ1514は、六角形のアレイまたは「ハニカム」形状に位置決めされたランプ1516を含むことができる。ランプ1516は、ウエハまたは基板1520および支持リング1522の表面積全体を十分に覆うように位置決めされる。ランプ1516は、ゾーンにグループ化されており、これらのゾーンは、ウエハまたは基板1520の極めて均一な加熱を実現するように独立して制御することができる。光導波管1518は、様々な光導波管間に水などの冷却剤を流すことによって冷却することができる。
窓アセンブリ1512は、複数の短い光導波管1532を含む。光導波管1532間の空間内へ水などの冷却剤を射出して、光導波管1532を冷却することができる。光導波管1532は、照明器の光導波管1518と位置合わせされる。チューブ1540を通じて汲み上げることによって、複数の光導波管1532内に真空をもたらすことができる。チューブ1540は光導波管1532の1つに接続されており、この光導波管は、残りの管に接続されている。
RTP装置1500は、摂氏25〜100度/秒の速度でウエハまたは基板1520の温度を傾斜させることが可能な単一ウエハの反応チャンバである。RTP装置1500は、たとえば酸化プロセス中のウエハまたは基板1520の温度がチャンバ側壁1508の温度より少なくとも摂氏400度大きいため、「コールドウォール(cold wall)」反応チャンバと呼ぶことができる。側壁1508および/または底壁1510内に加熱/冷却流体を循環させて、壁を所望の温度に維持することができる。
上述したように、RTP装置1500にはプラズマアプリケータ1502が結合されており、RTP装置1500にプラズマのラジカル源を提供する。一実施形態では、プラズマは入り口部材1542によってRTP装置1500に接続される。プラズマアプリケータ1502はまた、ガス入り口1544を含む。ガス入り口1544には、リザーバまたはタンク1546などのガス源が結合される。プラズマアプリケータ1502は、導波管1548aおよび1548bによってエネルギー源1504に結合される。ガス源は、酸化ガス、不活性ガス、窒化用の窒素ガス、およびエッチングガスの1つまたは複数を含むことができ、これらのガスは別個のタンクまたはリザーバ内に位置することができる。
図14は、RTP装置1500のチャンバ1506の外側でプラズマが生成されるという点で、プラズマアプリケータ1502がRTP装置1500から遠隔である一実施形態を示す。RTP装置1500のチャンバ1506から遠隔にプラズマアプリケータ1502を配置することによって、ウエハまたは基板1520に露出されるプラズマの組成を主にラジカルに制限するように、プラズマ源を選択的に生成することができる。したがって、プラズマアプリケータ1502内にイオン、ラジカル、および電子のプラズマが生成される。しかし、プラズマアプリケータ1502の寸法(たとえば、長さおよび体積)またはプラズマアプリケータ1502と入り口部材1542とを組み合わせた寸法のため、プラズマを形成するためにプロセスガスの励起によって生成されるイオンのすべてまたは大部分は、イオンの寿命より長く残り、電荷中性になる。したがって、RTP装置1500のガス入り口へ供給されるプラズマの組成は主にラジカルである。
プラズマアプリケータ1502は、たとえばアルミニウムまたはステンレスの本体1503を含む。本体1503は、チューブ1505を取り囲む。チューブ1505は、たとえば石英またはサファイアから作られる。チューブ1505には、荷電粒子、たとえばイオンを引き付けうるいかなる電気バイアスも存在しないことが好ましい。本体1503の一端は、ガス入り口1544を含む。
ガス入り口1544には、ガス源1546が結合される。ガス源1546は、3路バルブ1550の第1の入力を通ってガス入り口1544に結合される。3路バルブ1550の第2の入力は、リザーバまたはタンク1552などの別のプロセスガス源に結合される。第1の位置では、バルブ1550は、ガス源1546とガス入り口1544との間にガス流を実現しながら、ガス源1552から処理チャンバ1506へのいかなるガス流も防止する。バルブ1550は、第2の位置では、ガス源1552と処理チャンバ1506との間にガス流を実現しながら、ガス源1546からアプリケータのガス入り口1544へのガス流を防止する。これらのガス源は、酸化ガス、不活性ガス、窒化用の窒素ガス、およびエッチングガスの1つまたは複数を含むことができ、これらのガスは別個のタンクまたはリザーバ内に位置することができる。
バルブ1550には流量コントローラ1554が接続されており、どの処理を実施するかに応じて、異なる位置間でバルブを切り換える。流量コントローラは、質量流量コントローラとして機能することができ、プラズマアプリケータ1502へのガスの流れを調節するようにガス源1546とガス入り口1544との間に結合することができる。流量コントローラ1554はまた同様に、バルブ1550および1551を制御してガス源1546または1552から処理チャンバへ適当なプロセスガス流を提供するように機能する。
ガス入り口1544の反対側には、ラジカル出口1562が位置決めされている。ラジカル出口1562は、入り口部材1542に結合されており、一実施形態ではプラズマ1564のラジカルをRTP装置1500のチャンバ1506へ供給する。ラジカル出口1562は通常、ガス入り口1544より大きい直径を有し、励起されたラジカルを所望の流量で効率的に放出でき、ラジカルとチューブ1505との間の接触を最小にする。プラズマアプリケータ1502によって生成および放出されるラジカルの流量は主に、ガス源入り口の流量、チューブ1505およびラジカル出口1562の寸法、ならびにプラズマアプリケータ1502内の圧力で決まる。
処理チャンバ内の圧力は、アプリケータ内の圧力未満とするべきである。処理チャンバ内の圧力は約0.50〜4.0トルとすることができ、アプリケータ内の圧力は約1.0〜8.0トルとすることができる。たとえば、アプリケータ内の圧力が約2.00トルである場合、処理チャンバ内の圧力は約1.00トルとするべきである。
本体1503のガス入り口1544とラジカル出口1562との間の位置に、エネルギー源入り口1566がある。エネルギー源入り口1566により、マイクロ波周波数を有するエネルギーなどの励起エネルギーを、エネルギー源1504からチューブ1505内へ導入することができる。マイクロ波周波数の場合、励起エネルギーはチューブ1505を通ってプラズマアプリケータ1502の本体1503内へ入り、エネルギー源入り口1566に対して垂直の方向に進むガス源を励起してプラズマにする。
一実施形態では、エネルギー源1504は、マグネトロン1568と、断路器および疑似負荷1570とからなる。疑似負荷1570は、インピーダンス整合のために設けられる。マグネトロン1568は、たとえば電磁または誘導結合周波数などの励起エネルギーを生成する。マグネトロンは、1.5〜6.0キロワットの2.54GHZのマイクロ波エネルギーを生成することができる。適したマグネトロンアセンブリは、マサチューセッツ州ウォバーンのApplied Sciences and Technology、またはカリフォルニア州サンタクララのDaihen Americaから得ることができる。
マグネトロン1568からの励起エネルギーは、断路器および疑似負荷1570、ならびに導波管1548aおよび1548bを通ってチューブ1505へ誘導される。疑似負荷1570は、ある意味ではチェックバルブのように作用し、マグネトロン1568の方ではなくアプリケータ1502の方向にエネルギーを流すことができる。
プラズマアプリケータ1502と導波管1548bとの間には、オートチューナ1572が位置する。オートチューナは、アプリケータ1502から反射された放射を逆にプラズマアプリケータの方へ再誘導し、プラズマアプリケータ1502へ供給されるエネルギーを増大させる。オートチューナ1572はまた、アプリケータへ供給されるガスによってエネルギーがより優先的に吸収されるように、チューブ1505の中心にマイクロ波エネルギーを集束させる。オートチューナが好ましいが、手動チューナを使用することもできる。
システムコントローラ1556には、たとえば、システムコントローラ1556内のメモリ1557などのコンピュータ可読媒体内に記憶されたコンピュータプログラムであるソフトウェア命令論理の形態で、制御信号生成論理1555が供給される。コンピュータプログラムは、とりわけ、特定の処理のタイミング、ガス流量、チャンバ圧力、チャンバ温度、RF電力レベル、エネルギー源調節、および他のパラメータを指示する命令セットを含む。コンピュータプログラムは、プロセッサ1559内でシステムコントローラ1556によって処理される。したがって、これらの命令は、タイミング、ガス流量、チャンバ圧力、チャンバ温度、RF電力レベル、エネルギー源調節、および他のパラメータを指示して、本明細書に記載する周期的な酸化およびエッチングのプロセスを実行するように動作することができる。図14の装置は、システムコントローラに関連して図13Bに関して上述した冷却ループをさらに含むことができる。
図15は、それだけに限定されるものではないが、Applied Materials,Inc.のP3I反応器など、環状源のプラズマイオン浸漬イオン注入反応器の一実施形態を示す。そのような適切な反応器およびその動作方法は、本発明の譲受人に譲渡された米国特許第7,166,524号に述べられている。
図15を参照すると、環状源のプラズマ浸漬イオン注入(「P3I」)反応器1600は、円筒形の側壁1604およびディスク状の天井によって画定された円筒形の真空チャンバ1602を含むことができる。チャンバの床面のウエハ支持ペデスタル1608は、処理すべき半導体ウエハ1610を支持する。天井1614上のガス分配プレートまたはシャワーヘッド1612は、ガス分配パネル1616からのプロセスガスをそのガスマニホルド1614内に受け取る。ガス分配パネル1616のガス出力は、1つまたは複数の個々のガス供給1618からのガスのいずれかまたは混合物とすることができる。ウエハ支持ペデスタル1608と側壁1604との間に画定されたポンピング環帯1622に、真空ポンプ1620が結合される。ウエハ1610とガス分配プレート1612との間には、処理領域1624が画定される。
1対の外部の再入可能な導管1626、1628が、プラズマ電流が処理領域を通過するための再入可能な環状の経路を確立し、これらの環状の経路は、処理領域1624内で交差する。導管1626、1628はどちらも、チャンバの両側に結合された1対の端部1630を有する。各導管1626、1628は、中空の導電チューブである。各導管1626、1628は、導管の2つの端部間で閉ループ導電経路の形成を防止する直流絶縁リング1632を有する。
各導管1626、1628の環状部分は、環状の磁芯1634によって取り囲まれている。芯1634を取り囲む励起コイル1636が、インピーダンス整合デバイス1640を通じてRF電源1638に結合される。芯1634のそれぞれに結合される2つのRF電源1638は、わずかに異なる2つの周波数のものとすることができる。RF電力生成器1638から結合されるRF電力は、それぞれの導管1626、1628および処理領域1624を通って延びる閉じた環状の経路内にプラズマイオン電流をもたらす。これらのイオン電流は、それぞれのRF電源1626、1628の周波数で発振する。ウエハ支持ペデスタル1608には、バイアス電力生成器1642によってインピーダンス整合回路1644を通ってバイアス電力が印加される。
プラズマ形成および後の酸化物層の形成は、ガス分配プレート1612を通じてチャンバ1624内へプロセスガスを導入し、生成器1638から再入可能な導管1626、1628へ十分な源電力を印加して導管および処理領域1624内に環状のプラズマ電流を生じさせることによって実行することができる。ウエハ表面近傍のプラズマフラックスは、RFバイアス電力生成器1642によって印加されるウエハバイアス電圧で決まる。プラズマの速度またはフラックス(平方cm/秒単位のウエハ表面をサンプリングするイオンの数)は、プラズマ密度で決まる。プラズマ密度は、RF源電力生成器1638によって印加されるRF電力のレベルによって制御される。ウエハ1610における累積的なイオン線量(個/平方cm)は、フラックスとフラックスが維持される総時間との両方で決まる。
ウエハ支持ペデスタル1608が静電チャックである場合、ウエハ支持ペデスタルの絶縁プレート1648内に埋設型の電極1646が設けられ、埋設型の電極1646は、インピーダンス整合回路1644を通じてバイアス電力生成器1642に結合される。
動作の際には、半導体ウエハ上の酸化物または窒化物層の形成は、ウエハ支持ペデスタル1608上にウエハ1610を配置し、1つまたは複数のプロセスガスをチャンバ1602内へ導入し、プロセスガスからのプラズマを当てることによって実現される。RFバイアス電力生成器1642によって供給されるウエハバイアス電圧を調整して、ウエハ表面に対するイオンのフラックスを制御することができる。
図13A、14、および15に関して上述した装置のいずれかにおいて、酸化中の例示的な条件は、約1ミリトル〜約10トルの範囲内の圧力、約1〜5000ワットの範囲内、より具体的には約1〜3000ワットの範囲内の電力、および約0℃〜約800℃の範囲内、より具体的には約0℃〜約500℃の範囲内の温度である。
例示的なエッチング条件は、約1ミリトル〜約10トルの範囲内のチャンバ圧力、1〜5000ワットの範囲内の電力、および約0℃〜約800℃の範囲内の温度を含む。特定の実施形態では、エッチングは、約30℃±5℃でNH/NF反応を使用して直流プラズマを用いて行われる。昇華反応は、基板を1ミリトル〜約10トルの範囲内の圧力で少なくとも約1分間、少なくとも約100℃まで加熱することによって実現することができる。図13A、14、および15に関連して上述したチャンバを使用して、これらの条件を実現し、本明細書に記載する周期的なエッチングならびに酸化および/または窒化処理を実行することができる。
理解されるように、図13A、14、および15を参照して説明するチャンバは、いずれも図12に示すシステムに関して上述したチャンバの動作を制御するために、システムコントローラを含むことができる。したがって動作の際には、システムコントローラは、ガス源、プラズマ源(複数可)、加熱源(複数可)、および他の構成要素などのそれぞれのシステムからのデータ収集およびフィードバックを可能にし、器具またはチャンバの性能を最適化する。したがって、ガス源は、ガス流でチャンバ内の圧力を増大または低減させることができるシステムコントローラと連通する体積または質量流量コントローラを含むことができる。プラズマ源と連通するシステムコントローラは、チャンバのプラズマ源の電力、バイアス、および他のプラズマパラメータを変化させることができる。システムコントローラはまた、加熱源が図16および17に関して後述するタイプの加熱されたシャワーヘッド、抵抗加熱器、ランプ源、またはレーザ源であるかどうかにかかわらず、加熱源と連通している。さらに、システムコントローラは、チャンバ壁、基板支持体、またはチャンバ内の他の局部的な冷却源を冷却する冷却システムと動作可能に連通することができる。システムコントローラは通常、中央処理装置(CPU)、メモリ、および支持回路を含む。CPUは、産業用の設定で使用できる汎用コンピュータプロセッサの任意の形態の1つとすることができる。従来、支持回路はCPUに結合されており、キャッシュ、クロック回路、入出力サブシステム、電源などを含むことができる。上述した浮遊ゲートを形成する方法を実行するものなどのソフトウェアルーチンが、CPUによって実行されると、CPUを専用コンピュータ(コントローラ)に変換する。ソフトウェアルーチンはまた、器具から遠隔に位置する第2のコントローラ(図示せず)によって記憶および/または実行することができる。システムコントローラを使用することによって、所望の材料厚さを有する酸化物および/または窒化物層が形成されるまで、図13A、14、および15のチャンバ内で、酸化物層および/または窒化物層の形成ステップ、エッチングステップ(プラズマおよび昇華による)を周期的に繰り返すことができる。例示的なデバイスおよび処理シーケンスについては、図3A〜3C、5A〜5E、7A〜7D、8A〜8B、10A〜10D、または11A〜11Cに関して上述しており、これらの処理はいずれも、図13A、14、および15に関して記載した単一のチャンバ内で実行することができる。
1つまたは複数の実施形態によれば、酸化および/または窒化ならびにエッチングステップの全処理シーケンスは、チャンバ内で約3分未満で完了することができる。特定の実施形態では、酸化および/または窒化ならびにエッチングステップの全処理シーケンスは、チャンバ内で約2分未満で完了することができ、さらに特定の実施形態では、酸化および/または窒化ならびにエッチングステップの全処理シーケンスは、チャンバ内で約1分未満、たとえば45秒または30秒で完了することができる。以前は、そのような処理時間は、酸化および/または窒化ならびにエッチングの少なくとも1つの単一処理シーケンスを完了するために、エッチング反応、酸化および/または窒化反応と、摂氏約100度以上の温度から摂氏約100度未満、たとえば摂氏約50度未満、より具体的には摂氏約40度未満、たとえば摂氏約30度±摂氏5度へ急速に循環する能力とをどちらも必要とする単一のチャンバ内では実現できなかったと考えられる。
浅く急峻な接合を有することができる、上述したタイプの極めて狭い特徴を有するデバイスの製造は、材料表面の上部の数ミクロンのみの高精度の熱制御から利益を得ることができる。この目的のため、図13Aおよび14〜15に関して上述したシステム内にランプまたはレーザ加熱特徴を含むことが望ましいであろう。1つまたは複数の実施形態では、ランプまたはレーザからの光は、ランプによって放出されている光エネルギーが、処理されている材料による吸収を最適化する入射角でウエハに接触するように構成される。本発明によって処理されている材料には、加熱されている材料によって波長の一部分が効率的に吸収されるように、単一波長源で、または光の複数の波長で接触することができる。適した光源は、レーザ、またはアークランプ、タングステンハロゲンランプなどの様々な非干渉性の光源を含む。
処理されているデバイスの低減された面積に集束された短い(たとえば、20ns)パルスのレーザ放射を利用するパルスレーザ熱処理が開発されてきた。理論上は、これらのパルスは、20mm×30mmの範囲内の光学ステッパ領域と同じ寸法である。レーザパルスの総エネルギーは、照射された領域の表面を高温まで直ちに加熱するのに十分なものである。その後、浅いレーザパルスによって生成されるわずかな量の熱が、処理されている材料の加熱されていない下部内へ迅速に拡散し、それによって照射された表面領域の冷却速度を大いに増大させる。いくつかのタイプの高出力レーザでは、1秒当たり数百パルスの繰返し率でパルスを発生させることができる。このレーザは、処理されている材料の表面全体をステップアンドリピート式のパターンで動かされ、隣接する領域内でパルスを発生させて、処理されている材料の表面全体を同様に熱処理する。より新しい種類のレーザ熱処理機器が開発されており、長い寸法および短い寸法を有する連続波(CW)レーザ放射の狭い線のビームが、処理すべき材料全体にわたって、短い寸法、すなわち線に対して垂直の方向に走査される。線幅は十分に小さく、また走査速度は十分に速く、したがって走査された放射線は、表面で非常に短い熱パルスをもたらし、その後基板内へ垂直に、またより低温の表面領域に対して水平に、迅速に拡散する。この処理は、熱流束アニールと呼ぶことができる。米国特許第6,987,240号は、ビームの長手方向に沿って並べたレーザダイオードバーを使用してレーザ放射をもたらすことについて開示している。これらのレーザダイオードバーは通常、GaAsまたは類似の半導体材料から構成され、光電子チップの同じ層内に形成された複数のダイオードレーザから構成される。米国特許第6,987,240号に開示されているGaAsレーザバーは、約808nmの波長で近赤外放射を放出し、ケイ素内へうまく結合する。したがって、1つまたは複数の実施形態によれば、ランプ放射、パルスレーザ、連続波レーザ、および/またはレーザダイオードを使用すると、材料層の表面を選択的に酸化させて酸化物層を形成し、かつ/または酸化物層をエッチングすることができる。
より最近では、GaAsダイオード以外のレーザ源、たとえば二酸化炭素レーザも利点を有すると認識されており、デュアルレーザ源を利用するための提案もなされてきた。たとえば、米国特許第7,279,721号は、材料層の表面を選択的に酸化させて酸化物層を形成するため、および/または酸化物層をエッチングするために使用できるデュアルレーザ源システムを開示している。
次に、図16および17を参照すると、米国特許第7,279,721号に開示されているタイプのデュアル源の光システムの例示的な実施形態が示されている。図16は、本発明の一実施形態の簡略化された概略図を示す。ウエハ1720または他の基板が、システムコントローラ1724の制御下で1方向または2方向にモータ駆動されるステージ1722上に保持されている。GaAsレーザバーなどの比較的短波長のレーザ1726が、約1.11μmというケイ素のバンドギャップ波長より短い波長で、可視または近可視の連続波(CW)ビーム1728を放出する。GaAsレーザ1726の場合、放出波長は通常約810nmであり、この波長は赤色を特徴とすることができる。図17の平面図にも示すように、第1の光学系1730が、ビーム1728を集束させて成形し、リフレクタ1732が、ビーム1728を比較的広い活性化ビーム1734としてウエハ1720の方へ再誘導する。活性化ビーム1734は、GaAsレーザ1726への後方反射を防止するために、ある角度、たとえばウエハの垂線に対して15度で勾配させることができる。そのように放射が反射されると、ダイオードレーザの寿命を短くすることがある。長波長のレーザ1740、たとえばCOレーザが、1.11μmというケイ素のバンドギャップ波長より長い波長で、赤外の連続波(CW)ビーム1742を放出する。特定の実施形態では、COレーザは、10.6μm付近の波長で放出される。第2の光学系1744が、COビーム1742を集束させて成形し、第2のリフレクタ1746が、COビーム1742を反射して比較的狭い加熱ビーム1748にする。特定の実施形態では、CO加熱ビーム1748は、基板1720内への加熱ビーム1748の結合を最大にするために、ブルースター角で勾配させる。ブルースター角は、ケイ素の場合、基板の垂線に対して約72度である。ブルースター角での入射は、p偏光放射、すなわち基板1720の表面に沿って偏光させた放射にとって最も効果的である。基板1720内で屈折したビームと何らかの反射したビームとの間に90度の角度が存在することから放射が反射しないためである。したがって、COビーム1748のp偏光に対して、s偏光が抑制されることが有利である。しかし、実験では、20度の円錐の放射が基板の垂線から40度(±10度)のところを中心とする結果、複数のパターンに対する吸収の変動性が約3.5%になることを示した。これは、ブルースター角を中心とする円錐で実現される2.0%とほぼ同程度である。図17に示すように、長波長の(CO)加熱ビーム1748は、より大きい短波長(可視)の活性化ビーム1734内に位置し、好ましくは活性化ビーム1734上を中心とする。レーザ1726、1740および光学要素1730、1732、1744、1746を備える光源1750に対してステージ1722が基板1720を動かすとき、ビーム1734、1748はどちらも基板1720全体にわたって同期して走査される。別法として、アクチュエータ1752がコントローラ1724からの信号に応じて基板1720の表面に対して平行な1方向または2方向に光源1750のすべてまたは一部を動かす間、基板1720を静止したまま保持することも可能である。
赤外の加熱ビーム1748と可視の活性化ビーム1734とのどちらの場合も、基板1720上のビーム形状は実質上方形であり、または少なくとも非常に長円形である。実際には、ビームは図示の形状を越えて延びる有限の末端を有するため、図示のビーム形状は概略的であり、中心強度の一部分を表すことが理解される。さらに、両ビーム1734、1748が基板1720に対して同時に動かされるため、赤外ビーム1748は、より大きい可視ビーム1734上をほぼ中心とすることが好ましい。
通常の結果は、ケイ素内で急峻に減衰するより大きい可視ビーム1734が、ウエハ表面に全体として近接するある程度大きい領域内で自由キャリアを生成することである。普通なら照射されていないケイ素によって吸収されないより小さい赤外ビーム1748は、可視ビーム1734によって生成された自由キャリアと相互作用し、赤外ビーム1748の長波長の放射は効率的に吸収されて熱に変換され、それによって赤外ビーム1748の領域内の温度を迅速に上昇させる。
より大きい可視ビーム1734が小さい赤外ビーム1748を包含するはずであるとき、温度傾斜速度および走査速度は主に、小さい赤外ビーム1748の寸法で決まる。走査方向における小さい加熱ビーム1748の幅が、温度傾斜速度を部分的に決定し、大部分の適用分野では最小になる。走査方向に対して垂直である小さい加熱ビーム1748の長さは、基板のかなりの部分を覆って延び、したがって1回の通過でかなりの部分をアニールするのに十分な大きさであるべきである。通常、線ビームの長さは、その幅の少なくとも10倍である。長さは、基板の直径に等しく、またはわずかに超過することが最適である。しかし、実用化可能な適用分野では、長さは数ミリメートル程度とすることができる。ウエハ上での小さい加熱ビーム1748の例示的な寸法は0.1mm×1mmであるが、他の寸法を使用することもできる。通常、より小さい幅、たとえば500μm未満または175μm未満がより望ましい。より大きい活性化ビーム1734は、加熱ビーム1748よりたとえば1mm大きくすることができ、したがって、この例示的な1組の寸法では、走査方向に約1mm延び、垂直の方向に数ミリメートル延びるはずである。
デュアル波長の結果、可視放射が吸収された表面領域内により多くの赤外吸収が集中する。表面領域の深さは、CO放射自体の吸収長さより小さい。室温でのケイ素内の可視放射の減衰深さは、波長の低減とともに可視スペクトル内で急速に低減し、たとえば、800nmの放射の場合は吸収深さが約10μmであり、600nmの放射の場合は3μmであり、500nmの場合は約1μmである。したがって、ウエハ表面に非常に近いところのみで自由キャリアを生成して加熱を表面付近に閉じ込めるには、より短い活性化波長が有利である。したがって、適用分野によっては、緑色を特徴とすることができる周波数逓倍Nd:YAGレーザからの532nmの放射など、さらに短い活性化波長が望ましい。
上記の光源システムは、必ずしもデュアル光源を含む必要はなく、いくつかの実施形態では、単一の光源も使用できることが理解されるであろう。光源システムを使用して1つまたは複数の実施形態による基板上の材料層を加熱する場合、光源システムは、本明細書で上述または後述するチャンバのいずれかのシステムコントローラと連通することができ、材料表面の加熱は、光源に対する様々な処理パラメータ、たとえば光源への電力および光に対する材料層の露出時間を制御できるシステムコントローラによって制御することができる。
別の実施形態では、修正された乾式エッチングチャンバを利用して、酸化物材料表面の周期的な酸化およびエッチングを実行することができる。例示的なチャンバは、Applied Materialsから入手可能で、図18〜20に関して後述するSICONI(商標)である。
図18は、例示的な処理チャンバ1800を示す部分横断面図である。処理チャンバ1800は、チャンバ本体1801、リッドアセンブリ1840、および支持アセンブリ1820を含むことができる。リッドアセンブリ1840は、チャンバ本体1801の上端部に配置され、支持アセンブリ1820は、チャンバ本体1801内に少なくとも部分的に配置される。チャンバ本体1801は、処理チャンバ1800の内部へのアクセスを提供するために、チャンバ本体1801の側壁内に形成されたスリットバルブ開口1811を含むことができる。スリットバルブ開口1811は、チャンバ本体の内部へのアクセスを可能にするように選択的に開閉される。
チャンバ本体1801は、熱伝達流体を流すようにチャンバ本体1801内に形成されたチャネル1802を含むことができる。熱伝達流体は加熱流体または冷却剤とすることができ、処理および基板移送中にチャンバ本体1801の温度を制御するために使用される。例示的な熱伝達流体は、水、エチレングリコール、またはこれらの混合物を含む。例示的な熱伝達流体はまた、窒素ガスを含むことができる。
チャンバ本体1801は、支持アセンブリ1820を取り囲むライナ1808をさらに含むことができる。ライナ1808は、保守および洗浄のために取外し可能とすることができる。ライナ1808は、アルミニウムなどの金属またはセラミック材料から作ることができる。しかし、ライナ1808は、処理に適合している任意の材料とすることができる。ライナ1808は、ライナ1808上に堆積される任意の材料の接着を増大させるようにビードブラスト処理することができ、それによって、処理チャンバ1800の汚染を招く材料の剥離を防止する。ライナ1808は、1つまたは複数の開口1809と、開口1809内に形成され、真空システムと流体を連通させるポンピングチャネル1806とを含むことができる。開口1809は、ポンピングチャネル1806内へのガス用の流路を提供し、それによって処理チャンバ1800内のガス用の出口を提供する。
真空システムは、処理チャンバ1800を通るガスの流れを調節するために、真空ポンプ1804およびスロットルバルブ1805を含むことができる。真空ポンプ1804は、チャンバ本体1801上に配置された真空ポート1807に結合され、したがってライナ1808内に形成されたポンピングチャネル1806と流体を連通させる。
開口1809により、ポンピングチャネル1806は、チャンバ本体1801内の処理ゾーン1810と流体を連通させることができる。処理ゾーン1810は、リッドアセンブリ1840の下面および支持アセンブリ1820の上面によって画定され、ライナ1808によって取り囲まれる。開口1809は、均一に寸法設定し、ライナ1808の周りで等間隔に配置することができる。しかし、任意の数、位置、寸法、または形状の開口を使用することができ、それらの設計パラメータはそれぞれ、以下でより詳細に論じるように、基板受取り表面全体でガスの所望の流れパターンに応じて変動することができる。さらに、開口1809の寸法、数、および位置は、処理チャンバ1800から出るガスの均一の流れを実現するように構成される。さらに、開口寸法および位置は、急速または大容量のポンピングを提供してチャンバ1800からの急速なガスの排気を容易にするように構成することができる。たとえば、真空ポート1807近傍の開口1809の数および寸法は、真空ポート1807からより遠くに位置決めされた開口1809の寸法より小さくすることができる。
リッドアセンブリ1840についてより詳細に考えると、図19は、チャンバ本体1801の上端部に配置できるリッドアセンブリ1840の拡大横断面図を示す。図18および19を参照すると、リッドアセンブリ1840は、プラズマ領域または空胴を間に形成するように互いに重なり合った複数の構成要素を含む。リッドアセンブリ1840は、第1の電極1841(「上部電極」)と、第1の電極1841が上に垂直に配置された第2の電極1852(「下部電極」)とを含み、電極1841、1852間にプラズマ体積または空胴1849を閉じ込めることができる。第1の電極1841はRF電源などの電源1844に接続され、第2の電極1852は接地に接続され、2つの電極1841、1852間に容量を形成する。
リッドアセンブリ1840は、第1の電極1841の上部区域1843内に少なくとも部分的に形成された1つまたは複数のガス入り口1842(1つのみを示す)を含むことができる。1つまたは複数のプロセスガスが、1つまたは複数のガス入り口1842を介してリッドアセンブリ1840に入る。1つまたは複数のガス入り口1842は、第1の端部でプラズマ空胴1849と流体を連通させ、第2の端部で1つもしくは複数の上流ガス源および/またはガス混合器などの他のガス供給構成要素に結合される。1つまたは複数のガス入り口1842の第1の端部は、拡大区域1846の内径1850の最上点でプラズマ空胴1849内へ開くことができる。同様に、1つまたは複数のガス入り口1842の第1の端部は、拡大区域1846の内径1850に沿って任意の高さ間隔でプラズマ空胴1849内へ開くことができる。図示しないが、2つのガス入り口1842は、拡大区域1846の両側に配置して、拡大区域1846内へ渦状の流れパターンまたは「渦巻」状の流れを生じさせることができ、それによってプラズマ空胴1849内のガスの混合を助ける。
第1の電極1841は、プラズマ空胴1849を収容する拡大区域1846を有することができる。拡大区域1846は、上述したガス入り口1842と流体を連通させることができる。拡大区域1846は、上部1847から下部1848へ段階的に増大する内面または直径1850を有する環状部材とすることができる。したがって、第1の電極1841と第2の電極1852との距離は可変である。その変動する距離により、プラズマ空胴1849内で生成されるプラズマの形成および安定性の制御を助ける。
拡大区域1846は、図18および19に示すように、円錐または「漏斗」に似たものとすることができる。拡大区域1846の内面1850は、拡大区域1846の上部1847から下部1848へ段階的に勾配することができる。内径1850の勾配または角度は、処理要件および/または処理制限に応じて変動することができる。拡大区域1846の長さまたは高さもまた、特定の処理要件および/または制限に応じて変動することができる。内径1850の勾配もしくは拡大区域1846の高さ、または両方は、処理に必要なプラズマの体積に応じて変動することができる。
理論に拘束されるものではないが、2つの電極1841、1852間の距離の変動により、プラズマ空胴1849内で形成されるプラズマは、プラズマ空胴1849全体ではない場合、プラズマ空胴1849の一部分内でプラズマ自体を維持するのに必要な電力レベルを見出すことができると考えられる。したがって、プラズマ空胴1849内のプラズマは、圧力にあまり依存せず、より広い動作窓内でプラズマを生成および維持することができる。したがって、より繰返し可能で信頼性が高いプラズマをリッドアセンブリ1840内で形成することができる。
第1の電極1841は、たとえばアルミニウム、アルマイト処理されたアルミニウム、ニッケルめっきされたアルミニウム、ニッケルめっきされたアルミニウム6061−T6、ステンレス鋼、ならびにこれらの組合せおよび合金など、処理に適合している任意の材料から構築することができる。1つまたは複数の実施形態では、第1の電極1841全体またはその一部分をニッケルでコーティングして、望ましくない粒子形成を低減させることができる。拡大区域1846の少なくとも内面1850が、ニッケルめっきされることが好ましい。
第2の電極1852は、1つまたは複数の積み重ねたプレートを含むことができる。2つ以上のプレートが望ましいとき、これらのプレートは互いに電気的に連通するべきである。プレートはそれぞれ、プラズマ空胴1849からの1つまたは複数のガスを流すために、複数の開口またはガス通路を含むべきである。
リッドアセンブリ1840は、第1の電極1841を第2の電極1852から電気的に隔離するために、断路器リング1851をさらに含むことができる。断路器リング1851は、酸化アルミニウムまたは処理に適合している任意の他の絶縁性材料から作ることができる。断路器リング1851は、少なくとも拡大区域1846を取り囲み、または実質上取り囲むことが好ましい。
第2の電極1852は、頂部プレート1853と、分配プレート1858と、処理チャンバ内の基板をプラズマ空胴から分離するブロッカプレート1862とを含むことができる。頂部プレート1853、分配プレート1858、およびブロッカプレート1862は、図18に示すように、チャンバ本体1801に接続されたリッド縁部1864上に積み重ねて配置される。当技術分野では知られているように、ヒンジアセンブリ(図示せず)を使用して、リッド縁部1864をチャンバ本体1801に結合することができる。リッド縁部1864は、熱伝達媒体を収容する埋込み型チャネルまたは通路1865を含むことができる。熱伝達媒体は、処理要件に応じて、加熱、冷却、または両方に使用することができる。
頂部プレート1853は、プラズマ空胴1849からのガスを流すために、プラズマ空胴1849の下に形成された複数のガス通路または開口1856を含むことができる。頂部プレート1853は、第1の電極1841の少なくとも一部分を収容するように適合された凹状部分1854を含むことができる。1つまたは複数の実施形態では、開口1856は、凹状部分1854の下の頂部プレート1853の横断面を貫通する。頂部プレート1853の凹状部分1854は、図19に示すように、より良好に密閉された嵌合を間に提供するように階段状にすることができる。さらに、頂部プレート1853の外径は、図19に示すように、分配プレート1858の外径上に取り付けられ、または位置するように設計することができる。エラストマのOリング1855などのOリングタイプの密閉を、頂部プレート1853の凹状部分1854内に少なくとも部分的に配置して、第1の電極1841との液密性の接触を確保することができる。同様に、Oリングタイプの密閉1857を使用して、頂部プレート1853の外周と分配プレート1858の外周との間に液密性の接触を提供することができる。
分配プレート1858は実質上ディスク状であり、ガスの流れを分散させるために複数の開口1861または通路を含む。開口1861は、処理すべき基板が位置する処理ゾーン1810に、制御された均等な流れの分配を提供するように、分配プレート1858の周りに寸法設定および位置決めすることができる。さらに、開口1861は、流れているガスの速度プロファイルを遅らせて再誘導することによってガス(複数可)が基板表面に直接当たるのを防止し、ならびにガスの流れを均等に分散させて基板の表面全体にわたってガスの均等な分配を提供する。
分配プレート1858はまた、その外周に形成された環状の取付けフランジ1859を含むことができる。取付けフランジ1859は、リッド縁部1864の上面上に位置するように寸法設定することができる。エラストマのOリングなどのOリングタイプの密閉を、環状の取付けフランジ1859内に少なくとも部分的に配置して、リッド縁部1864との液密性の接触を確保することができる。
分配プレート1858は、加熱器または加熱流体を収容するための1つまたは複数の埋込み型チャネルまたは通路1860を含み、リッドアセンブリ1840の温度制御を提供することができる。通路1860内には抵抗性加熱要素を挿入して、分配プレート1858を加熱することができる。分配プレート1858には熱電対を接続して、分配プレート1858の温度を調節することができる。熱電対は、フィードバックループ内で、加熱要素に印加される電流を制御するために使用することができる。
別法として、通路1860に熱伝達媒体を通すことができる。1つまたは複数の通路1860は、チャンバ本体1801内の処理要件に応じて分配プレート1858の温度をより良好に制御するために、必要に応じて冷却媒体を収容することができる。上記のように、たとえば窒素、水、エチレングリコール、またはこれらの混合物などの任意の熱伝達媒体を使用することができる。
リッドアセンブリ1840は、1つまたは複数の熱ランプ(図示せず)を使用して加熱することができる。熱ランプは、分配プレート1858を含むリッドアセンブリ1840の構成要素を放射によって加熱するように、分配プレート1858の上面の周りに構成される。
ブロッカプレート1862は任意選択であり、頂部プレート1853と分配プレート1858との間に配置することができる。ブロッカプレート1862は、頂部プレート1853の下面に取外し可能に取り付けられることが好ましい。ブロッカプレート1862は、頂部プレート1853と良好に熱的かつ電気的に接触するべきである。ブロッカプレート1862は、ボルトまたは類似のファスナを使用して、頂部プレート1853に結合することができる。ブロッカプレート1862はまた、頂部プレート1853の外径上へねじで留めることができる。
ブロッカプレート1862は、頂部プレート1853から分配プレート1858への複数のガス通路を提供するために、複数の開口1863を含む。開口1863は、分配プレート1858を通る制御された均等な流れの分配を提供するように、ブロッカプレート1862の周りに寸法設定および位置決めすることができる。
図20は、例示的な支持アセンブリ1820の部分横断面図を示す。支持アセンブリ1820は、チャンバ本体1801内に少なくとも部分的に配置することができる。支持アセンブリ1820は、チャンバ本体1801内で処理する基板を支持するために、支持部材1822を含むことができる。支持部材1822は、チャンバ本体1801の底面内に形成された中心に位置する開口1803を貫通するシャフト1826を通じて、リフト機構1831に結合することができる。リフト機構1831は、シャフト1826の周囲からの真空漏れを防止するベローズ1832によって、チャンバ本体1801に弾力的に密閉することができる。リフト機構1831により、チャンバ本体1801内で支持部材1822を処理位置とより低い移送位置との間で垂直に動かすことができる。移送位置は、チャンバ本体1801の側壁内に形成されたスリットバルブ1811の開口よりわずかに下に位置する。
1つまたは複数の実施形態では、基板は、真空チャックを使用して支持アセンブリ1820に固定することができる。頂部プレート1823は、支持部材1822内に形成された1つまたは複数の溝1827と流体を連通させる複数の孔1824を含むことができる。溝1827は、シャフト1826および支持部材1822内に配置された真空導管1825を介して真空ポンプ(図示せず)と流体を連通させる。特定の条件下では、真空導管1825を使用して、基板が支持部材1822上に配置されていないときに支持部材1822の表面にパージガスを供給することができる。真空導管1825はまた、反応性ガスまたは副生成物が基板の裏側に接触するのを防止するために、処理中にパージガスを通すこともできる。
支持部材1822は、リフトピン1830を収容するために、支持部材1822を貫通して形成された1つまたは複数の穿孔1829を含むことができる。各リフトピン1830は通常、セラミックまたはセラミック含有材料から構築され、基板の操作および輸送に使用される。各リフトピン1830は、穿孔1829内に摺動可能に取り付けられる。リフトピン1830は、チャンバ本体1801内に配置された環状のリフトリング1828に係合することによって、それぞれの穿孔1829内で動くことができる。リフトリング1828は、リフトリング1828が上部位置にあるとき、リフトピン1830の上面が支持部材1822の基板支持表面より上に位置できるように動くことができる。逆に、リフトピン1830の上面は、リフトリング1828が下部位置にあるとき、支持部材1822の基板支持表面より下に位置する。したがって、各リフトピン1830の一部は、リフトリング1828が下部位置から上部位置へ動くときに、支持部材1822内のそれぞれの穿孔1829を通過する。
作動されると、リフトピン1830は基板2140の下面を押して、支持部材1822から基板を持ち上げる。逆に、リフトピン1830を停止させて基板を下げ、それによって支持部材1822上に基板を置くことができる。
支持アセンブリ1820は、支持部材1822の周りに配置されたエッジリング1821を含むことができる。エッジリング1821は、支持部材1822の外周を覆って支持部材1822を保護するように適合された環状の部材である。エッジリング1821を支持部材1822上に、または支持部材1822に隣接して位置決めし、支持部材1822の外径とエッジリング1821の内径との間に環状のパージガスチャネル1833を形成することができる。環状のパージガスチャネル1833は、支持部材1822およびシャフト1826を貫通して形成されたパージガス導管1834と流体を連通させることができる。パージガス導管1834は、パージガスチャネル1833にパージガスを提供するように、パージガス供給(図示せず)と流体を連通させることが好ましい。動作の際には、パージガスが導管1834を通ってパージガスチャネル1833内へ流れ、支持部材1822上に配置された基板のエッジの周りを流れる。したがって、パージガスがエッジリング1821と協調して働くことで、基板のエッジおよび/または裏側での堆積を防止する。
支持アセンブリ1820の温度は、支持部材1822の本体内に埋め込まれた流体チャネル1835を循環する流体によって制御される。流体チャネル1835は、支持アセンブリ1820のシャフト1826を貫通して配置された熱伝達導管1836と流体を連通させることができる。流体チャネル1835は、支持部材1822の基板受取り表面に均一の熱伝達を提供するように、支持部材1822の周りに位置決めすることができる。流体チャネル1835および熱伝達導管1836は熱伝達流体を流して、支持部材1822を加熱または冷却することができる。支持アセンブリ1820は、支持部材1822の支持表面の温度を監視する埋込み型の熱電対(図示せず)をさらに含むことができる。
動作の際には、支持部材1822をリッドアセンブリ1840近傍へ上昇させて、処理されている基板の温度を制御することができる。したがって、基板は、加熱要素1860によって制御される分配プレート1858から放出される放射を介して加熱することができる。別法として、リフトリング1828によって作動されたリフトピン1830を使用して、基板を支持部材1822から、加熱されたリッドアセンブリ1840近傍へ持ち上げることができる。
修正されたチャンバは、図18に示すチャンバ1800内への補助ガス入り口1892と流体を連通させて酸化ガス、たとえばO、NO、NO、およびこれらの組合せを提供するために、酸化ガス供給をさらに含むことができる。図19に示す代替実施形態では、酸化ガス供給1890が、プラズマ体積または空胴1849内への補助ガス入り口1893と流体を連通させることができる。別の変形形態(図示せず)では、酸化ガスを遠隔プラズマ源に接続することができ、遠隔プラズマ源は、チャンバ1800から遠隔で酸化プラズマを生成し、この酸化プラズマをチャンバ1800内へ供給する。チャンバ1800には、還元ガス供給1894が、水素などの還元ガスを還元ガス入り口1896によって供給することができる。他のガス供給は、ヘリウム、アルゴンなどの不活性ガスを供給するために不活性ガス供給および入り口(図示せず)を含むことができる。このシステムはまた、材料層上で窒化反応を実行できるように、窒素源ガスを含むことができる。これらのガスのそれぞれの流れは、システムコントローラ(図示せず)と連通する質量または体積流量コントローラによって調節することができる。
チャンバ1800の別の変形形態では、図16および17に関して上述したタイプのランプまたはレーザ加熱特徴を利用して、処理されているデバイスを急速に加熱することができる。さらに、図13Bに関して上述したタイプの冷却システムが、上述した周期的な酸化およびエッチングのプロセスを基板上の材料層に実行するための温度まで、支持部材1822および基板を急速に冷却する。加熱および冷却システム、ならびにチャンバ1800に関して記載した他の構成要素は、様々なシステムパラメータを制御するように、システムコントローラに動作可能に接続することができる。システムコントローラは、酸化および/または窒化ならびにエッチングステップの全処理シーケンスを実行するように処理を制御することができ、このシーケンスは、チャンバ内で約3分未満で完了できることが望ましい。特定の実施形態では、酸化および/または窒化ならびにエッチングステップの全処理シーケンスは、チャンバ内で約2分未満で完了することができ、さらに特定の実施形態では、酸化および/または窒化ならびにエッチングステップの全処理シーケンスは、チャンバ内で約1分未満、たとえば45秒または30秒で完了することができる。
処理チャンバ1800内で実行される、アンモニア(NH)および三フッ化窒素(NF)ガス混合物を使用して酸化物層を除去する例示的な乾式エッチングプロセスについて、次に説明する。図18および図20を参照すると、乾式エッチングプロセスは、基板を処理ゾーン1810内へ配置することから始まる。基板は通常、スリットバルブ開口1811を通じてチャンバ本体1801内へ配置され、支持部材1822の上面上に配置される。基板は、支持部材1822の上面にチャックで固定され、チャネル1833にエッジパージが通される。基板は、導管1825を介して真空ポンプと流体を連通させる孔1824および溝1827を通じて真空を引くことによって、支持部材1822の上面にチャックで固定することができる。次いで支持部材1822は、すでに処理位置内にない場合、チャンバ本体1801内の処理位置へ持ち上げられる。チャンバ本体1801は、50℃〜80℃、より好ましくは約65℃の温度に維持することができる。チャンバ本体1801のこの温度は、流体チャネル1802に熱伝達媒体を通すことによって維持される。
図3A〜3C、5A〜5E、7A〜7D、8A〜8B、10A〜10D、または11A〜11Cに関して上述したタイプの1つまたは複数の材料層を有することができる基板は、支持アセンブリ1820内に形成された流体チャネル1835に熱伝達媒体または冷却剤を通すことによって、15℃〜50℃など、65℃未満に冷却される。一実施形態では、基板は室温未満で維持される。別の実施形態では、基板は、22℃〜40℃の温度に維持される。通常、支持部材1822は、上記で指定した所望の基板温度に到達するように、約22℃未満で維持される。支持部材1822を冷却するために、流体チャネル1835に冷却剤が通される。冷却剤の連続する流れは、支持部材1822の温度のより良好な制御を提供する。別法として、基板は、図13Bに関して記載したタイプのシステムを使用して冷却することができる。
次いで、アンモニアガスおよび三フッ化窒素ガスがチャンバ1800内に導入され、洗浄ガス混合物を形成する。チャンバ内へ導入される各ガスの量は可変であり、たとえば除去すべき酸化物層の厚さ、洗浄されている基板または他の材料表面の形状寸法、プラズマの体積容量、チャンバ本体1801の体積容量、ならびにチャンバ本体1801に結合された真空システムの能力に対応するように調整することができる。一態様では、これらのガスは、アンモニア対三フッ化窒素のモル比が少なくとも1:1であるガス混合物を提供するように追加される。別の態様では、このガス混合物のモル比は、少なくとも約3対1(アンモニア対三フッ化窒素)である。特定の実施形態では、これらのガスは、5:1(アンモニア対三フッ化窒素)〜30:1のモル比でチャンバ1800内に導入される。より具体的には、いくつかの実施形態では、ガス混合物のモル比は、約5対1(アンモニア対三フッ化窒素)〜約10対1である。ガス混合物のモル比はまた、約10:1(アンモニア対三フッ化窒素)〜約20:1とすることができる。
ガス混合物には、パージガスまたはキャリアガスを追加することもできる。たとえばアルゴン、ヘリウム、水素、窒素、またはこれらの混合物など、任意の適したパージ/キャリアガスを使用することができる。いくつかの実施形態では、全体的なガス混合物は、約0.05体積%〜約20体積%がアンモニアおよび三フッ化窒素であり、残りはキャリアガスである。一実施形態では、チャンバ本体1801内で圧力を安定させるために、反応ガスの前に、パージまたはキャリアガスがまずチャンバ本体1801内へ導入される。
チャンバ本体1801内の動作圧力は可変とすることができる。いくつかの実施形態では、圧力は、約500ミリトル〜約30トルで維持される。特定の実施形態では、圧力は、約1トル〜約10トルで維持される。1つまたは複数の実施形態では、チャンバ本体1801内の動作圧力は、約3トル〜約6トルで維持される。
いくつかの実施形態では、第1の電極1841に約5〜約600ワットのRF電力を印加して、プラズマ空胴1849内でガス混合物のプラズマを着火する。特定の例では、RF電力は100ワット未満である。より特定の例では、電力が印加される周波数は、100kHz未満など、比較的低い。特定の実施形態では、周波数は、約50kHz〜約90kHzの範囲である。下部電極1853、ブロッカプレート1862、および分配プレート1858のため、プラズマ空胴1849内で着火されたプラズマは、処理ゾーン1810内の基板に接触しないが、代わりにプラズマ空胴1849内に閉じ込められたままである。このようにプラズマは、処理ゾーン1810に対して遠隔で、プラズマ空胴1849内で生成される。すなわち、処理チャンバ1800は、プラズマ空胴1849および処理ゾーン1810という2つの別個の領域を提供する。これらの領域は、プラズマ空胴1849内で形成されるプラズマの点では互いに連通していないが、プラズマ空胴1849内で形成される反応種の点では互いに連通している。具体的には、プラズマに起因する反応種は、開口1856を介してプラズマ空胴1849から出て、ブロッカプレート1862の開口1863を通過し、分配プレート1858の開口1861を介して処理ゾーン1810に入ることができる。
プラズマエネルギーは、アンモニアガスおよび三フッ化窒素ガスを解離して反応種にし、これらの反応種を組み合わせて、反応性の高いフッ化アンモニア(NHF)化合物および/またはフッ化水素アンモニウム(NHF・HF)を気相で形成する。これらの分子は、開口1856、1863、および1861を流れて、基板上の材料層の酸化物層と反応する。一実施形態では、キャリアガスはまずチャンバ1800内へ導入され、プラズマ空胴1849内でキャリアガスのプラズマが生成され、次いでプラズマに反応ガス、アンモニアおよび三フッ化窒素が追加される。前述したように、プラズマ空胴1849内で形成されるプラズマは、処理領域またはゾーン1810内に配置された基板に到達しない。
理論に拘束されるものではないが、エッチング剤ガス、NHFおよび/またはNHF・HFは、酸化ケイ素表面と反応して、ヘキサフルオロケイ酸アンモニウム(NHSiF、NH、およびHO生成物を形成すると考えられる。NHおよびHOは、処理条件では蒸気であり、真空ポンプ1804によってチャンバ1800から除去される。具体的には、揮発性ガスは、ライナ1808内に形成された開口1809を流れてポンピングチャネル1806内へ入ってから、真空ポート1807を通ってチャンバ1800から出て真空ポンプ1804に入る。処理されている材料層の表面上には、(NHSiFの薄膜が残る。この反応機構は、次のように要約することができる。
NF+NH→NHF+NHF・HF+N
6NHF+SiO→(NHSiF+H
(NHSiF+熱→NH+HF+SiF
基板表面上に薄膜が形成された後、基板が支持された支持部材1822は、加熱された分配プレート1858近傍のアニール位置へ上昇される。分配プレート1858から放射される熱は、(NHSiFの薄膜を解離または昇華させて揮発性のSiF、NH、およびHF生成物にするのに十分なはずである。次いでこれらの揮発性生成物は、上述した真空ポンプ1804によってチャンバから除去される。実際には、薄膜は、基板上の材料層から沸騰または蒸発し、露出した酸化物表面を残す。一実施形態では、材料表面から薄膜を効果的に昇華させて除去するために、75℃以上の温度が使用される。特定の実施形態では、約115℃〜約200℃など、100℃以上の温度が使用される。
(NHSiFの薄膜を解離して揮発成分にする熱エネルギーは、分配プレート1858によって対流または放射される。上述したように、分配プレート1858には、加熱要素1860を直接結合することができ、加熱要素1860を活性化して、分配プレート1858および分配プレート1858と熱的に接触する構成要素を約75℃〜250℃の温度まで加熱する。一態様では、分配プレート1858は、約120℃など、100℃〜200℃の温度まで加熱される。
リフト機構1831は、支持部材1822を分配プレート1858の下面の方へ上昇させることができる。この持上げステップ中、基板は、真空チャックまたは静電チャックなどによって支持部材1822に固定される。別法として、基板は、リフトリング1828を介してリフトピン1830を上昇させることによって、支持部材1822から持ち上げて、加熱された分配プレート1858近傍に配置することができる。
薄膜を有する基板の上面と、分配プレート1858との距離は、実験によって決定することができる。下にある基板を損傷することなく薄膜を効率的かつ効果的に蒸発させるのに必要な間隔は、それだけに限定されるものではないが、膜の厚さを含むいくつかの要因に依存する。1つまたは複数の実施形態では、約0.254mm(10ミル)〜5.08mm(200ミル)の間隔が効果的である。さらに、ガスの選択も、この間隔に影響を与える。
エッチング中は、ペデスタルを比較的低い温度、たとえば約20℃〜約60℃の範囲内、約50℃未満、具体的には約45℃未満、約40℃未満、または約35℃未満に維持することが望ましい。特定の実施形態では、チャンバ1800内でのエッチング中、エッチング剤の凝固を助け、エッチング反応の選択性を制御するため、温度は約30℃±約5℃で維持される。膜または酸化物層の除去は、リフト機構1831を使用して支持部材1822を分配プレート1858の下面の方へ上昇させることをさらに含むことができる。別法として、基板は、リフトリング1828を介してリフトピン1830を上昇させることによって、支持部材1822から持ち上げて、加熱された分配プレート1858近傍に配置することができる。エッチングされている材料表面が約100℃を上回るまで加熱されるように、分配プレートを約100℃を超える温度まで加熱することが望ましい。特定の実施形態では、分配プレート1858は、材料表面がSiOの昇華に十分な温度を確実に実現するように、少なくとも約140℃、少なくとも約150℃、少なくとも約160℃、少なくとも約170℃、少なくとも約180℃、または少なくとも約140℃まで加熱される。したがって、チャンバ1800内の1つの非限定的で例示的な乾式エッチングプロセスは、アンモニア(NH)もしくは三フッ化窒素(NF)ガス、または無水フッ化水素(HF)ガス混合物を遠隔プラズマでプラズマ体積1849内へ供給することを含むことができ、この混合物を低温(たとえば、約30℃)のSiO上で凝固させ、反応させて化合物を形成し、その後、この化合物を適度な温度(たとえば、100℃超)のチャンバ1800内で昇華させてSiOをエッチングする。この昇華により材料表面のエッチングを完了させ、真空ポンプ1804によって副生成物を除去することができる。チャンバ1800の壁上でエッチング剤および副生成物が凝固するのを防止するために、基板支持体の温度とガス分配プレートの温度との間の温度でチャンバ壁を維持することが望ましい。
材料表面から膜または酸化物層が除去されると、材料表面は、酸化物層を形成するための後の酸化プロセスに対する準備ができる。乾式エッチングプロセッサ1832が、パージおよび排気される。パージは、不活性ガス、たとえば窒素、水素、またはアルゴンを処理チャンバ内へ、ガス入り口または分配プレート1858を通って直接流すことによって実現することができる。次いで材料層は、酸化プロセスを使用してさらに処理され、酸化物層を形成する。材料表面から膜または酸化物層を除去するステップは、必ずしも最初に実行されるわけではないことが理解される。図3A〜3C、5A〜5E、7A〜7D、8A〜8B、10A〜10D、または11A〜11Cに関する処理についての説明から理解されるように、いくつかの実施形態では、酸化物層または膜の一部分を材料層から除去する前に、材料層の表面を酸化させて酸化物層を形成するステップが実行される。
一実施形態では、酸化物層は、チャンバ1800内に形成される。他の実施形態では、酸化物層は、スリットバルブ開口1811の外側のロードロック領域(図示せず)内に形成することができる。
酸化物層がチャンバ1800内に形成される実施形態では、酸化ガス供給1890が入り口1892を介して酸化ガスをチャンバ内へ直接流す。適した酸化ガスは、酸素、オゾン、HO、H、またはNO、NO、もしくはNOなどの酸化窒素種の1つまたは複数を含むことができる。酸化ガスは、適当に低い圧力でチャンバ内へ導入される。次いでチャンバは、材料表面上に酸化物層が成長するように、適当な温度まで加熱される。1つまたは複数の実施形態では、チャンバ温度は、約200℃〜約800℃の範囲内で加熱される。特定の実施形態では、チャンバは、約300℃〜約400℃の範囲内で加熱される。たとえば図3A〜3C、5A〜5E、7A〜7D、8A〜8B、10A〜10D、または11A〜11Cに関して図示および上述したように、材料層を形成するように処理されている材料上で酸化反応を促進する。
代替実施形態では、冷却された支持部材1822を通り、支持部材内のガスチャネルを通って、酸化ガス、たとえば酸素、または他の酸化ガスの1つを導入し、酸化物層が形成される材料表面に接触する前に酸化ガスが尚早に分解するのを低減させることができる。
別の代替実施形態では、酸化ガス供給1890は、ガス入り口(図示せず)を介してプラズマ体積1849と流体を連通させることができ、酸素プラズマの導入によって、基板の材料表面上に酸化物層を形成することができる。別の代替実施形態では、図13に示す構成に類似のチャンバ1800と流体を連通させる遠隔プラズマ酸化源内に、酸化プラズマを形成することができる。遠隔プラズマ源へ窒素を供給することによって、遠隔窒化プラズマを形成することもできる。さらに別の実施形態では、図15に示す構成に類似の無線周波数(RF)電源で、基板支持体1822にバイアスをかけることができる。
したがって、要約すると、材料表面上の酸化物層の形成は、チャンバ内への酸化ガスの導入および材料表面の加熱、プラズマ体積1849から離れた遠隔プラズマ源内に形成される酸化プラズマの導入、プラズマ体積1849内への酸化ガスの導入および支持体1822上の基板への酸化プラズマの供給、またはRF電力をかけた基板支持体1822を使用するプラズマの形成およびチャンバ内への酸化ガスの導入の1つまたは複数によって、チャンバ1800内で実現することができる。チャンバ1800内の例示的な適した圧力は、約1ミリトル〜約10トルの範囲内である。
さらに別の代替実施形態では、酸化物層を形成するための材料表面の高精度の加熱は、図16および17に関して上述したタイプのランプまたはレーザ加熱特徴を利用することによって実現することができる。そのようなランプまたはレーザ加熱特徴を利用して、処理されているデバイスを0℃〜1000℃の範囲内の温度まで急速に加熱することができる。特定の実施形態では、酸化ガスでオゾンを使用することができ、ガス入り口または基板支持体1822を通じて導入することができ、紫外光を使用して光化学酸化反応を開始することができる。そのような反応は、スリットバルブ1811の外側のロードロック領域内で実行できることが望ましい。
材料層の表面を酸化させて酸化物層を形成した後、チャンバ1800を再びパージして、酸化反応(複数可)の酸化ガスおよび副生成物を除去することができる。パージは、チャンバ内へ不活性ガスを流すことによって、および/または真空ポンプ1804によって実現することができる。酸化物層の形成ステップ、エッチングステップ(プラズマおよび昇華による)は、所望の材料厚さを有する酸化物層が形成されるまで、チャンバ1800内で周期的に繰り返すことができる。例示的なデバイスおよび処理シーケンスについては、図3A〜3C、5A〜5E、7A〜7D、8A〜8B、10A〜10D、または11A〜11Cに関して上述しており、これらの処理はいずれも、上述した単一のチャンバ1800内で実行することができる。
単一チャンバ急速熱処理(RTP)装置を使用して、酸化物層の形成ステップ、エッチングステップ(プラズマおよび昇華による)を実行することもでき、これらのステップは、所望の材料厚さを有する酸化物層が形成されるまで、チャンバ内で周期的に繰り返すことができる。例示的なデバイスおよび処理シーケンスについては、図3A〜3C、5A〜5E、7A〜7D、8A〜8B、10A〜10D、または11A〜11Cに関して上述しており、これらの処理はいずれも、図21に記載の単一のチャンバ内で実行することができる。図21は、急速熱処理チャンバ2100の例示的な実施形態を示す。処理チャンバ2100は、基板支持体2104、チャンバ本体2102を含み、チャンバ本体2102は、壁2108、底部2110、および頂部2112を有し、内部体積2120を画定する。壁2108は通常、基板2140(一部分を図21に示す)の出入れを容易にするために、少なくとも1つの基板アクセスポート2148を含む。アクセスポートは、移送チャンバ(図示せず)またはロードロックチャンバ(図示せず)に結合することができ、スリットバルブ(図示せず)などのバルブで選択的に密閉することができる。一実施形態では、基板支持体2104は環状であり、チャンバ2100は、基板支持体2104の内径内に配置された放射熱源2106を含む。放射熱源2106は通常、複数のランプを備える。修正できるRTPチャンバおよび使用できる基板支持体の例は、米国特許第6,800,833号および米国特許出願公開第2005/0191044号に記載されている。本発明の一実施形態では、チャンバ2100は、基板全体にわたってガスを均等に分散させて基板の制御された急速な加熱および冷却を可能にするガス分配出口(以下でより詳細に説明する)を組み込むリフレクタプレート2200を含む。プレート2200は、上述した酸化および/またはエッチングを容易にするために、加熱および/または冷却することができる。
プレートは、吸収性、または反射性とすることができるか、あるいは吸収領域と反射領域との組合せを有することができる。詳細な実施形態では、プレートは、高温計の視野範囲内にある領域と、高温計の視野範囲の外側にある領域とを有することができる。高温計の視野範囲内の領域は、円形の場合、直径約1インチとすることができ、または必要に応じて他の形状および寸法とすることができる。プローブの視野範囲内の領域は、高温計によって観察される波長範囲全体にわたって非常に高い反射性を有することができる。高温計の波長範囲および視野の外側では、プレートは、放射による熱損失を最小にする反射性から、放射による熱損失を最大にして熱露出をより短くする吸収性までの範囲とすることができる。
図21に示すRTPチャンバ2100はまた、冷却ブロック2180を含み、冷却ブロック2180は、頂部2112に隣接し、頂部2112に結合され、または頂部2112内に形成される。通常、冷却ブロック2180は、放射熱源2106の反対側に隔置される。冷却ブロック2180は、入り口2181Aおよび出口2181Bに結合された1つまたは複数の冷却剤チャネル2184を備える。冷却ブロック2180は、ステンレス鋼、アルミニウム、ポリマー、またはセラミック材料などの、処理に耐える材料から作ることができる。冷却剤チャネル2184は、螺旋形パターン、方形パターン、円形パターン、またはこれらの組合せを構成することができ、チャネル2184は、たとえば冷却ブロック2180を鋳造すること、および/または2つの以上の部品から冷却ブロック2180を製造してこれらの部品を接合することによって、冷却ブロック2180内に一体形成することができる。追加または別法として、冷却剤チャネル2184は、冷却ブロック2180内へドリル加工することができる。
入り口2181Aおよび出口2181Bは、バルブおよび適した鉛工によって冷却剤源2182に結合することができ、冷却剤源2182は、中に配置された流体の圧力および/または流れの制御を容易にするように、システムコントローラ2124と連通する。流体は、水、エチレングリコール、窒素(N)、ヘリウム(He)、または熱交換媒体として使用される他の流体とすることができる。
図示の実施形態では、基板支持体2104は任意選択で、内部体積2120内で磁気的に浮上および回転するように適合される。図示の基板支持体2104は、処理中に垂直に上昇および下降しながら回転することが可能であり、また処理前、処理中、または処理後に回転しないで上昇または下降させることができる。この磁気的な浮上および/または磁気的な回転により、基板支持体を上昇/下降および/または回転させるのに通常は必要な可動部分をなくし、または低減させるため、粒子の生成を防止し、または最小にする。
チャンバ2100はまた、赤外(IR)スペクトル内の光を含むことができる様々な波長の熱および光に対して透過性の材料から作られた窓2114を含み、放射熱源2106からこの材料を通ってくるフォトンは、基板2140を加熱することができる。一実施形態では、窓2114は石英材料から作られるが、サファイアなどの光に対して透過性の他の材料を使用することもできる。窓2114はまた、窓2114の上面に結合された複数のリフトピン2144を含むことができ、リフトピン2144は、チャンバ2100との間の基板の移送を容易にするために、基板2140に選択的に接触して支持するように適合される。複数のリフトピン2144はそれぞれ、放射熱源2106からのエネルギーの吸収を最小にするように構成され、石英材料など、窓2114に使用されるのと同じ材料から作ることができる。複数のリフトピン2144は、移送ロボット(図示せず)に結合されたエンドエフェクタの通過を容易にするように位置決めし、互いから放射状に隔置することができる。別法として、エンドエフェクタおよび/またはロボットは、基板2140の移送を容易にするために、水平および垂直の動きを可能にすることもできる。
一実施形態では、放射熱源2106は、筐体から形成されたランプアセンブリを含み、この筐体は、第2の冷却剤源2183に結合された冷却剤アセンブリ(図示せず)内に複数のハニカムチューブ2160を含む。第2の冷却剤源2183は、水、エチレングリコール、窒素(N)、およびヘリウム(He)の1つまたは組合せとすることができる。筐体壁2108、2110は、銅材料または他の適した材料から作ることができ、筐体壁2108、2110内には、第2の冷却剤源2183から冷却剤を流すために、適した冷却剤チャネルが形成される。冷却剤は、筐体が基板2140より冷たくなるようにチャンバ2100の筐体を冷却する。各チューブ2160は、ハニカム状のパイプ構成を形成するリフレクタおよび高強度ランプアセンブリまたはIRエミッタを収容することができる。このパイプの稠密6方構成により、高い電力密度および良好な空間分解能を有する放射エネルギー源を提供する。一実施形態では、放射熱源2106は、基板の熱処理、たとえば、基板2140上に配置されたケイ素層のアニールに十分な放射エネルギーを提供する。放射熱源2106は、環状のゾーンをさらに含むことができ、コントローラ2124によって複数のチューブ2160に供給される電圧は、チューブ2160からのエネルギーの放射状の分布を向上させるように変動させることができる。基板2140の加熱の動的制御は、基板2140全体の温度を測定するように適合された1つまたは複数の温度センサ2117によって行うことができる。
図示の実施形態では、任意選択の固定子アセンブリ2118は、チャンバ本体2102の壁2108に外接しており、チャンバ本体2102の外部に沿って固定子アセンブリ2118の上昇を制御する1つまたは複数のアクチュエータアセンブリ2122に結合される。一実施形態(図示せず)では、チャンバ2100は、チャンバ本体の周りに放射状で、たとえば、チャンバ本体2102の周りに約120°の角度で配置された3つのアクチュエータアセンブリ2122を含む。固定子アセンブリ2118は、チャンバ本体2102の内部体積2120内に配置された基板支持体2104に磁気的に結合される。基板支持体2104は、回転子として機能する磁気部分を備え、または含むことができ、したがって基板支持体2104を持ち上げかつ/または回転させるための磁気軸受アセンブリを作製する。一実施形態では、基板支持体2104の少なくとも一部分は、流体源2186に結合されたトラフ(図示せず)によって部分的に取り囲まれ、流体源2186は、基板支持体に対する熱交換媒体として適合された水、エチレングリコール、窒素(N)、ヘリウム(He)、またはこれらの組合せを含むことができる。固定子アセンブリ2118はまた、固定子アセンブリ2118の様々な部品および構成要素を密閉するための筐体2190を含むことができる。一実施形態では、固定子アセンブリ2118は、懸架コイルアセンブリ2170上に積み重ねられた駆動コイルアセンブリ2168を含む。駆動コイルアセンブリ2168は、基板支持体2104を回転および/または上昇/下降させるように適合され、懸架コイルアセンブリ2170は、処理チャンバ2100内で基板支持体2104を受動的に中心に位置合わせするように適合することができる。別法として、回転機能および中心位置合わせ機能は、単一のコイルアセンブリを有する固定子によって実行することもできる。
また、チャンバ本体2102の内部体積2120には、雰囲気制御システム2164が結合される。雰囲気制御システム2164は通常、チャンバ圧力を制御するスロットルバルブおよび真空ポンプを含む。雰囲気制御システム2164は、内部体積2120に処理ガスまたは他のガスを提供するためのガス源をさらに含むことができる。雰囲気制御システム2164はまた、熱堆積処理、熱エッチングプロセス、およびチャンバ構成要素のインシトゥ洗浄のためのプロセスガスを供給するように適合することができる。雰囲気制御システムは、シャワーヘッドガス供給システムとともに機能する。
チャンバ2100はまた、コントローラ2124を含み、コントローラ2124は通常、中央処理装置(CPU)2130、支持回路2128、およびメモリ2126を含む。CPU2130は、様々な動作およびサブプロセッサを制御するために産業用の設定で使用できる任意の形態のコンピュータプロセッサの1つとすることができる。メモリ2126またはコンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、またはローカルもしくは遠隔の任意の他の形態のデジタルストレージなどの容易に入手可能なメモリの1つまたは複数とすることができ、通常はCPU2130に結合されている。支持回路2128は、従来通りコントローラ2124を支持するCPU2130に結合される。これらの回路は、キャッシュ、電源、クロック回路、入出力回路、サブシステムなどを含む。
一実施形態では、アクチュエータアセンブリ2122はそれぞれ通常、チャンバ本体2102の壁2108から延びる2つのフランジ2134間に結合された高精度の親ねじ2132を備える。親ねじ2132は、ねじが回転すると親ねじ2132に沿って軸方向に進むナット2158を有する。固定子2118とナット2158との間には継手2136が結合されており、親ねじ2132が回転すると、継手2136は親ねじ2132に沿って動き、継手2136との境界面で固定子2118の上昇を制御する。したがって、アクチュエータ2122の1つの親ねじ2132が回転すると、他のアクチュエータ2122のナット2158間の相対的な変位をもたらすため、固定子2118の水平面がチャンバ本体2102の中心軸に対して変化する。
一実施形態では、親ねじ2132にはステッパまたはサーボモータなどのモータ2138が結合されており、コントローラ2124による信号に応答して制御可能な回転を提供する。別法として、とりわけ空気圧シリンダ、油圧シリンダ、ボールねじ、ソレノイド、直線アクチュエータ、およびカム従動子など、他のタイプのアクチュエータ2122を利用して、固定子2118の直線位置を制御することができる。
チャンバ2100はまた、1つまたは複数のセンサ2116を含み、センサ2116は通常、チャンバ本体2102の内部体積2120内の基板支持体2104(または基板2140)の上昇を検出するように適合される。センサ2116は、チャンバ本体2102および/または処理チャンバ2100の他の部分に結合することができ、基板支持体2104とチャンバ本体2102の頂部2112および/または底部2110との距離を示す出力を提供するように適合され、また、基板支持体2104および/または基板2140の不整合を検出することもできる。
1つまたは複数のセンサ2116は、コントローラ2124に結合されており、コントローラ2124は、センサ2116からの出力メトリックを受け取り、1つまたは複数のアクチュエータアセンブリ2122に1つまたは複数の信号を提供して基板支持体2104の少なくとも一部分を上昇または下降させる。コントローラ2124は、センサ2116から得られる位置メトリックを利用して、各アクチュエータアセンブリ2122における固定子2118の上昇を調整することができ、したがってRTPチャンバ2100および/または放射熱源2106の中心軸に対して、基板支持体2104および基板支持体2104上に位置する基板2140の上昇と平面性の両方を調整することができる。たとえば、コントローラ2124は、信号を提供して1つのアクチュエータ2122の動作により基板支持体を上昇させ、基板支持体2104の軸方向の不整合を補正することができ、またはコントローラは、すべてのアクチュエータ2122に信号を提供して、基板支持体2104の垂直方向の同時の動きを容易にすることができる。
1つまたは複数のセンサ2116は、チャンバ本体2102内の基板支持体2104の近接を検出することが可能な超音波、レーザ、誘導性、容量性、または他のタイプのセンサとすることができる。センサ2116は、頂部2112近傍でチャンバ本体2102に結合することができ、または壁2108に結合することができるが、チャンバ2100の外側の固定子2118に結合するなど、チャンバ本体2102内およびチャンバ本体2102周辺の他の位置が適していることもある。一実施形態では、1つまたは複数のセンサ2116は、固定子2118に結合することができ、壁2108を通る基板支持体2104(または基板2140)の上昇および/または位置を感知するように適合される。この実施形態では、壁2108は、壁2108内の位置感知を容易にするために、より薄い横断面を含むことができる。
チャンバ2100はまた、1つまたは複数の温度センサ2117を含み、温度センサ2117は、処理前、処理中、および処理後に基板2140の温度を感知するように適合することができる。図21に示す実施形態では、温度センサ2117は、頂部2112を貫通して配置されているが、チャンバ本体2102内およびチャンバ本体2102周辺の他の位置を使用することもできる。温度センサ2117は、光高温計、一例として光ファイバプローブを有する高温計とすることができる。センサ2117は、基板の直径全体または基板の一部分を感知するような構成内で、頂部2112に結合されるように適合することができる。センサ2117は、基板の直径に実質上等しい感知面積または基板の半径に実質上等しい感知面積を画定するパターンを構成することができる。たとえば、頂部2112に複数のセンサ2117を放射状または直線の構成で結合して、基板の半径または直径全体にわたる感知面積を可能にすることができる。一実施形態(図示せず)では、頂部2112のほぼ中心から頂部2112の周辺部分へ放射状に延びる線に、複数のセンサ2117を配置することができる。このようにして、基板の半径をセンサ2117によって監視することができ、それによって回転中に基板の直径の感知を可能にする。
本明細書に記載するように、チャンバ2100は、基板を「上向き」に受け取るように適合されており、基板の堆積物受取り側または面はプレート2200の方を向き、基板の「裏側」は放射熱源2106に面している。この「上向き」により、基板の裏側は基板の面ほど反射しないことがあるため、放射熱源2106からのエネルギーを基板2140によってより急速に吸収することができる。
プレート2200および放射熱源2106について、それぞれ内部体積2120の上部および下部に位置決めされると説明したが、冷却ブロック2180と放射熱源2106の位置を逆にすることもできる。たとえば、冷却ブロック2180は、基板支持体2104の内径内に位置決めされるように寸法設定および構成することができ、放射熱源2106を頂部2112に結合することができる。この構成では、石英窓2114は、チャンバ2100の上部内の放射熱源2106付近など、放射熱源2106と基板支持体2104との間に配置することができる。基板2140は、裏側が放射熱源2106に面しているときに熱を容易に吸収することができるが、いずれの構成でも上向きまたは下向きにすることができる。チャンバ2100内へフッ素含有ガスが流されるため、チャンバ構成要素内の材料は、フッ素含有ガスからの侵食に耐える必要があることが理解されるであろう。これは、たとえば、サファイアまたはアルミナなどの材料で、フッ素含有ガスに露出されるチャンバ構成要素のコーティングを製造することによって実現することができる。他の耐フッ素性材料も、同様に使用することができる。
チャンバ2100は、チャンバ内へプラズマを供給する遠隔プラズマ源2192をさらに含み、プラズマは、分配ランス2194によってチャンバ内へ供給することができる。ランス2194は、プラズマ生成物をチャンバ2100内へ均等に分散させる1つまたは複数の出口を有する概ね細長い導管とすることができる。複数のランス2194を使用して、チャンバ2100内の複数の放射状の位置で射出することができる。1つまたは複数の実施形態では、ランス(複数可)2194は、基板2140とプレート2200との間の空間に選択的に出入りできるように動くことができる。修正されたチャンバは、図18に示すように、チャンバ1800への補助ガス入り口1892と流体を連通させる、酸化ガス、たとえばO、NO、NO、およびこれらの組合せを提供する酸化ガス供給をさらに含むことができる。酸化ガス供給2196が、チャンバ内への補助ガス入り口に流体を連通させることができる。エッチングガス供給2198が、CF、CHF、SF、NH、NF、He、Arなどのエッチングガスを還元ガス入り口によってチャンバ2100に供給することができる。他のガス供給は、ヘリウム、アルゴンなどの不活性ガス、水素などの還元ガスなどを供給するために、不活性ガス供給および入り口(図示せず)を含むことができる。これらのガスそれぞれの流れは、システムコントローラ2124と連通する質量または体積流量コントローラによって調節することができる。ガス供給2196および2198について、チャンバ2100の側面を通じて流体を連通させると示したが、チャンバ2100内でガスを均等に分散させるシャワーヘッド、ランス、または他のデバイスと流体を連通させる導管にガスを導入することも望ましいであろう。ガス導入システム2202の一例については、以下でさらに説明する。ガス供給2196、2198および他のガス供給は、ガス導入システム2202と流体を連通させることができる。
リフレクタプレート2200のさらなる詳細について、図22に示す。図22を参照すると、基板全体にわたってガスを均等に分散させて基板の制御された急速な加熱および冷却を可能にするガス分配出口を組み込むリフレクタプレート2200が示されている。プレート2200は、ガス導入システム2202を有する頂部部分2201を含み、2つのガスを混合するガス混合チャンバ2208と連通する第1のガス導入ポート2204と、任意選択の第2のガス導入ポート2206とを含む。単一のガス導入ポートのみが設けられる場合、混合チャンバ2208を設計から除くことができる。追加のガス導入ポートを同様に設けることもできることが理解されるであろう。当然ながら、ガス導入ポート2202、2204は、ガスタンクまたはガス供給システム(図示せず)などの適したガス源に接続されるはずである。混合チャンバ2208は、ガス流路2212と連通しており、ガス流路2212は、ブロッカプレート2213内に形成されたガスチャネル2214およびガス導入開口2216と連通する。ブロッカプレート2213は、頂部部分2201に固定された別個の構成要素とすることができ、または頂部部分と一体形成することができる。当然ながら、シャワーヘッドから出た後にガス混合が行われるように、2つ以上のガスのために2組以上のこのタイプの個々の開口2216が設けられる設計を含めて、他の設計も可能である。プレートは面2203を含み、面2203を貫通して開口2216が形成される。
動作の際には、チャンバ2100内で周期的な酸化および/または窒化ならびにエッチングを実行することができる。例示的な処理は、遠隔プラズマ源2192内で形成されたエッチングプラズマをチャンバ2100内へ供給することを含む。エッチングプラズマ生成物は、図示のランス2194を通じて供給することができ、またはプラズマ生成物は、導入ポート2202を通じて供給することができる。上述したように、エッチングプロセスの少なくとも一部の間、基板および材料表面を比較的低い温度に維持することが望ましい。たとえば、エッチングプロセスの一部分は、低い温度で実行することができる。エッチング中は、基板および材料表面を比較的低い温度、たとえば約20℃〜約60℃の範囲内、約50℃未満、具体的には約45℃未満、約40℃未満、または約35℃未満で維持することが望ましい。特定の実施形態では、チャンバ1800内でのエッチング中、エッチング剤の凝固を助け、エッチング反応の選択性を制御するため、温度は約30℃±約5℃で維持される。基板および材料表面の温度は、プレート2200に適当な冷却ガス、たとえばヘリウムを流すことによって、低い温度に維持することができる。エッチングによる膜または酸化物層の除去は、リフトピン2144および/または基板支持体2104に磁気的に結合された固定子アセンブリ2118の一方または両方を使用して、処理されている基板をプレート2200へ近付けることをさらに含むことができる。
エッチング中に形成された膜または層を昇華させるためには、リフトピンおよび/または固定子アセンブリ2118を使用することによって基板をプレート2200から離し、放射熱源2106を活性化して、エッチングされている基板および材料表面を、約100℃を上回るまで加熱する。特定の実施形態では、基板2140は、材料表面がSiOの昇華に十分な温度を確実に実現するように、少なくとも約140℃、少なくとも約150℃、少なくとも約160℃、少なくとも約170℃、少なくとも約180℃、または少なくとも約140℃まで加熱される。したがって、チャンバ2100内の1つの非限定的で例示的なエッチングプロセスは、アンモニア(NH)もしくは三フッ化窒素(NF)ガス、または無水フッ化水素(HF)ガス混合物を遠隔プラズマ源2192へ供給することを含むことができ、この混合物を低温(たとえば、約30℃)のSiO上で凝固させ、反応させて化合物を形成し、その後、この化合物を適度な温度(たとえば、100℃超)のチャンバ2100内で昇華させてSiOをエッチングする。この昇華により材料表面のエッチングを完了させ、雰囲気制御システム2164および/またはパージガスを流すことによって、副生成物を除去することができる。チャンバ2100の壁上でエッチング剤および副生成物が凝固するのを防止するために、基板支持体の温度とガス分配プレートの温度との間の温度でチャンバ壁を維持することが望ましい。
基板上の材料表面上における酸化物層の形成は、次のように行うことができる。放射熱源2106を急速に活性化させることによってスパイク熱酸化プロセスを使用して、酸化物層を形成することができる。酸化物層がチャンバ2100内に形成される実施形態では、酸化ガス供給2196が入り口を介して酸化ガスをチャンバ内へ直接流す。適した酸化ガスは、酸素、オゾン、HO、H、またはNO、NO、もしくはNOなどの酸化窒素種の1つまたは複数を含むことができる。酸化ガスは、適当に低い圧力でチャンバ内へ導入される。次いでチャンバは、材料表面上に酸化物層が成長するように、適当な温度まで加熱される。1つまたは複数の実施形態では、チャンバ温度は、約200℃〜約800℃の範囲内で加熱される。特定の実施形態では、チャンバは、約300℃〜約400℃の範囲内で加熱される。たとえば図3A〜3C、5A〜5E、7A〜7D、8A〜8B、10A〜10D、または11A〜11Cに関して図示および上述したように、材料層を形成するように処理されている材料上で酸化反応を促進する。別法として、酸素プラズマを生成するために使用できる酸化ガスの供給を有する遠隔プラズマ源2192(または別個の遠隔プラズマ源)によって酸化を実現することができ、次いで上述したチャンバ内へ供給することができる。別の変形形態では、紫外ランプ源を使用して、基板上の材料表面を光化学的に酸化させることもできる。適した酸化ガスは、酸素、オゾン、HO、H、またはNO、NO、もしくはNOなどの酸化窒素種の1つまたは複数を含むことができる。
材料層の表面を酸化させて酸化物層を形成した後、チャンバ2100を再びパージして、酸化反応(複数可)の酸化ガスおよび副生成物を除去することができる。パージは、チャンバ内へ不活性ガスを流すことによって、および/または雰囲気制御システム2164によって実現することができる。酸化物層の形成ステップ、エッチングステップ(プラズマおよび昇華による)は、所望の材料厚さを有する酸化物層が形成されるまで、チャンバ2100内で周期的に繰り返すことができる。例示的なデバイスおよび処理シーケンスについては、図3A〜3C、5A〜5E、7A〜7D、8A〜8B、10A〜10D、または11A〜11Cに関して上述しており、これらの処理はいずれも、上述した単一のチャンバ2100内で実行することができる。
したがって、要約すると、材料表面上の酸化物層の形成は、チャンバ内への酸化ガスの導入および材料表面の加熱、または遠隔プラズマ源内に形成される酸化プラズマの導入および支持体上の基板への酸化プラズマの供給の1つまたは複数によって、チャンバ2100内で実現することができる。チャンバ2100内の例示的な適した圧力は、約1ミリトル〜約10トルの範囲内である。
システムコントローラは、酸化および/または窒化ならびにエッチングステップの全処理シーケンスを実行するように処理を制御することができ、このシーケンスは、チャンバ内で約3分未満で完了することができる。特定の実施形態では、酸化および/または窒化ならびにエッチングステップの全処理シーケンスは、チャンバ内で約2分未満で完了することができ、さらに特定の実施形態では、酸化および/または窒化ならびにエッチングステップの全処理シーケンスは、チャンバ内で約1分未満、たとえば45秒または30秒で完了することができる。
所望の材料厚さを有する酸化物層が形成されるまで周期的に繰り返すことができる酸化物層の形成ならびにエッチング(プラズマおよび昇華による)に使用できる代替装置は、酸化プラズマおよびエッチングプラズマを生成する遠隔または局所プラズマ源を含む炉を含む。したがって、図21に関して記載したチャンバ2100は、所望の材料厚さを有する酸化物層が形成されるまで基板材料表面を周期的に加熱および冷却するように適当に構成された炉と置き換えることもできる。例示的なデバイスおよび処理シーケンスについては、図3A〜3C、5A〜5E、7A〜7D、8A〜8B、10A〜10D、または11A〜11Cに関して上述しており、これらの処理はいずれも、上述した単一のチャンバ1800内で実行することができる。
したがって、本発明の第1の態様は、基板を処理する装置に関する。本発明のこの態様の第1の実施形態は、基板を処理する装置であって、基板を支持するように基板支持体が中に配置された処理チャンバと;基板支持体上に支持された基板の温度を約100℃未満の第1の温度に制御する温度制御システムと;チャンバと流体を連通させて、少なくとも酸素含有ガス、不活性ガス、およびエッチングガスを処理チャンバ内へ供給するガス源と;処理チャンバと流体を連通させて、酸素含有ガスおよびエッチングガスの少なくとも1つを付勢し、酸化プラズマまたはエッチングプラズマの少なくとも1つを形成するプラズマ源と;基板を第1の温度を上回る第2の温度まで加熱する熱源とを備える装置を提供する。
第1の実施形態の一変形形態では、チャンバは、基板の温度が第1の温度であるときはエッチングガスおよびエッチングプラズマの1つを処理チャンバへ供給し、酸化ガスの1つを供給するように構成される。別の変形形態では、第2の温度は、約200℃〜1000℃の範囲内である。さらに別の変形形態では、チャンバは、基板上の材料層にエッチングプロセスを実行するように構成され、エッチングプロセスの少なくとも一部分は、第1の温度で実行される。
第1の実施形態のさらに別の変形形態では、エッチングプロセスは乾式エッチングプロセスを含み、エッチングガスはフッ素含有ガスを含む。第1の実施形態は、プラズマ源と連通する窒素ガスをさらに含むガス源を含むことができる。第1の実施形態の一変形形態では、エッチングガスは、プラズマ源と流体を連通させてエッチングプラズマを形成する。
第1の実施形態の別の変形形態では、温度制御システムは、約50℃を下回る温度でエッチングプロセスの少なくとも一部分を実行する冷却システムを含む。より具体的には、冷却システムは、基板の温度を約25℃〜約35℃の範囲内の温度まで低減させるように構成される。第1の実施形態の1つの特定の変形形態では、装置は、約3分未満で第1の温度と第2の温度との間を循環するように構成される。
第1の実施形態の別の特定の変形形態では、装置は、基板上に材料層を成形するように構成され、材料層の所望の形状は、所望の形状の基部近傍の第1の幅が所望の形状の頂部近傍の第2の幅と実質上同等の形状であり、所望の形状の第1の幅および第2の幅は、約1〜約30ナノメートルである。装置は、浮遊ゲートを含む材料層を形成するように構成することができる。装置は、材料層にエッチングプロセスおよび酸化プロセスを周期的に実行するように構成することができる。
第1の実施形態の1つまたは複数の変形形態では、酸化プロセスは、急速熱酸化、ラジカル酸化、プラズマ酸化、化学酸化、または光化学酸化を含み、エッチングプロセスは、湿式もしくは乾式化学エッチング、反応性イオンエッチング、およびプラズマエッチングの少なくとも1つを含む。
本発明の第2の態様は、基板上に材料層を成形する方法であって、(a)処理チャンバ内で材料層の表面を処理して酸化物または窒化物含有層を形成するステップと、(b)酸化物または窒化物含有層の形成を終了させるステップと、(c)(a)の場合と同じ処理チャンバ内でエッチングプロセスによって酸化物または窒化物含有層の少なくとも一部を除去するステップと、(d)材料層が所望の形状に形成されるまで、同じ処理チャンバ内で(a)から(c)を繰り返すステップとを含む方法に関する。この方法の一変形形態では、(a)は初期速度で実行され、酸化プロセスを含み、(b)は、酸化速度が初期速度の約90%になると終了される。
この方法の別の変形形態では、材料層を酸化させて酸化物層を形成するステップは、湿式もしくは乾式急速熱酸化、ラジカル酸化、プラズマ酸化、湿式もしくは乾式化学酸化、または光化学酸化の少なくとも1つによって実行される。
この方法の別の変形形態では、エッチングプロセスは、湿式もしくは乾式化学エッチング、反応性イオンエッチング、およびプラズマエッチングの少なくとも1つを含む。さらにこの方法の別の変形形態では、材料層は所望の形状に形成され、所望の形状の基部近傍の第1の幅は、所望の形状の頂部近傍の第2の幅と実質上同等である。この方法の別の変形形態では、所望の形状のアスペクト比は、約0.5ナノメートルから約20ナノメートルの間である。より具体的には、所望の形状の第1の幅および第2の幅は、約1〜約30ナノメートルである。さらに具体的には、所望の形状の高さは、約1〜約30ナノメートルである。材料層は、浮遊ゲートを含むことができる。
材料層に周期的な酸化およびエッチングのプロセスを実行する装置の第2の実施形態は、内部に処理領域を画定する複数の壁を有し、処理領域内に材料層を有する基板を保持する基板支持体を含む処理チャンバと;処理チャンバと流体を連通させて、酸素含有ガス、不活性ガス、およびエッチングガスを処理チャンバ内へ供給する酸素含有ガス供給、不活性ガス供給、およびエッチングガス供給と;チャンバ内部のプラズマ生成領域内のプラズマならびに酸素含有ガスおよびエッチングガスの少なくとも1つを形成してガスを付勢し、材料層に接触する酸素プラズマおよびエッチングプラズマの少なくとも1つを形成するプラズマ源と;チャンバ内の基板を約100℃を上回る第1の温度まで加熱する加熱システムと;チャンバ内の基板を第1の温度未満の第2の温度まで冷却する冷却システムと;第1の温度と第2の温度との間でチャンバ内の基板を循環させる制御システムとを備える。第2の実施形態の一変形形態では、制御システム、加熱システム、および冷却システムは、約3分未満の期間内に第1の温度と第2の温度との間を循環するように構成される。
第2の実施形態の別の変形形態では、冷却システムは、冷却媒体を流すための通路を含む基板支持体を備える。さらに第2の実施形態の別の変形形態では、冷却システムは、チャンバ内で基板支持体に隣接して配置されたシャワーヘッドを備え、シャワーヘッドは冷却流体と連通する。
第2の実施形態の別の変形形態では、加熱システムは、光源および抵抗加熱器の少なくとも1つを備える。一変形形態では、抵抗加熱器は、基板支持体内に配置される。別法として、抵抗加熱器は、シャワーヘッド内に配置される。第2の実施形態の別の変形形態では、加熱システムは光源を含み、光源は、光源によって放出される光エネルギーが、処理されている材料による吸収を最適化する入射角で材料表面に接触するように配置される。特定の構成では、入射角は、処理されている材料層に対するブルースター角である。
第2の実施形態の1つの特定の構成では、処理チャンバは、天井を覆って配置されたコイルを含む電力アプリケータを備える天井プラズマ源を有し、コイルは、インピーダンス整合ネットワークを通じて電源に結合され、プラズマ生成領域内にプラズマを生成する。別の変形形態では、エッチングガスはフッ素含有ガスを含み、チャンバは、プラズマ源と連通する窒素ガス源をさらに備える。
材料層に周期的な酸化およびエッチングのプロセスを実行する装置の第3の実施形態は、内部に処理領域を画定する複数の壁を有し、処理領域内に材料層を有する基板を保持する基板支持体を含むチャンバ本体を有する処理チャンバと;チャンバ本体の上面上に配置されたリッドアセンブリであって、間にプラズマ空胴を画定する第1の電極および第2の電極を備え、第2の電極が加熱され、基板を加熱するように適合されるリッドアセンブリと;処理チャンバおよびリッドアセンブリの少なくとも1つと流体を連通させて、酸素含有ガス、不活性ガス、およびエッチングガスを処理チャンバおよびリッドの1つ内へ供給する酸素含有ガス供給、不活性ガス供給、およびエッチングガス供給と;チャンバ内の基板を約100℃を上回る第1の温度まで加熱する加熱システムと;チャンバ内の基板を第1の温度未満の第2の温度まで冷却する冷却システムと;第1の温度と第2の温度との間でチャンバ内の基板を循環させる制御システムとを備える。
第3の実施形態の一変形形態では、酸化ガスは、リッドアセンブリと流体を連通させて酸化プラズマを形成し、材料層を処理する。第3の実施形態の別の変形形態では、エッチングガスは、リッドアセンブリと流体を連通させてエッチングプラズマを形成し、材料層を処理する。特定の変形形態では、エッチングガスはフッ素含有ガスを含む。1つの特定の変形形態では、エッチングガスは、アンモニア(NH)、三フッ化窒素(NF)ガス、および無水フッ化水素(HF)の1つまたは複数を含む。
第3の実施形態の一構成では、基板支持体は、酸化プロセス中には第2の電極近傍の加熱位置内に基板を配置し、エッチングプロセス中には第2の電極から離れたエッチング位置内に基板を配置するように、チャンバ本体内で垂直に動くように適合される。第3の実施形態の特定の構成では、基板支持体は、基板を上に支持するように適合された受取り表面を含み、受取り表面は、リフト機構に結合されたシャフトより上に配置される。一例では、リフト機構は、酸化プロセス中には第2の電極近傍の加熱位置内に基板を配置し、エッチングプロセス中には第2の電極から離れたエッチング位置内に基板を配置するように、チャンバ本体内で受取り表面を垂直に動かすように適合される。
第3の実施形態の別の変形形態では、基板支持アセンブリは、一方の端部に受取り表面と流体を連通させる1つまたは複数のガス通路を備え、第2の端部にパージガス源または真空源を備える。別の変形形態では、受取り表面は、上面上に形成された1つまたは複数の凹状チャネルを備える。
第3の実施形態の別の変形形態では、シャフトは、1つまたは複数の流体をガス通路へ供給するように適合された1つまたは複数の埋込み型のガス導管を備える。一例では、1つまたは複数の埋込み型の導管は、1つまたは複数の流体チャネルへ加熱媒体を供給するように適合される。1つまたは複数の埋込み型の導管は、1つまたは複数の流体チャネルへ冷却剤を供給するように適合することができる。
第3の実施形態の特定の変形形態では、制御システム、加熱システム、および冷却システムは、約3分未満の期間内に第1の温度と第2の温度との間を循環するように構成される。
第3の実施形態の別の変形形態では、冷却システムは、チャンバ内で基板支持体に隣接して配置されたシャワーヘッドを備え、シャワーヘッドは冷却流体と連通する。第3の実施形態のさらに別の変形形態では、加熱システムは、光源および抵抗加熱器の少なくとも1つを備える。
抵抗加熱器を含む実施形態では、抵抗加熱器は、基板支持体内および/またはシャワーヘッド内に配置することができる。第3の実施形態の加熱システムは光源を含むことができ、光源は、光源によって放出される光エネルギーが、処理されている材料による吸収を最適化する入射角で材料表面に接触するように配置される。1つの特定の変形形態では、入射角は、処理されている材料層に対するブルースター角である。
材料層に周期的な酸化およびエッチングのプロセスを実行する装置のさらなる実施形態は、内部に処理領域を画定する複数の壁を有し、処理領域内に材料層を有する基板を保持する基板支持体を含む処理チャンバと;処理チャンバと流体を連通させて、酸素含有ガス、不活性ガス、およびエッチングガスを処理チャンバ内へ供給する酸素含有ガス供給、不活性ガス供給、およびエッチングガス供給と;処理チャンバおよびエッチングガスと流体を連通させて、チャンバから遠隔でエッチングプラズマを形成し、且つ導管と流体を連通させて、エッチングプラズマをチャンバ内へ送達する遠隔プラズマ源と;チャンバ内の基板を約100℃を上回る第1の温度まで加熱する加熱システムと;チャンバ内の基板を第1の温度未満の第2の温度まで冷却する冷却システムと;第1の温度と第2の温度との間でチャンバ内の基板を循環させる制御システムとを備える。
第4の実施形態の一変形形態では、装置は、実質上熱酸化のみによって酸化プロセスを行うように構成される。第3の実施形態の特定の変形形態では、装置は、急速熱酸化プロセスによって酸化を行うように構成される。第4の実施形態の別の特定の変形形態では、加熱システムは、放射熱源およびリフレクタプレートを備える急速熱処理チャンバを備え、基板支持体は、リフレクタプレートと放射熱源との間に配置される。
第4の実施形態の一変形形態では、遠隔プラズマ源は、フッ素含有ガスを含むエッチングガスと流体を連通させる。第4の実施形態の別の変形形態では、チャンバは、エッチングプラズマ生成物をチャンバ内へ供給する少なくとも1つの細長いランスを含む。チャンバは、エッチングプラズマ生成物をチャンバ内へ供給するようにチャンバの周りで放射状に隔置された複数の細長いランスを含むことができる。
第4の実施形態の別の変形形態では、冷却システムは、基板全体にわたってガスを均等に分散させて基板の制御された急速な加熱および冷却を可能にするガス分配出口を組み込むリフレクタプレートを備える。第4の実施形態のさらに別の変形形態では、装置は、基板をリフレクタプレートの方へ動かしたりリフレクタプレートから離したりするように基板に選択的に接触して支持するように適合されたリフトピンを備える。第4の実施形態の別の変形形態では、装置は、処理されている基板をプレートの方へ動かしたりプレートから離したりするように基板支持体に結合された固定子アセンブリを含む。固定子アセンブリは、基板支持体に磁気的に結合することができる。
第4の実施形態の特定の構成では、固定子アセンブリおよびリフトピンの少なくとも1つは、冷却システムと協働し、基板支持体をリフレクタプレートに近付けて基板を冷却する。
第4の実施形態の別の特定の構成では、制御システム、加熱システム、および冷却システムは、約3分未満の期間内に第1の温度と第2の温度との間を循環するように構成される。さらに別の変形形態では、装置は、光化学酸化による酸化プロセスを行うように構成される。
したがって、狭ピッチの適用分野に適した半導体デバイスおよびその製造方法について、本明細書に記載した。本明細書に記載した装置を使用して、32nm以下のデバイスノードなど、狭ピッチの適用分野での使用に適した浮遊ゲート構成を有する半導体デバイスを製造することができる。例示的なデバイスノードは、約30nm以下、約25nm以下、約20nm以下、約15nm以下、および約13nm以下である。そのような半導体デバイスは、たとえばNANDおよびNORフラッシュメモリデバイスを含むことができる。本明細書に提供した浮遊ゲート構成は、浮遊ゲートと制御ゲートとの間の側壁容量を維持または改善し、そのようなデバイス内の隣接する浮遊ゲート間の干渉またはノイズを低減させた半導体デバイスを提供することが有利である。
さらに、本明細書に開示する方法を実行する装置は、たとえば本発明のデバイスのトンネル酸化物層を厚くする可能性のある酸素の拡散など、望ましくない処理を制限しながら半導体デバイスを形成することが有利である。これらの方法は、従来のリソグラフィパターニングによって課される限界寸法の制限を克服するために、他のデバイスまたは構造、たとえばFinFETデバイスまたはハードマスク構造などの製造に適用できることが有利である。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他の実施形態およびさらなる実施形態を考案することもできる。

Claims (15)

  1. 材料層上で周期的な酸化およびエッチングのプロセスを実行する装置であって、
    内部に処理領域を画定する複数の壁を有し、前記処理領域内に材料層を有する基板を保持する基板支持体を含む処理チャンバと、
    前記処理チャンバと流体を連通させて、酸素含有ガス、不活性ガス、およびエッチングガスを前記処理チャンバ内へ供給する酸素含有ガス供給、不活性ガス供給、およびエッチングガス供給と、
    前記チャンバ内部のプラズマ生成領域内のプラズマならびに前記酸素含有ガスおよびエッチングガスの少なくとも1つを形成して前記ガスを付勢し、前記材料層に接触する酸素プラズマおよびエッチングプラズマの少なくとも1つを形成するプラズマ源と、
    前記チャンバ内の前記基板を約100℃を上回る第1の温度まで加熱する加熱システムと、
    前記チャンバ内の前記基板を前記第1の温度未満の第2の温度まで冷却する冷却システムと、
    前記第1の温度と前記第2の温度との間で前記チャンバ内の前記基板を循環させる制御システムと
    を備える装置。
  2. 前記制御システム、前記加熱システム、および前記冷却システムが、約3分未満の期間内に前記第1の温度と第2の温度との間を循環するように構成されており、前記第2の温度が約200℃〜1000℃の範囲内である、請求項1に記載の装置。
  3. 前記冷却システムが、冷却媒体を流すための通路を含む基板支持体を備えている、請求項1に記載の装置。
  4. 前記冷却システムが、前記チャンバ内で前記基板支持体に隣接して配置されたシャワーヘッドを備え、前記シャワーヘッドが冷却流体と連通している、請求項1に記載の装置。
  5. 前記加熱システムが、光源および抵抗加熱器の少なくとも1つを備えている、請求項4に記載の装置。
  6. 前記抵抗加熱器が、前記基板支持体または前記シャワーヘッド内に配置されている、請求項5に記載の装置。
  7. 前記加熱システムが光源を含み、前記光源は、前記光源によって放出される光エネルギーが、処理されている前記材料による吸収を最適化する入射角で前記材料表面に接触するように配置されており、前記入射角が、処理されている前記材料層に対するブルースター角である、請求項1に記載の装置。
  8. 前記処理チャンバが、天井を覆って配置されたコイルを含む電力アプリケータを備える天井プラズマ源を有し、前記コイルが、インピーダンス整合ネットワークを通じて電源に結合されて、前記プラズマ生成領域内にプラズマを生成する、請求項1に記載の装置。
  9. 前記エッチングガスがフッ素含有ガスを含み、前記チャンバが、プラズマ源と連通する窒素ガス源をさらに備えている、請求項8に記載の装置。
  10. 前記チャンバが、前記基板上の材料層上でエッチングプロセスを実行するように構成されており、前記エッチングプロセスの少なくとも一部分が、前記第1の温度で実行される、請求項2に記載の装置。
  11. 前記エッチングプロセスが乾式エッチングプロセスを含み、前記エッチングガスが、プラズマ源と連通する窒素ガスおよびフッ素含有ガスを含む、請求項10に記載の装置。
  12. 前記エッチングガスが、前記プラズマ源と流体を連通させてエッチングプラズマを形成する、請求項10に記載の装置。
  13. 前記温度制御システムが、約50℃未満、具体的には約25℃〜約35℃の温度で前記エッチングプロセスの少なくとも一部分を実行する冷却システムを含んでいる、請求項2に記載の装置。
  14. 約3分未満で前記第1の温度と第2の温度との間を循環するように構成されている、請求項13に記載の装置。
  15. 前記基板上に材料層を成形するように構成されており、前記材料層の所望の形状においては、基部近傍の第1の幅が頂部近傍の第2の幅と実質的に同等であり、前記所望の形状の前記第1の幅および前記第2の幅が約1〜約30ナノメートルである、請求項1に記載の装置。
JP2012557251A 2010-03-10 2011-03-10 周期的な酸化およびエッチングのための装置と方法 Active JP5921448B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/720,926 2010-03-10
US12/720,926 US20110061812A1 (en) 2009-09-11 2010-03-10 Apparatus and Methods for Cyclical Oxidation and Etching
PCT/US2011/027922 WO2011112823A2 (en) 2010-03-10 2011-03-10 Apparatus and methods for cyclical oxidation and etching

Publications (3)

Publication Number Publication Date
JP2013522884A true JP2013522884A (ja) 2013-06-13
JP2013522884A5 JP2013522884A5 (ja) 2014-04-24
JP5921448B2 JP5921448B2 (ja) 2016-05-24

Family

ID=43729314

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012557251A Active JP5921448B2 (ja) 2010-03-10 2011-03-10 周期的な酸化およびエッチングのための装置と方法

Country Status (6)

Country Link
US (1) US20110061812A1 (ja)
JP (1) JP5921448B2 (ja)
KR (3) KR101832475B1 (ja)
CN (2) CN106024587B (ja)
TW (1) TWI517240B (ja)
WO (1) WO2011112823A2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015056519A (ja) * 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
JP2016530729A (ja) * 2013-09-17 2016-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
US9627183B2 (en) 2013-10-30 2017-04-18 Panasonic Intellectual Property Management Co., Ltd. Plasma processing device, plasma processing method and method of manufacturing electronic devices
US10192720B2 (en) 2015-07-17 2019-01-29 Hitachi High-Technologies Corporation Plasma processing apparatus
JP2021514543A (ja) * 2018-02-13 2021-06-10 コリア・ベーシック・サイエンス・インスティテュート 環状沿面放電プラズマ装置を利用した点状エッチングモジュール及び点状エッチングモジュールのエッチングプロファイルを制御する方法
US11380586B2 (en) 2017-07-20 2022-07-05 Iwatani Corporation Cutting method
US11482455B2 (en) 2017-07-20 2022-10-25 Iwatani Corporation Cutting method of workpiece by forming reformed region and dry etching process

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5396180B2 (ja) * 2009-07-27 2014-01-22 東京エレクトロン株式会社 選択酸化処理方法、選択酸化処理装置およびコンピュータ読み取り可能な記憶媒体
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8828883B2 (en) * 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
JP6254098B2 (ja) * 2012-02-13 2017-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板の選択性酸化のための方法および装置
US9530620B2 (en) * 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103887135B (zh) * 2012-12-24 2016-05-18 中国科学院微电子研究所 离子注入系统
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
US9177787B2 (en) * 2013-03-15 2015-11-03 Applied Materials, Inc. NH3 containing plasma nitridation of a layer of a three dimensional structure on a substrate
CN104276764B (zh) * 2013-07-11 2017-03-22 北京北方微电子基地设备工艺研究中心有限责任公司 玻璃衬底的工艺方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
JP5824189B2 (ja) 2013-09-25 2015-11-25 キヤノンアネルバ株式会社 磁気抵抗効果素子の製造システム
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
JP6405958B2 (ja) * 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
CN106463344B (zh) * 2014-05-16 2019-10-11 应用材料公司 喷头设计
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9753463B2 (en) 2014-09-12 2017-09-05 Applied Materials, Inc. Increasing the gas efficiency for an electrostatic chuck
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6615544B2 (ja) * 2015-09-14 2019-12-04 株式会社東芝 流量調整装置及び処理装置
US9543419B1 (en) * 2015-09-18 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
CN106548936B (zh) * 2015-09-23 2022-04-22 北京北方华创微电子装备有限公司 一种金属层的刻蚀方法
KR20170043936A (ko) * 2015-10-14 2017-04-24 현대자동차주식회사 블랭크 가열 장치
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
CN107248515B (zh) * 2016-03-24 2020-06-16 上海新昇半导体科技有限公司 真空管闪存结构及其制造方法
CN109075075B (zh) * 2016-04-05 2023-06-06 Tes股份有限公司 硅氧化膜的选择性蚀刻方法
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10224212B2 (en) * 2017-01-27 2019-03-05 Lam Research Corporation Isotropic etching of film with atomic layer control
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
TWI729121B (zh) * 2017-05-01 2021-06-01 聯華電子股份有限公司 用於快速加熱製程的方法與反應腔室
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
TWI811284B (zh) * 2018-01-24 2023-08-11 美商應用材料股份有限公司 腔室入口組件、入口構件及包括此腔室入口組件的基板處理系統
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN110391120B (zh) * 2018-04-17 2022-02-22 北京北方华创微电子装备有限公司 一种喷头和等离子体处理腔室
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7204348B2 (ja) * 2018-06-08 2023-01-16 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892136B2 (en) * 2018-08-13 2021-01-12 Varian Semiconductor Equipment Associates, Inc. Ion source thermal gas bushing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP7342112B2 (ja) * 2018-09-24 2023-09-11 アプライド マテリアルズ インコーポレイテッド 洗浄および表面処理のための原子状酸素およびオゾン装置
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7202230B2 (ja) * 2019-03-20 2023-01-11 株式会社Screenホールディングス 基板処理方法および基板処理装置
US11373875B2 (en) * 2019-06-21 2022-06-28 Hitachi High-Tech Corporation Plasma processing method
JP2021017602A (ja) * 2019-07-17 2021-02-15 コニカミノルタ株式会社 微細構造体の製造方法及び微細構造体の製造装置
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
CN113488368A (zh) * 2020-07-02 2021-10-08 北京屹唐半导体科技股份有限公司 工件的加工
KR102501331B1 (ko) * 2020-09-08 2023-02-17 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
CN112371452B (zh) * 2020-11-04 2022-03-18 上海华力集成电路制造有限公司 半导体制造工艺环境的调风装置
CN114497089A (zh) * 2020-11-11 2022-05-13 上海华力微电子有限公司 一种通过sti刻蚀工艺改善hdp填充缺陷的方法
TWI786566B (zh) * 2021-03-11 2022-12-11 南亞科技股份有限公司 半導體結構製造方法與半導體結構製造系統

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002217178A (ja) * 2001-01-23 2002-08-02 Tokyo Electron Ltd 処理装置及び処理方法
JP2003309108A (ja) * 2002-04-12 2003-10-31 Elpida Memory Inc エッチング方法
JP2008028355A (ja) * 2006-06-20 2008-02-07 Shin Etsu Handotai Co Ltd シリコンウエーハの製造方法およびこれにより製造されたシリコンウエーハ
JP2008159892A (ja) * 2006-12-25 2008-07-10 Univ Nagoya パターン形成方法、および半導体装置の製造方法
JP2009088332A (ja) * 2007-10-01 2009-04-23 Toshiba Corp 半導体製造装置及び半導体装置の製造方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8656A (en) * 1852-01-13 Loom foe
US4535228A (en) * 1982-12-28 1985-08-13 Ushio Denki Kabushiki Kaisha Heater assembly and a heat-treatment method of semiconductor wafer using the same
US4490211A (en) * 1984-01-24 1984-12-25 International Business Machines Corporation Laser induced chemical etching of metals with excimer lasers
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
EP0628644B1 (en) * 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6432206B1 (en) * 1999-08-30 2002-08-13 Si Diamond Technology, Inc. Heating element for use in a hot filament chemical vapor deposition chamber
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US6800833B2 (en) * 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4228975B2 (ja) * 2004-04-15 2009-02-25 株式会社デンソー 積層型ガスセンサ素子
KR100584485B1 (ko) * 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
KR20070000847A (ko) * 2005-06-28 2007-01-03 엘지.필립스 엘시디 주식회사 이동식 냉매충진장치 및 이를 이용하는 기판제조장비의냉각방법
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US20070163995A1 (en) * 2006-01-17 2007-07-19 Tokyo Electron Limited Plasma processing method, apparatus and storage medium
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20070224838A1 (en) * 2006-03-27 2007-09-27 Honeywell International Inc. Method of straining a silicon island for mobility improvement
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US7732340B2 (en) * 2006-08-08 2010-06-08 Tokyo Electron Limited Method for adjusting a critical dimension in a high aspect ratio feature
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US7572734B2 (en) * 2006-10-27 2009-08-11 Applied Materials, Inc. Etch depth control for dual damascene fabrication process
US7595005B2 (en) * 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
KR100951559B1 (ko) * 2007-01-03 2010-04-09 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성 방법
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US7899451B2 (en) * 2007-07-20 2011-03-01 Jianhong Hu OWA converged network access architecture and method
JP2009043974A (ja) * 2007-08-09 2009-02-26 Tokyo Electron Ltd 半導体装置の製造方法、半導体基板の処理装置及び記憶媒体
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
CN103258729B (zh) * 2007-12-21 2016-07-06 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
US8871645B2 (en) * 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
KR101585214B1 (ko) * 2009-09-03 2016-01-13 삼성전자주식회사 리세스 형성 방법 및 이를 포함하는 반도체 소자의 형성 방법
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002217178A (ja) * 2001-01-23 2002-08-02 Tokyo Electron Ltd 処理装置及び処理方法
JP2003309108A (ja) * 2002-04-12 2003-10-31 Elpida Memory Inc エッチング方法
JP2008028355A (ja) * 2006-06-20 2008-02-07 Shin Etsu Handotai Co Ltd シリコンウエーハの製造方法およびこれにより製造されたシリコンウエーハ
JP2008159892A (ja) * 2006-12-25 2008-07-10 Univ Nagoya パターン形成方法、および半導体装置の製造方法
JP2009088332A (ja) * 2007-10-01 2009-04-23 Toshiba Corp 半導体製造装置及び半導体装置の製造方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015056519A (ja) * 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
JP2016530729A (ja) * 2013-09-17 2016-09-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
US9627183B2 (en) 2013-10-30 2017-04-18 Panasonic Intellectual Property Management Co., Ltd. Plasma processing device, plasma processing method and method of manufacturing electronic devices
US9673062B1 (en) 2013-10-30 2017-06-06 Panasonic Intellectual Property Management Co., Ltd. Plasma processing method
US10192720B2 (en) 2015-07-17 2019-01-29 Hitachi High-Technologies Corporation Plasma processing apparatus
US11380586B2 (en) 2017-07-20 2022-07-05 Iwatani Corporation Cutting method
US11482455B2 (en) 2017-07-20 2022-10-25 Iwatani Corporation Cutting method of workpiece by forming reformed region and dry etching process
JP2021514543A (ja) * 2018-02-13 2021-06-10 コリア・ベーシック・サイエンス・インスティテュート 環状沿面放電プラズマ装置を利用した点状エッチングモジュール及び点状エッチングモジュールのエッチングプロファイルを制御する方法
JP7081740B2 (ja) 2018-02-13 2022-06-07 コリア インスティテュート オブ フュージョン エナジー 環状沿面放電プラズマ装置を利用した点状エッチングモジュール及び点状エッチングモジュールのエッチングプロファイルを制御する方法

Also Published As

Publication number Publication date
CN106024587A (zh) 2016-10-12
JP5921448B2 (ja) 2016-05-24
CN102792425A (zh) 2012-11-21
KR101832475B1 (ko) 2018-02-26
TW201203351A (en) 2012-01-16
KR102271735B1 (ko) 2021-06-30
KR20130014552A (ko) 2013-02-07
CN102792425B (zh) 2016-08-17
WO2011112823A2 (en) 2011-09-15
KR20190039356A (ko) 2019-04-10
KR20180021244A (ko) 2018-02-28
TWI517240B (zh) 2016-01-11
CN106024587B (zh) 2019-09-03
US20110061812A1 (en) 2011-03-17
WO2011112823A3 (en) 2012-01-05

Similar Documents

Publication Publication Date Title
JP5922041B2 (ja) 周期的な酸化およびエッチングのための装置と方法
JP5921448B2 (ja) 周期的な酸化およびエッチングのための装置と方法
KR101881474B1 (ko) 순환적인 산화 및 에칭을 위한 장치 및 방법
TWI557799B (zh) 用於半導體裝置之氧化的方法
US20230377958A1 (en) Cluster processing system for forming a metal containing material
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
JP2007123766A (ja) エッチング方法、プラズマ処理装置及び記憶媒体

Legal Events

Date Code Title Description
A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20140306

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140306

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150313

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150414

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160129

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20160229

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160315

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160412

R150 Certificate of patent or registration of utility model

Ref document number: 5921448

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250