JP2013522884A5 - - Google Patents

Download PDF

Info

Publication number
JP2013522884A5
JP2013522884A5 JP2012557251A JP2012557251A JP2013522884A5 JP 2013522884 A5 JP2013522884 A5 JP 2013522884A5 JP 2012557251 A JP2012557251 A JP 2012557251A JP 2012557251 A JP2012557251 A JP 2012557251A JP 2013522884 A5 JP2013522884 A5 JP 2013522884A5
Authority
JP
Japan
Prior art keywords
temperature
plasma
chamber
substrate
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012557251A
Other languages
English (en)
Other versions
JP5921448B2 (ja
JP2013522884A (ja
Filing date
Publication date
Priority claimed from US12/720,926 external-priority patent/US20110061812A1/en
Application filed filed Critical
Publication of JP2013522884A publication Critical patent/JP2013522884A/ja
Publication of JP2013522884A5 publication Critical patent/JP2013522884A5/ja
Application granted granted Critical
Publication of JP5921448B2 publication Critical patent/JP5921448B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

基板1204は、上記で論じたような任意の適した基板とすることができる。いくつかの実施形態では、たとえば論理デバイスの製造では、基板1204は、ケイ素(Si)または二酸化ケイ素(SiO)を含むことができる。いくつかの実施形態では、たとえばハードマスク構造の製造では、基板1204は、ハードマスクによってパターニングすべきケイ素を含まない層1210上に堆積させた層1208(図11A〜Cに点線で示す)を含むことができる。層1208は、Siを含まない層1210をエッチングするときに第2のハードマスクとして機能することができる。層1208は、低い温度で堆積させた二酸化ケイ素(SiO)、窒化ケイ素(SiN)、酸化アルミニウム(Al)、もしくは他の材料、またはシリコンオンインシュレータ(SOI)の製造中に形成されて埋設された酸化物の1つまたは複数を含むことができる。ケイ素を含まない層1210は、タングステン(W)、窒化チタン(TiN)などの1つまたは複数などの金属、ならびに/あるいはSiO、高誘電率の2元酸化物、3元酸化物、相変化材料(酸化ニッケル、テルル化ゲルマニウムアンチモンなど)、ならびに/または第IV族材料(たとえば、Ge、SiGe)および/もしくは第III−V材料(たとえば、GaAs、GaN、InPなど)の代替チャネル材料などの誘電体材料、ならびに/あるいは有機物(たとえば、ペンタセン、フラーレンなど)を含むことができる。一部の材料は、摂氏約100度を上回る温度で劣化することがあるが、デバイス性能を向上させるように本発明の方法によってアクセス可能になるサブリソグラフィパターニングからの利益を得ることができる。
図21に示すRTPチャンバ2100はまた、冷却ブロック2180を含み、冷却ブロック2180は、頂部2112に隣接し、頂部2112に結合され、または頂部2112内に形成される。通常、冷却ブロック2180は、放射熱源2106の反対側に隔置される。冷却ブロック2180は、入り口2181Aおよび出口2181Bに結合された1つまたは複数の冷却剤チャネル2184を備える。冷却ブロック2180は、ステンレス鋼、アルミニウム、ポリマー、またはセラミック材料などの、処理に耐える材料から作ることができる。冷却剤チャネル2184は、螺旋形パターン、方形パターン、円形パターン、またはこれらの組合せを構成することができ、チャネル2184は、たとえば冷却ブロック2180を鋳造すること、および/または2つ以上の部品から冷却ブロック2180を製造してこれらの部品を接合することによって、冷却ブロック2180内に一体形成することができる。追加または別法として、冷却剤チャネル2184は、冷却ブロック2180内へドリル加工することができる。
入り口2181Aおよび出口2181Bは、バルブおよび適した配管によって冷却剤源2182に結合することができ、冷却剤源2182は、中に配置された流体の圧力および/または流れの制御を容易にするように、システムコントローラ2124と連通する。流体は、水、エチレングリコール、窒素(N)、ヘリウム(He)、または熱交換媒体として使用される他の流体とすることができる。
材料層に周期的な酸化およびエッチングのプロセスを実行する装置のさらなる実施形態は、内部に処理領域を画定する複数の壁を有し、処理領域内に材料層を有する基板を保持する基板支持体を含む処理チャンバと;処理チャンバと流体を連通させて、酸素含有ガス、不活性ガス、およびエッチングガスを処理チャンバ内へ供給する酸素含有ガス供給、不活性ガス供給、およびエッチングガス供給と;処理チャンバおよびエッチングガスと流体を連通させて、チャンバおよび導管から遠隔でエッチングプラズマを形成し、エッチングプラズマをチャンバ内へ送達する遠隔プラズマ源と;チャンバ内の基板を約100℃を上回る第1の温度まで加熱する加熱システムと;チャンバ内の基板を第1の温度未満の第2の温度まで冷却する冷却システムと;第1の温度と第2の温度との間でチャンバ内の基板を循環させる制御システムとを備える。

Claims (15)

  1. 材料層上で周期的な酸化およびエッチングのプロセスを実行する装置であって、
    内部に処理領域を画定する複数の壁を有し、前記処理領域内に材料層を有する基板を保持する基板支持体を含む処理チャンバと、
    前記処理チャンバと流体を連通させて、酸素含有ガス、不活性ガス、およびエッチングガスを前記処理チャンバ内へ供給する酸素含有ガス供給、不活性ガス供給、およびエッチングガス供給と、
    前記チャンバ内部のプラズマ生成領域内のプラズマならびに前記酸素含有ガスおよびエッチングガスの少なくとも1つを形成して前記ガスを付勢し、前記材料層に接触する酸素プラズマおよびエッチングプラズマの少なくとも1つを形成するプラズマ源と、
    前記チャンバ内の前記基板を約100℃を上回る第1の温度まで加熱する加熱システムと、
    前記チャンバ内の前記基板を前記第1の温度未満の第2の温度まで冷却する冷却システムと、
    前記第1の温度と前記第2の温度との間で前記チャンバ内の前記基板を循環させる制御システムと
    を備える装置。
  2. 前記制御システム、前記加熱システム、および前記冷却システムが、約3分未満の期間内に前記第1の温度と第2の温度との間を循環し、前記第2の温度が約200℃〜1000℃の範囲内である、請求項1に記載の装置。
  3. 前記冷却システムが、冷却媒体を流すための通路を含む基板支持体を備えている、請求項1に記載の装置。
  4. 前記冷却システムが、前記チャンバ内で前記基板支持体に隣接して配置されたシャワーヘッドを備え、前記シャワーヘッドが冷却流体と連通している、請求項1に記載の装置。
  5. 前記加熱システムが、光源および抵抗加熱器の少なくとも1つを備えている、請求項4に記載の装置。
  6. 前記抵抗加熱器が、前記基板支持体または前記シャワーヘッド内に配置されている、請求項5に記載の装置。
  7. 前記加熱システムが光源を含み、前記光源は、前記光源によって放出される光エネルギーが、処理されている前記材料による吸収を最適化する入射角で前記材料表面に接触するように配置されており、前記入射角が、処理されている前記材料層に対するブルースター角である、請求項1に記載の装置。
  8. 前記処理チャンバが、天井を覆って配置されたコイルを含む電力アプリケータを備える天井プラズマ源を有し、前記コイルが、インピーダンス整合ネットワークを通じて電源に結合されて、前記プラズマ生成領域内にプラズマを生成する、請求項1に記載の装置。
  9. 前記エッチングガスがフッ素含有ガスを含み、前記チャンバが、プラズマ源と連通する窒素ガス源をさらに備えている、請求項8に記載の装置。
  10. 前記チャンバが、前記基板上の材料層上でエッチングプロセスを実行、前記エッチングプロセスの少なくとも一部分が、前記第1の温度で実行される、請求項2に記載の装置。
  11. 前記エッチングプロセスが乾式エッチングプロセスを含み、前記エッチングガスが、プラズマ源と連通する窒素ガスおよびフッ素含有ガスを含む、請求項10に記載の装置。
  12. 前記エッチングガスが、前記プラズマ源と流体を連通させてエッチングプラズマを形成する、請求項10に記載の装置。
  13. 前記温度制御システムが、約50℃未満、具体的には約25℃〜約35℃の温度で前記エッチングプロセスの少なくとも一部分を実行する冷却システムを含んでいる、請求項2に記載の装置。
  14. 約3分未満で前記第1の温度と第2の温度との間を循環、請求項13に記載の装置。
  15. 前記基板上に材料層を成形、前記材料層の所望の形状においては、基部近傍の第1の幅が頂部近傍の第2の幅と実質的に同等であり、前記所望の形状の前記第1の幅および前記第2の幅が約1〜約30ナノメートルである、請求項1に記載の装置。
JP2012557251A 2010-03-10 2011-03-10 周期的な酸化およびエッチングのための装置と方法 Active JP5921448B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/720,926 2010-03-10
US12/720,926 US20110061812A1 (en) 2009-09-11 2010-03-10 Apparatus and Methods for Cyclical Oxidation and Etching
PCT/US2011/027922 WO2011112823A2 (en) 2010-03-10 2011-03-10 Apparatus and methods for cyclical oxidation and etching

Publications (3)

Publication Number Publication Date
JP2013522884A JP2013522884A (ja) 2013-06-13
JP2013522884A5 true JP2013522884A5 (ja) 2014-04-24
JP5921448B2 JP5921448B2 (ja) 2016-05-24

Family

ID=43729314

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012557251A Active JP5921448B2 (ja) 2010-03-10 2011-03-10 周期的な酸化およびエッチングのための装置と方法

Country Status (6)

Country Link
US (1) US20110061812A1 (ja)
JP (1) JP5921448B2 (ja)
KR (3) KR102271735B1 (ja)
CN (2) CN106024587B (ja)
TW (1) TWI517240B (ja)
WO (1) WO2011112823A2 (ja)

Families Citing this family (164)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5396180B2 (ja) * 2009-07-27 2014-01-22 東京エレクトロン株式会社 選択酸化処理方法、選択酸化処理装置およびコンピュータ読み取り可能な記憶媒体
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8828883B2 (en) * 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US9530620B2 (en) * 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN103887135B (zh) * 2012-12-24 2016-05-18 中国科学院微电子研究所 离子注入系统
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
US9177787B2 (en) * 2013-03-15 2015-11-03 Applied Materials, Inc. NH3 containing plasma nitridation of a layer of a three dimensional structure on a substrate
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
CN104276764B (zh) * 2013-07-11 2017-03-22 北京北方微电子基地设备工艺研究中心有限责任公司 玻璃衬底的工艺方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056519A (ja) * 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US20150079799A1 (en) * 2013-09-17 2015-03-19 Applied Materials, Inc. Method for stabilizing an interface post etch to minimize queue time issues before next processing step
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
KR101862632B1 (ko) * 2013-09-25 2018-05-31 캐논 아네르바 가부시키가이샤 자기 저항 효과 소자의 제조 방법 및 제조 시스템
JP6043968B2 (ja) 2013-10-30 2016-12-14 パナソニックIpマネジメント株式会社 プラズマ処理方法並びに電子デバイスの製造方法
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
JP6405958B2 (ja) 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9753463B2 (en) * 2014-09-12 2017-09-05 Applied Materials, Inc. Increasing the gas efficiency for an electrostatic chuck
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6818402B2 (ja) 2015-07-17 2021-01-20 株式会社日立ハイテク プラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6615544B2 (ja) * 2015-09-14 2019-12-04 株式会社東芝 流量調整装置及び処理装置
US9543419B1 (en) 2015-09-18 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
CN106548936B (zh) * 2015-09-23 2022-04-22 北京北方华创微电子装备有限公司 一种金属层的刻蚀方法
KR20170043936A (ko) * 2015-10-14 2017-04-24 현대자동차주식회사 블랭크 가열 장치
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
CN107248515B (zh) * 2016-03-24 2020-06-16 上海新昇半导体科技有限公司 真空管闪存结构及其制造方法
CN109075075B (zh) * 2016-04-05 2023-06-06 Tes股份有限公司 硅氧化膜的选择性蚀刻方法
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10224212B2 (en) * 2017-01-27 2019-03-05 Lam Research Corporation Isotropic etching of film with atomic layer control
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
TWI729121B (zh) * 2017-05-01 2021-06-01 聯華電子股份有限公司 用於快速加熱製程的方法與反應腔室
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
JP6957252B2 (ja) 2017-07-20 2021-11-02 岩谷産業株式会社 切断加工方法
JP6925900B2 (ja) 2017-07-20 2021-08-25 岩谷産業株式会社 切断加工方法
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
TWI811284B (zh) * 2018-01-24 2023-08-11 美商應用材料股份有限公司 腔室入口組件、入口構件及包括此腔室入口組件的基板處理系統
KR102024568B1 (ko) * 2018-02-13 2019-09-24 한국기초과학지원연구원 환형 면방전 플라즈마 장치를 이용한 점상 식각 모듈 및 점상 식각 모듈의 식각 프로파일을 제어하는 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN110391120B (zh) * 2018-04-17 2022-02-22 北京北方华创微电子装备有限公司 一种喷头和等离子体处理腔室
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7204348B2 (ja) * 2018-06-08 2023-01-16 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892136B2 (en) * 2018-08-13 2021-01-12 Varian Semiconductor Equipment Associates, Inc. Ion source thermal gas bushing
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN112703588A (zh) * 2018-09-24 2021-04-23 应用材料公司 用于清洁和表面处理的原子氧和臭氧装置
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7202230B2 (ja) * 2019-03-20 2023-01-11 株式会社Screenホールディングス 基板処理方法および基板処理装置
WO2020100338A1 (ja) * 2019-06-21 2020-05-22 株式会社日立ハイテク プラズマ処理方法
JP2021017602A (ja) * 2019-07-17 2021-02-15 コニカミノルタ株式会社 微細構造体の製造方法及び微細構造体の製造装置
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
CN113488368A (zh) * 2020-07-02 2021-10-08 北京屹唐半导体科技股份有限公司 工件的加工
KR102501331B1 (ko) * 2020-09-08 2023-02-17 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
CN112371452B (zh) * 2020-11-04 2022-03-18 上海华力集成电路制造有限公司 半导体制造工艺环境的调风装置
CN114497089A (zh) * 2020-11-11 2022-05-13 上海华力微电子有限公司 一种通过sti刻蚀工艺改善hdp填充缺陷的方法
TWI786566B (zh) * 2021-03-11 2022-12-11 南亞科技股份有限公司 半導體結構製造方法與半導體結構製造系統

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8656A (en) * 1852-01-13 Loom foe
US4535228A (en) * 1982-12-28 1985-08-13 Ushio Denki Kabushiki Kaisha Heater assembly and a heat-treatment method of semiconductor wafer using the same
US4490211A (en) * 1984-01-24 1984-12-25 International Business Machines Corporation Laser induced chemical etching of metals with excimer lasers
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
EP0628644B1 (en) * 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6432206B1 (en) * 1999-08-30 2002-08-13 Si Diamond Technology, Inc. Heating element for use in a hot filament chemical vapor deposition chamber
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
US7661386B2 (en) * 2001-02-09 2010-02-16 Tokyo Electron Limited Film forming device
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US6800833B2 (en) * 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
JP3586678B2 (ja) * 2002-04-12 2004-11-10 エルピーダメモリ株式会社 エッチング方法
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4228975B2 (ja) * 2004-04-15 2009-02-25 株式会社デンソー 積層型ガスセンサ素子
KR100584485B1 (ko) * 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
KR20070000847A (ko) * 2005-06-28 2007-01-03 엘지.필립스 엘시디 주식회사 이동식 냉매충진장치 및 이를 이용하는 기판제조장비의냉각방법
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US20070163995A1 (en) * 2006-01-17 2007-07-19 Tokyo Electron Limited Plasma processing method, apparatus and storage medium
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20070224838A1 (en) * 2006-03-27 2007-09-27 Honeywell International Inc. Method of straining a silicon island for mobility improvement
JP5239155B2 (ja) * 2006-06-20 2013-07-17 信越半導体株式会社 シリコンウエーハの製造方法
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US7732340B2 (en) * 2006-08-08 2010-06-08 Tokyo Electron Limited Method for adjusting a critical dimension in a high aspect ratio feature
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US7572734B2 (en) * 2006-10-27 2009-08-11 Applied Materials, Inc. Etch depth control for dual damascene fabrication process
US7595005B2 (en) * 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
JP5229711B2 (ja) * 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
KR100951559B1 (ko) * 2007-01-03 2010-04-09 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성 방법
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US7899451B2 (en) * 2007-07-20 2011-03-01 Jianhong Hu OWA converged network access architecture and method
JP2009043974A (ja) * 2007-08-09 2009-02-26 Tokyo Electron Ltd 半導体装置の製造方法、半導体基板の処理装置及び記憶媒体
JP2009088332A (ja) * 2007-10-01 2009-04-23 Toshiba Corp 半導体製造装置及び半導体装置の製造方法
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN103258729B (zh) * 2007-12-21 2016-07-06 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
US8871645B2 (en) * 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
KR101585214B1 (ko) * 2009-09-03 2016-01-13 삼성전자주식회사 리세스 형성 방법 및 이를 포함하는 반도체 소자의 형성 방법
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching

Similar Documents

Publication Publication Date Title
JP2013522884A5 (ja)
JP2013522883A5 (ja)
JP2013522882A5 (ja)
TWI517240B (zh) 循環氧化與蝕刻之設備及方法
TWI566292B (zh) 循環氧化與蝕刻之設備及方法
TWI525683B (zh) 循環氧化與蝕刻之設備及方法
JP4795935B2 (ja) 基板を処理する処理システムおよび方法
JP4745958B2 (ja) 基板を熱処理する処理システムおよび方法
JP5290250B2 (ja) 基板を化学的処理する処理システムおよび方法
JP6123688B2 (ja) 成膜装置
US11424137B2 (en) Drying process for high aspect ratio features
US8354623B2 (en) Treatment apparatus, treatment method, and storage medium
KR102485541B1 (ko) 다른 필름 또는 마스크에 대한 실리콘-함유 반사 방지 코팅 또는 실리콘 산질화물의 제어 가능한 식각 선택비에 따른 기상 식각
TW201433370A (zh) 低溫液體清洗裝置與方法
TW202004904A (zh) 基板處理方法及基板處理裝置
US20170088949A1 (en) High temperature vapor delivery system and method
CN102105312A (zh) 用于化学处置和热处置的高产量处理系统及操作方法
CN107851559B (zh) 气相蚀刻系统和方法
US20130319473A1 (en) Semiconductor manufacturing apparatus and method for cleaning same
JP2011001591A (ja) ガス加熱装置
JP2014060220A (ja) エッチング装置およびエッチング方法