JP5290250B2 - 基板を化学的処理する処理システムおよび方法 - Google Patents
基板を化学的処理する処理システムおよび方法 Download PDFInfo
- Publication number
- JP5290250B2 JP5290250B2 JP2010201148A JP2010201148A JP5290250B2 JP 5290250 B2 JP5290250 B2 JP 5290250B2 JP 2010201148 A JP2010201148 A JP 2010201148A JP 2010201148 A JP2010201148 A JP 2010201148A JP 5290250 B2 JP5290250 B2 JP 5290250B2
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- temperature
- processing system
- processing chamber
- gas distribution
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 198
- 238000012545 processing Methods 0.000 title claims abstract description 138
- 238000000034 method Methods 0.000 title claims abstract description 92
- 239000000126 substance Substances 0.000 claims abstract description 21
- 238000011282 treatment Methods 0.000 claims abstract description 17
- 239000007789 gas Substances 0.000 claims description 148
- 238000012993 chemical processing Methods 0.000 claims description 101
- 238000010438 heat treatment Methods 0.000 claims description 86
- 238000009826 distribution Methods 0.000 claims description 76
- 230000008569 process Effects 0.000 claims description 72
- 238000012546 transfer Methods 0.000 claims description 59
- 238000005530 etching Methods 0.000 claims description 14
- 238000001816 cooling Methods 0.000 claims description 12
- 239000002344 surface layer Substances 0.000 claims description 10
- 239000012530 fluid Substances 0.000 claims description 4
- 238000002347 injection Methods 0.000 claims description 4
- 239000007924 injection Substances 0.000 claims description 4
- 230000001681 protective effect Effects 0.000 claims description 4
- -1 polytetrafluoroethylene Polymers 0.000 claims description 3
- 230000008859 change Effects 0.000 claims description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 claims 1
- 239000004810 polytetrafluoroethylene Substances 0.000 claims 1
- 239000000463 material Substances 0.000 description 19
- 239000010410 layer Substances 0.000 description 17
- 238000002955 isolation Methods 0.000 description 16
- 229910045601 alloy Inorganic materials 0.000 description 15
- 239000000956 alloy Substances 0.000 description 15
- 229910000953 kanthal Inorganic materials 0.000 description 14
- 238000009413 insulation Methods 0.000 description 13
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 238000009529 body temperature measurement Methods 0.000 description 7
- 229910052721 tungsten Inorganic materials 0.000 description 7
- 239000010937 tungsten Substances 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 6
- 229920002120 photoresistant polymer Polymers 0.000 description 6
- 239000010409 thin film Substances 0.000 description 6
- 229910000640 Fe alloy Inorganic materials 0.000 description 5
- CYUOWZRAOZFACA-UHFFFAOYSA-N aluminum iron Chemical compound [Al].[Fe] CYUOWZRAOZFACA-UHFFFAOYSA-N 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 5
- VNNRSPGTAMTISX-UHFFFAOYSA-N chromium nickel Chemical compound [Cr].[Ni] VNNRSPGTAMTISX-UHFFFAOYSA-N 0.000 description 5
- 239000002826 coolant Substances 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- 229910001120 nichrome Inorganic materials 0.000 description 5
- 229910000623 nickel–chromium alloy Inorganic materials 0.000 description 5
- 235000012239 silicon dioxide Nutrition 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 229910000859 α-Fe Inorganic materials 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 239000004809 Teflon Substances 0.000 description 4
- 229920006362 Teflon® Polymers 0.000 description 4
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 230000013011 mating Effects 0.000 description 4
- 230000003287 optical effect Effects 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 238000009966 trimming Methods 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000005086 pumping Methods 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- 229910052724 xenon Inorganic materials 0.000 description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 238000000429 assembly Methods 0.000 description 2
- 230000000712 assembly Effects 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000001311 chemical methods and process Methods 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000001514 detection method Methods 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 229920001971 elastomer Polymers 0.000 description 2
- 239000000806 elastomer Substances 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052743 krypton Inorganic materials 0.000 description 2
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000010926 purge Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 229920002379 silicone rubber Polymers 0.000 description 2
- 239000004945 silicone rubber Substances 0.000 description 2
- 238000007669 thermal treatment Methods 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 238000002048 anodisation reaction Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000001393 microlithography Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 239000013307 optical fiber Substances 0.000 description 1
- UPSOBXZLFLJAKK-UHFFFAOYSA-N ozone;tetraethyl silicate Chemical compound [O-][O+]=O.CCO[Si](OCC)(OCC)OCC UPSOBXZLFLJAKK-UHFFFAOYSA-N 0.000 description 1
- RVZRBWKZFJCCIB-UHFFFAOYSA-N perfluorotributylamine Chemical compound FC(F)(F)C(F)(F)C(F)(F)C(F)(F)N(C(F)(F)C(F)(F)C(F)(F)C(F)(F)F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F RVZRBWKZFJCCIB-UHFFFAOYSA-N 0.000 description 1
- 238000007745 plasma electrolytic oxidation reaction Methods 0.000 description 1
- 229920003223 poly(pyromellitimide-1,4-diphenyl ether) Polymers 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000002285 radioactive effect Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4405—Cleaning of reactor or parts inside the reactor by using reactive gases
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67126—Apparatus for sealing, encapsulating, glassing, decapsulating or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67207—Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D11/00—Electrolytic coating by surface reaction, i.e. forming conversion layers
- C25D11/02—Anodisation
-
- C—CHEMISTRY; METALLURGY
- C25—ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
- C25D—PROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
- C25D11/00—Electrolytic coating by surface reaction, i.e. forming conversion layers
- C25D11/02—Anodisation
- C25D11/026—Anodisation with spark discharge
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- ing And Chemical Polishing (AREA)
- Chemical Vapour Deposition (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Photosensitive Polymer And Photoresist Processing (AREA)
Description
Claims (15)
- 基板をエッチングするための処理システムであって、
温度制御される化学的処理チャンバと、
前記温度制御される化学的処理チャンバに組み合わされた壁加熱部材に接続され、化学的処理チャンバ温度を約10℃〜約200℃の範囲に制御するように構成された壁温度コントロールユニットと、
前記化学的処理チャンバ内にマウントされ、1つ以上のさらされた表面層を有する前記基板を支持するように構成された温度制御される基板ホルダと、
前記温度制御される基板ホルダに接続された温度制御コンポーネントと、
前記化学的処理チャンバに組み合わされた真空排気システムと、
前記化学的処理チャンバに接続され、前記基板上の前記さらされた表面層を化学的に変更するために前記化学的処理チャンバに1つ以上のプロセスガスを導入するように構成されたガス分配システムと、を具備し、
前記ガス分配システムは、前記化学的処理チャンバ内の前記1つ以上のプロセスガスにさらされかつ温度制御される部分を備え、
前記温度制御される部分は、少なくとも1つのガス分配プレートを備え、
前記ガス分配プレートは、1つ以上のガス注入オリフィスを備えており、
前記温度制御される部分に組み合わされたガス分配加熱部材に接続され、ガス分配システム温度を約10℃〜約200℃の範囲に制御するように構成されたガス分配システム温度コントロールユニットを更に具備する処理システム。 - 前記1つ以上のプロセスガスは、HFもしくはNH3、または、HFおよびNH3の両方を含んでいる請求項1に記載の処理システム。
- 前記温度制御コンポーネントは、抵抗加熱部材を更に備えている請求項1に記載の処理システム。
- 前記温度制御される基板ホルダは、この温度制御される基板ホルダに前記基板をクランプするように構成された静電クランプを更に備えている請求項1に記載の処理システム。
- 前記温度制御される基板ホルダは、前記基板の裏面に熱伝達ガスを供給するように構成された裏面ガス供給システムを更に備えている請求項1に記載の処理システム。
- 前記壁加熱部材は、抵抗加熱部材を備えている請求項1に記載の処理システム。
- 前記ガス分配加熱部材は、抵抗加熱部材を備えている請求項1に記載の処理システム。
- 前記化学的処理チャンバの1つ以上の表面は、防護壁で被覆され、
前記防護壁は、ポリテトラフルオロエチレンを備えている請求項1に記載の処理システム。 - 前記1つ以上のプロセスガスは、HFおよびNH3を含んでおり、
前記ガス分配システムは、互いに独立して前記HFガス、および、前記NH3ガスを導入するように構成されている請求項1に記載の処理システム。 - 前記温度制御される基板ホルダに接続された前記温度制御コンポーネントは、基板ホルダ温度を約10℃〜約50℃の範囲に制御するように構成されている請求項1に記載の処理システム。
- 前記温度制御コンポーネントは、流体流れのための冷却チャンネルもしくは流体流れのための加熱チャンネル、または、冷却チャンネルおよび加熱チャンネルの両方を備えている請求項1に記載の処理システム。
- 基板をエッチングする方法であって:
温度制御される化学的処理チャンバの温度制御される基板ホルダに基板を配置することと、
前記基板の表面層を化学的に変更するために、前記化学的処理チャンバ内で化学的処理プロセスを前記基板上に実行することと、を具備し、
前記化学的処理プロセスは、
前記基板の温度を制御することと、
前記化学的処理チャンバの温度を約10℃〜約200℃の範囲内に制御することと、
前記化学的処理チャンバ内の前記1つ以上のプロセスガスにさらされる温度制御される部分を備えているガス分配システムを介して、前記化学的処理チャンバに1つ以上のプロセスガスを導入することと、
前記温度制御される部分の温度を約10℃〜約200℃の範囲内に制御することとを備えている、方法。 - 前記1つ以上のプロセスガスは、HFもしくはNH3、または、HFおよびNH3の両方を含んでいる請求項12に記載の方法。
- 前記化学的処理チャンバから熱処理チャンバへと前記基板を移送することと、
前記化学的に変更された表面層を取り除くために前記熱処理チャンバ内で熱処理プロセスを実行することとを更に具備し、
前記熱処理プロセスは、前記基板の温度を約100℃を上回る温度に上昇させることを備えている、請求項12に記載の方法。 - 前記基板の前記温度を約10℃〜約50℃の範囲内に制御することを更に具備する請求項12に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US45464203P | 2003-03-17 | 2003-03-17 | |
US60/454,642 | 2003-03-17 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006507203A Division JP5107572B2 (ja) | 2003-03-17 | 2004-03-16 | 基板を化学的処理する処理システムおよび方法 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012144125A Division JP5555743B2 (ja) | 2003-03-17 | 2012-06-27 | 基板を化学的処理する処理システムおよび方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2011009777A JP2011009777A (ja) | 2011-01-13 |
JP5290250B2 true JP5290250B2 (ja) | 2013-09-18 |
Family
ID=33029907
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006507203A Expired - Lifetime JP5107572B2 (ja) | 2003-03-17 | 2004-03-16 | 基板を化学的処理する処理システムおよび方法 |
JP2010201148A Expired - Lifetime JP5290250B2 (ja) | 2003-03-17 | 2010-09-08 | 基板を化学的処理する処理システムおよび方法 |
JP2012144125A Expired - Lifetime JP5555743B2 (ja) | 2003-03-17 | 2012-06-27 | 基板を化学的処理する処理システムおよび方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006507203A Expired - Lifetime JP5107572B2 (ja) | 2003-03-17 | 2004-03-16 | 基板を化学的処理する処理システムおよび方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012144125A Expired - Lifetime JP5555743B2 (ja) | 2003-03-17 | 2012-06-27 | 基板を化学的処理する処理システムおよび方法 |
Country Status (7)
Country | Link |
---|---|
US (3) | US6951821B2 (ja) |
EP (1) | EP1604388B1 (ja) |
JP (3) | JP5107572B2 (ja) |
AT (1) | ATE496391T1 (ja) |
DE (1) | DE602004031089D1 (ja) |
TW (1) | TWI253690B (ja) |
WO (1) | WO2004082820A2 (ja) |
Families Citing this family (226)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6951821B2 (en) * | 2003-03-17 | 2005-10-04 | Tokyo Electron Limited | Processing system and method for chemically treating a substrate |
US7877161B2 (en) | 2003-03-17 | 2011-01-25 | Tokyo Electron Limited | Method and system for performing a chemical oxide removal process |
US7029536B2 (en) * | 2003-03-17 | 2006-04-18 | Tokyo Electron Limited | Processing system and method for treating a substrate |
JP2005043052A (ja) * | 2003-07-22 | 2005-02-17 | Hitachi High-Technologies Corp | 異物検出方法、処理装置および異物管理システム |
US20050269291A1 (en) * | 2004-06-04 | 2005-12-08 | Tokyo Electron Limited | Method of operating a processing system for treating a substrate |
US7651583B2 (en) * | 2004-06-04 | 2010-01-26 | Tokyo Electron Limited | Processing system and method for treating a substrate |
US8540843B2 (en) | 2004-06-30 | 2013-09-24 | Lam Research Corporation | Plasma chamber top piece assembly |
US20060000551A1 (en) * | 2004-06-30 | 2006-01-05 | Saldana Miguel A | Methods and apparatus for optimal temperature control in a plasma processing system |
US7780791B2 (en) * | 2004-06-30 | 2010-08-24 | Lam Research Corporation | Apparatus for an optimized plasma chamber top piece |
US20060021571A1 (en) * | 2004-07-28 | 2006-02-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Vacuum pump line with nickel-chromium heater layer |
US7510972B2 (en) * | 2005-02-14 | 2009-03-31 | Tokyo Electron Limited | Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device |
JP4860219B2 (ja) * | 2005-02-14 | 2012-01-25 | 東京エレクトロン株式会社 | 基板の処理方法、電子デバイスの製造方法及びプログラム |
US7622392B2 (en) * | 2005-02-18 | 2009-11-24 | Tokyo Electron Limited | Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods |
US20060196527A1 (en) * | 2005-02-23 | 2006-09-07 | Tokyo Electron Limited | Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods |
EP1728894B1 (en) * | 2005-06-01 | 2008-10-15 | Interuniversitair Microelektronica Centrum ( Imec) | Atomic layer deposition (ald) method for producing a high quality layer |
EP1790758A1 (en) * | 2005-11-25 | 2007-05-30 | Interuniversitair Microelektronica Centrum ( Imec) | Atomic layer deposition (ald) method for producing a high quality layer |
US8709162B2 (en) * | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
WO2007072708A1 (ja) * | 2005-12-22 | 2007-06-28 | Tokyo Electron Limited | 基板処理装置 |
US7631898B2 (en) * | 2006-01-25 | 2009-12-15 | Chrysler Group Llc | Power release and locking adjustable steering column apparatus and method |
US7795148B2 (en) * | 2006-03-28 | 2010-09-14 | Tokyo Electron Limited | Method for removing damaged dielectric material |
US8343280B2 (en) | 2006-03-28 | 2013-01-01 | Tokyo Electron Limited | Multi-zone substrate temperature control system and method of operating |
US8440049B2 (en) * | 2006-05-03 | 2013-05-14 | Applied Materials, Inc. | Apparatus for etching high aspect ratio features |
US7718032B2 (en) * | 2006-06-22 | 2010-05-18 | Tokyo Electron Limited | Dry non-plasma treatment system and method of using |
US7416989B1 (en) | 2006-06-30 | 2008-08-26 | Novellus Systems, Inc. | Adsorption based material removal process |
JP5084250B2 (ja) * | 2006-12-26 | 2012-11-28 | 東京エレクトロン株式会社 | ガス処理装置およびガス処理方法ならびに記憶媒体 |
US7786016B2 (en) * | 2007-01-11 | 2010-08-31 | Micron Technology, Inc. | Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide |
US20080217293A1 (en) * | 2007-03-06 | 2008-09-11 | Tokyo Electron Limited | Processing system and method for performing high throughput non-plasma processing |
US7977249B1 (en) | 2007-03-07 | 2011-07-12 | Novellus Systems, Inc. | Methods for removing silicon nitride and other materials during fabrication of contacts |
US8083862B2 (en) * | 2007-03-09 | 2011-12-27 | Tokyo Electron Limited | Method and system for monitoring contamination on a substrate |
US20080228308A1 (en) * | 2007-03-13 | 2008-09-18 | Tokyo Electron Limited | Critical dimension uniformity optimization |
JP2008235309A (ja) * | 2007-03-16 | 2008-10-02 | Tokyo Electron Ltd | 基板処理装置、基板処理方法および記録媒体 |
JP2008235315A (ja) * | 2007-03-16 | 2008-10-02 | Tokyo Electron Ltd | 基板処理装置、基板処理方法および記録媒体 |
JP4949091B2 (ja) | 2007-03-16 | 2012-06-06 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法および記録媒体 |
JP2008244224A (ja) * | 2007-03-28 | 2008-10-09 | Sumitomo Precision Prod Co Ltd | プラズマ処理装置 |
JP5069982B2 (ja) * | 2007-09-06 | 2012-11-07 | 東京エレクトロン株式会社 | 半導体装置の製造方法および半導体装置 |
US8187486B1 (en) | 2007-12-13 | 2012-05-29 | Novellus Systems, Inc. | Modulating etch selectivity and etch rate of silicon nitride thin films |
JP5374039B2 (ja) * | 2007-12-27 | 2013-12-25 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置及び記憶媒体 |
US20090212014A1 (en) * | 2008-02-27 | 2009-08-27 | Tokyo Electron Limited | Method and system for performing multiple treatments in a dual-chamber batch processing system |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US8303716B2 (en) | 2008-07-31 | 2012-11-06 | Tokyo Electron Limited | High throughput processing system for chemical treatment and thermal treatment and method of operating |
US8287688B2 (en) | 2008-07-31 | 2012-10-16 | Tokyo Electron Limited | Substrate support for high throughput chemical treatment system |
US8323410B2 (en) * | 2008-07-31 | 2012-12-04 | Tokyo Electron Limited | High throughput chemical treatment system and method of operating |
US8303715B2 (en) * | 2008-07-31 | 2012-11-06 | Tokyo Electron Limited | High throughput thermal treatment system and method of operating |
US8115140B2 (en) | 2008-07-31 | 2012-02-14 | Tokyo Electron Limited | Heater assembly for high throughput chemical treatment system |
US7981763B1 (en) | 2008-08-15 | 2011-07-19 | Novellus Systems, Inc. | Atomic layer removal for high aspect ratio gapfill |
US8058179B1 (en) | 2008-12-23 | 2011-11-15 | Novellus Systems, Inc. | Atomic layer removal process with higher etch amount |
US8481433B2 (en) * | 2009-03-31 | 2013-07-09 | Applied Materials, Inc. | Methods and apparatus for forming nitrogen-containing layers |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8344300B2 (en) * | 2010-06-14 | 2013-01-01 | Tokyo Electron Limited | Device to reduce shadowing during radiative heating of a substrate |
JP5101665B2 (ja) * | 2010-06-30 | 2012-12-19 | 東京エレクトロン株式会社 | 基板載置台、基板処理装置および基板処理システム |
US20120009796A1 (en) * | 2010-07-09 | 2012-01-12 | Applied Materials, Inc. | Post-ash sidewall healing |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8946058B2 (en) | 2011-03-14 | 2015-02-03 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US9105705B2 (en) | 2011-03-14 | 2015-08-11 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8802545B2 (en) | 2011-03-14 | 2014-08-12 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9054048B2 (en) | 2011-07-05 | 2015-06-09 | Applied Materials, Inc. | NH3 containing plasma nitridation of a layer on a substrate |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9109754B2 (en) * | 2011-10-19 | 2015-08-18 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US8826857B2 (en) * | 2011-11-21 | 2014-09-09 | Lam Research Corporation | Plasma processing assemblies including hinge assemblies |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
KR101582711B1 (ko) * | 2012-11-13 | 2016-01-05 | 주식회사 엘지화학 | 유리기판의 평탄면 형성장치 |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
JP5807160B2 (ja) * | 2012-12-13 | 2015-11-10 | パナソニックIpマネジメント株式会社 | ノンプラズマドライエッチング装置 |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
NL2010471C2 (en) * | 2013-03-18 | 2014-09-24 | Levitech B V | Substrate processing apparatus. |
JP6173743B2 (ja) * | 2013-03-29 | 2017-08-02 | 芝浦メカトロニクス株式会社 | プラズマ処理装置、およびプラズマ処理方法 |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9245767B2 (en) * | 2013-09-12 | 2016-01-26 | Applied Materials, Inc. | Anneal module for semiconductor wafers |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9472453B2 (en) | 2014-03-13 | 2016-10-18 | Qualcomm Incorporated | Systems and methods of forming a reduced capacitance device |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9431268B2 (en) | 2015-01-05 | 2016-08-30 | Lam Research Corporation | Isotropic atomic layer etch for silicon and germanium oxides |
US9425041B2 (en) | 2015-01-06 | 2016-08-23 | Lam Research Corporation | Isotropic atomic layer etch for silicon oxides using no activation |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US20160362782A1 (en) * | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10203604B2 (en) | 2015-11-30 | 2019-02-12 | Applied Materials, Inc. | Method and apparatus for post exposure processing of photoresist wafers |
KR20180099844A (ko) * | 2016-02-22 | 2018-09-05 | 어플라이드 머티어리얼스 이탈리아 에스.알.엘. | 태양 전지 기판의 프로세싱을 위한 장치, 태양 전지 기판의 프로세싱을 위한 시스템 및 태양 전지 기판의 프로세싱을 위한 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9958782B2 (en) | 2016-06-29 | 2018-05-01 | Applied Materials, Inc. | Apparatus for post exposure bake |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10497575B2 (en) | 2017-05-03 | 2019-12-03 | Tokyo Electron Limited | Method for increasing trench CD in EUV patterning without increasing single line opens or roughness |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
JP6427628B2 (ja) * | 2017-06-05 | 2018-11-21 | 芝浦メカトロニクス株式会社 | プラズマ処理装置、およびプラズマ処理方法 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10607851B2 (en) | 2017-08-25 | 2020-03-31 | Micron Technology, Inc. | Vapor-etch cyclic process |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
CN108360046B (zh) * | 2018-02-08 | 2019-10-18 | 平湖乔智电子有限公司 | 一种led灯壳电镀用夹持装置 |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
WO2019226341A1 (en) | 2018-05-25 | 2019-11-28 | Lam Research Corporation | Thermal atomic layer etch with rapid temperature cycling |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
JP7461923B2 (ja) | 2018-07-09 | 2024-04-04 | ラム リサーチ コーポレーション | 電子励起原子層エッチング |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
CN110484952B (zh) * | 2019-07-08 | 2020-09-25 | 西安理工大学 | 一种活塞火力岸至顶面范围微弧氧化工艺装备 |
WO2024072668A1 (en) * | 2022-09-30 | 2024-04-04 | Lam Research Corporation | Dome shaped chamber for generating in-situ cleaning plasma |
Family Cites Families (46)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4898798A (en) * | 1986-09-26 | 1990-02-06 | Canon Kabushiki Kaisha | Photosensitive member having a light receiving layer comprising a carbonic film for use in electrophotography |
JP2605465B2 (ja) * | 1990-08-31 | 1997-04-30 | 日本電気株式会社 | 容量絶縁膜の形成方法 |
JPH0521578A (ja) * | 1991-07-15 | 1993-01-29 | Fujitsu Ltd | 半導体製造装置 |
US5303671A (en) * | 1992-02-07 | 1994-04-19 | Tokyo Electron Limited | System for continuously washing and film-forming a semiconductor wafer |
US5282925A (en) * | 1992-11-09 | 1994-02-01 | International Business Machines Corporation | Device and method for accurate etching and removal of thin film |
US5647945A (en) * | 1993-08-25 | 1997-07-15 | Tokyo Electron Limited | Vacuum processing apparatus |
JPH07254586A (ja) * | 1994-03-15 | 1995-10-03 | Kawasaki Steel Corp | 半導体装置の製造方法 |
JPH07283205A (ja) * | 1994-04-14 | 1995-10-27 | Fujitsu Ltd | エッチング方法 |
JPH08195381A (ja) * | 1995-01-17 | 1996-07-30 | Fujitsu Ltd | 半導体装置の製造方法 |
US5679168A (en) * | 1995-03-03 | 1997-10-21 | Silicon Valley Group, Inc. | Thermal processing apparatus and process |
JP3362552B2 (ja) * | 1995-03-10 | 2003-01-07 | 東京エレクトロン株式会社 | 成膜処理装置 |
US5935337A (en) * | 1995-04-20 | 1999-08-10 | Ebara Corporation | Thin-film vapor deposition apparatus |
US5730803A (en) * | 1996-02-23 | 1998-03-24 | Applied Materials, Inc. | Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body |
US6159297A (en) * | 1996-04-25 | 2000-12-12 | Applied Materials, Inc. | Semiconductor process chamber and processing method |
US5728260A (en) * | 1996-05-29 | 1998-03-17 | Applied Materials, Inc. | Low volume gas distribution assembly and method for a chemical downstream etch tool |
JPH1041277A (ja) * | 1996-07-23 | 1998-02-13 | Miyazaki Oki Electric Co Ltd | ドライエッチング装置およびこれを用いたドライエッチング方法 |
US5976309A (en) * | 1996-12-17 | 1999-11-02 | Lsi Logic Corporation | Electrode assembly for plasma reactor |
US6074951A (en) * | 1997-05-29 | 2000-06-13 | International Business Machines Corporation | Vapor phase etching of oxide masked by resist or masking material |
US5838055A (en) * | 1997-05-29 | 1998-11-17 | International Business Machines Corporation | Trench sidewall patterned by vapor phase etching |
US5876879A (en) * | 1997-05-29 | 1999-03-02 | International Business Machines Corporation | Oxide layer patterned by vapor phase etching |
US5994662A (en) * | 1997-05-29 | 1999-11-30 | Applied Materials, Inc. | Unique baffle to deflect remote plasma clean gases |
US5997649A (en) * | 1998-04-09 | 1999-12-07 | Tokyo Electron Limited | Stacked showerhead assembly for delivering gases and RF power to a reaction chamber |
JP3583289B2 (ja) * | 1998-05-28 | 2004-11-04 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
US6740247B1 (en) * | 1999-02-05 | 2004-05-25 | Massachusetts Institute Of Technology | HF vapor phase wafer cleaning and oxide etching |
TW582050B (en) * | 1999-03-03 | 2004-04-01 | Ebara Corp | Apparatus and method for processing substrate |
JP2001040480A (ja) * | 1999-05-21 | 2001-02-13 | Ebara Corp | 基板処理装置及び方法 |
US6408786B1 (en) | 1999-09-23 | 2002-06-25 | Lam Research Corporation | Semiconductor processing equipment having tiled ceramic liner |
US20010016226A1 (en) * | 1999-12-15 | 2001-08-23 | International Business Machines Corporation | Method for preparing the surface of a dielectric |
JP4808889B2 (ja) * | 2000-01-05 | 2011-11-02 | 東京エレクトロン株式会社 | 透過分光を用いるウェハ帯域エッジの測定方法、及びウェハの温度均一性を制御するためのプロセス |
US6245619B1 (en) * | 2000-01-21 | 2001-06-12 | International Business Machines Corporation | Disposable-spacer damascene-gate process for SUB 0.05 μm MOS devices |
US6271094B1 (en) * | 2000-02-14 | 2001-08-07 | International Business Machines Corporation | Method of making MOSFET with high dielectric constant gate insulator and minimum overlap capacitance |
JP2001319885A (ja) * | 2000-03-02 | 2001-11-16 | Hitachi Kokusai Electric Inc | 基板処理装置及び半導体製造方法 |
US6331212B1 (en) * | 2000-04-17 | 2001-12-18 | Avansys, Llc | Methods and apparatus for thermally processing wafers |
US6335261B1 (en) * | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
JP3811602B2 (ja) * | 2000-09-01 | 2006-08-23 | 大日本スクリーン製造株式会社 | 基板表面処理方法および基板表面処理装置 |
JP2002110571A (ja) * | 2000-10-04 | 2002-04-12 | Sony Corp | 成膜装置および成膜方法 |
JP2002134478A (ja) * | 2000-10-25 | 2002-05-10 | Hitachi Ltd | オゾン処理装置 |
US6926843B2 (en) * | 2000-11-30 | 2005-08-09 | International Business Machines Corporation | Etching of hard masks |
JP2002217414A (ja) * | 2001-01-22 | 2002-08-02 | Matsushita Electric Ind Co Ltd | 半導体装置およびその製造方法 |
JP2002280372A (ja) * | 2001-03-19 | 2002-09-27 | Tokyo Electron Ltd | 処理装置 |
US6652711B2 (en) * | 2001-06-06 | 2003-11-25 | Tokyo Electron Limited | Inductively-coupled plasma processing system |
KR100443908B1 (ko) * | 2001-10-25 | 2004-08-09 | 삼성전자주식회사 | 플라즈마 화학기상증착장치 및 이를 이용한나이트라이드막 형성방법 |
US7079760B2 (en) | 2003-03-17 | 2006-07-18 | Tokyo Electron Limited | Processing system and method for thermally treating a substrate |
US6951821B2 (en) * | 2003-03-17 | 2005-10-04 | Tokyo Electron Limited | Processing system and method for chemically treating a substrate |
US7029536B2 (en) * | 2003-03-17 | 2006-04-18 | Tokyo Electron Limited | Processing system and method for treating a substrate |
US7214274B2 (en) | 2003-03-17 | 2007-05-08 | Tokyo Electron Limited | Method and apparatus for thermally insulating adjacent temperature controlled processing chambers |
-
2003
- 2003-11-12 US US10/705,200 patent/US6951821B2/en not_active Expired - Lifetime
-
2004
- 2004-03-16 JP JP2006507203A patent/JP5107572B2/ja not_active Expired - Lifetime
- 2004-03-16 EP EP04757455A patent/EP1604388B1/en not_active Expired - Lifetime
- 2004-03-16 DE DE602004031089T patent/DE602004031089D1/de not_active Expired - Lifetime
- 2004-03-16 AT AT04757455T patent/ATE496391T1/de not_active IP Right Cessation
- 2004-03-16 WO PCT/US2004/007864 patent/WO2004082820A2/en active Application Filing
- 2004-03-17 TW TW093107048A patent/TWI253690B/zh not_active IP Right Cessation
-
2005
- 2005-05-11 US US11/126,369 patent/US7964058B2/en not_active Expired - Lifetime
-
2010
- 2010-09-08 JP JP2010201148A patent/JP5290250B2/ja not_active Expired - Lifetime
-
2011
- 2011-04-25 US US13/093,410 patent/US20110204029A1/en not_active Abandoned
-
2012
- 2012-06-27 JP JP2012144125A patent/JP5555743B2/ja not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
US6951821B2 (en) | 2005-10-04 |
JP2011009777A (ja) | 2011-01-13 |
TW200426940A (en) | 2004-12-01 |
JP2012209574A (ja) | 2012-10-25 |
JP5107572B2 (ja) | 2012-12-26 |
EP1604388A2 (en) | 2005-12-14 |
JP5555743B2 (ja) | 2014-07-23 |
JP2006521017A (ja) | 2006-09-14 |
WO2004082820A2 (en) | 2004-09-30 |
DE602004031089D1 (de) | 2011-03-03 |
US20050211386A1 (en) | 2005-09-29 |
EP1604388B1 (en) | 2011-01-19 |
US20110204029A1 (en) | 2011-08-25 |
US20040182417A1 (en) | 2004-09-23 |
WO2004082820A3 (en) | 2004-11-04 |
US7964058B2 (en) | 2011-06-21 |
ATE496391T1 (de) | 2011-02-15 |
TWI253690B (en) | 2006-04-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5290250B2 (ja) | 基板を化学的処理する処理システムおよび方法 | |
JP4795935B2 (ja) | 基板を処理する処理システムおよび方法 | |
JP4745958B2 (ja) | 基板を熱処理する処理システムおよび方法 | |
JP5100372B2 (ja) | 基材を処理するための加工システムおよび方法 | |
US7651583B2 (en) | Processing system and method for treating a substrate | |
US20050218114A1 (en) | Method and system for performing a chemical oxide removal process | |
WO2005104215A2 (en) | Method and system for adjusting a chemical oxide removal process using partial pressure | |
US20080217293A1 (en) | Processing system and method for performing high throughput non-plasma processing | |
US20050269291A1 (en) | Method of operating a processing system for treating a substrate | |
JP2011176365A (ja) | 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20101008 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20101008 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20110930 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20111004 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111205 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20120327 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120627 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20120815 |
|
A912 | Re-examination (zenchi) completed and case transferred to appeal board |
Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20121102 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130605 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5290250 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |