CN102792425A - 循环氧化与蚀刻的设备及方法 - Google Patents

循环氧化与蚀刻的设备及方法 Download PDF

Info

Publication number
CN102792425A
CN102792425A CN2011800132293A CN201180013229A CN102792425A CN 102792425 A CN102792425 A CN 102792425A CN 2011800132293 A CN2011800132293 A CN 2011800132293A CN 201180013229 A CN201180013229 A CN 201180013229A CN 102792425 A CN102792425 A CN 102792425A
Authority
CN
China
Prior art keywords
gas
chamber
plasma
substrate
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800132293A
Other languages
English (en)
Other versions
CN102792425B (zh
Inventor
乌陀衍·甘古利
横田义孝
克里斯托弗·S·奥尔森
马修·D·斯科特奈伊-卡斯特
维基·阮
斯瓦米纳坦·斯里尼瓦桑
刘伟
约翰内斯·F·斯温伯格
乔斯·A·马林
阿吉特·巴拉克里斯南
雅各布·纽曼
斯特芬·C·希克森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201610557179.XA priority Critical patent/CN106024587B/zh
Publication of CN102792425A publication Critical patent/CN102792425A/zh
Application granted granted Critical
Publication of CN102792425B publication Critical patent/CN102792425B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76232Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials of trenches having a shape other than rectangular or V-shape, e.g. rounded corners, oblique or rounded trench walls
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region

Abstract

在此描述了用于制造适用于窄间距应用的半导体器件的设备及该半导体器件的制造方法。公开了各种单一腔室,该单一腔室配置以通过氧化材料层表面来形成氧化物层而形成和/或塑形材料层;通过蚀刻工艺来移除至少一些该氧化物层;以及循环地重复该氧化以及移除工艺直到该材料层成为所期望的形状。在一些实施例中,该材料层可为半导体器件的浮置栅极。

Description

循环氧化与蚀刻的设备及方法
技术领域
本发明的实施例大体涉及半导体制造工艺以及半导体器件的领域,更特定而言,是涉及制造适用于窄间距应用的器件的设备及方法。
背景技术
通过简单地收缩器件结构来缩小半导体器件通常无法产生可被接受的小尺寸结构。举例来说,在NAND闪存器件中,当浮置栅极被缩小时,浮置栅极的电容性耦合(例如,侧壁电容)也因此随浮置栅极的表面积而被缩小。如此,浮置栅极的表面积越小时,介于浮置栅极以及例如,控制栅极之间的电容性耦合也会越小。一般来说,只要NAND存储器件仍可维持运作,则为了缩小尺寸而牺牲电容性耦合的抉择是可接受的。遗憾的是,当器件节点变得足够小,以致介于浮置栅极与控制栅极之间的电容性耦合变得太小而无法有效地在可允许的操作电压下操作该器件时,缩小尺寸受到限制。此外,介于相邻浮置栅极之间的寄生电容(即,噪声)增加,超出NAND存储器件中系统控制器读取误差的极限。因此,在此条件下不可能有具功能性的NAND器件。
在此提供用于制造具有较小表面积的器件,例如,NAND器件及其它器件的方法以及设备。
发明内容
在此描述用于制造适用于窄间距应用的半导体器件的设备及方法。在此所描述的各种器件以及方法并非意图去限制为制造特定种类的器件,然而在此所描述的设备及方法特别适用于制造包括浮置栅极的半导体器件,该浮置栅极在接近浮置栅极底面具有一第一宽度,该第一宽度比接近浮置栅极顶部的一第二宽度大。在一些实施例中,浮置栅极的宽度从第一宽度非线性地减小至第二宽度。
在一些实施例中,用于处理基板的设备可包括:工艺腔室,该工艺腔室中设置有基板支撑件,且该基板支撑件配置为支撑基板,该基板支撑件进一步具有与该基板支撑件耦接的温度控制系统,该温度控制系统用于将基板支撑件的温度控制在接近一第一温度;气体源,用以至少提供含氧气体、不活跃气体以及蚀刻气体;等离子体源,该等离子体源耦接至该工艺腔室,用以向该气体源所提供的气体提供能量而形成氧化等离子体或蚀刻等离子体中的至少之一;以及热源,该热源耦接至该工艺腔室,用以向该基板提供能量而选择性地升高基板的温度至高于该第一温度的一第二温度。下文将描述本发明的其它以及进一步的实施例。
根据一个或多个实施例,可在少于约3分钟内于腔室中完成氧化(和/或氮化)以及蚀刻步骤的完整工艺程序。在特定实施例中,可在少于约2分钟内于腔室中完成氧化和/或氮化与蚀刻步骤的完整工艺程序,以及在更特定实施例中,可在少于约1分钟内,例如45秒或30秒,于腔室中完成氧化和/或氮化与蚀刻步骤的完整工艺程序。
附图简要说明
为了可以更具体地了解本发明的上述特征,可参考实施例,对上面概述的本发明进行更具体的描述,所述实施例中的一些示出于附图中。然而,应指出的是附图仅仅图示本发明的典型实施例,故不因此被视为对本发明范围的限制,对于本发明而言,可容许其它等效实施例。
图1绘示一半导体结构,该半导体结构具有利用本发明的一些实施例的方法与设备所制造的浮置栅极。
图2绘示根据本发明的一些实施例的形成浮置栅极的方法流程图。
图3A-3C绘示根据图2的方法的一些实施例的浮置栅极的制造阶段。
图4绘示根据本发明的一些实施例的形成浮置栅极的方法流程图。
图5A-E绘示根据图4的方法的一些实施例的浮置栅极的制造阶段。
图6绘示根据本发明的一些实施例的形成浮置栅极的方法流程图。
图7A-D绘示根据图6的方法的一些实施例的浮置栅极的制造阶段。
图8A-B绘示根据图6的方法的一些实施例的浮置栅极的制造阶段。
图9绘示根据本发明的一些实施例,氧化物厚度与时间的关系的示意图。
图10A-D绘示根据本发明的一些实施例的浮置栅极的制造阶段。
图11A-C绘示根据本发明的一些实施例的结构的制造阶段。
图12绘示根据本发明的一些实施例的示例性工艺腔室。
图13A绘示根据本发明的一些实施例的第一示例性改良等离子体工艺腔室。
图13B绘示根据数个实施例,可使用在腔室中的基板支撑件冷却系统的示例性实施例。
图14绘示根据本发明的一些实施例的第二示例性改良等离子体工艺腔室。
图15绘示根据本发明的一些实施例的第三示例性改良等离子体工艺腔室。
图16绘示根据一个或多个实施例的腔室,可以用于加热材料表面的光源系统。
图17更详细地绘示根据一个或多个实施例,图16的可以用于加热材料表面的光源系统。
图18绘示根据本发明的一实施例的经改良的腔室,该腔室用于执行循环的氧化与蚀刻。
图19绘示图18的腔室顶部。
图20绘示图18的腔室底部。
图21绘示根据一个或多个实施例的改良的快速热工艺腔室。
图22绘示在图21腔室中使用的气体分配板。
为了清楚,简化这些附图,并且未按比例来绘示这些附图。为了帮助理解,尽可能使用相同的附图标记来描述附图中共有的相同元件。应理解,一个实施例中的相同元件可有利地并入其它实施例中。
具体实施方式
在此描述一种设备以及方法,用于在单一腔室中氧化半导体器件的材料层表面以形成氧化层,以及通过蚀刻来移除该氧化层的至少一部分。本发明并未限制为特定器件,然而所描述的设备以及方法可用于制造适用于窄间距应用的半导体器件以及结构。如在此所使用,窄间距应用包括32nm或更小的半间距(例如,32nm或更小的器件节点)。如在此所使用的用语「间距」是指在半导体器件的平行结构或相邻结构之间的测量值。可在相邻结构或基本上平行结构的相同侧由一侧至另一侧来测量该间距。当然,也可将该半导体器件以及结构使用在具有较大间距的应用中。该半导体器件可为,例如,NAND或NOR闪存,或其它适合的器件。在一些实施例中,该半导体器件维持或改善介于器件的浮置栅极与例如,控制栅极之间的侧壁电容,由此降低在相邻器件中介于相邻浮置栅极之间的干扰(也即,噪声)。在此所揭露的本发明的设备以及方法有效地限制非期望的作用,例如氧扩散,该氧扩散,例如在工艺期间会使穿隧氧化层变厚。进一步地,可有益地提供本发明的设备与方法来制造其它器件或结构,例如鳍式场效晶体管(FinFET)器件、硬掩模结构或其它结构,以克服在传统微影图案化所施加的临界尺度的尺寸限制。除非另有解释,否则应理解在此所揭露的关于形成一个结构的特定氧化与蚀刻设备及工艺可用于形成在此所揭露的任何其它结构。
因此,本发明实施例提供用于在单一腔室或工具中执行一层接着一层的循环氧化与蚀刻的设备与方法,该设备与方法能够具有比在分离的腔室或工具中执行该些工艺更高的产出量。当需要在分离的腔室中执行多个重复的循环氧化与蚀刻时,因腔室内部的传输时间而使产出量受影响。假如提供能够执行多个工艺的腔室或工具,则可提升产出量。然而,仍无法相信可获得能够执行需要非常不同温度的多个蚀刻以及氧化工艺的腔室。根据一个或多个实施例,提供数种腔室或工具,所述腔室或工具可在单一腔室中快速地加热及冷却基板,因此允许执行循环氧化和/或氮化与蚀刻工艺。在一个或多个实施例中,在此所揭露的工艺腔室可在少于5分钟内、少于4分钟内、少于3分钟内、少于2分钟内、少于1分钟内或少于30秒内执行如在此所描述的一个氧化以及蚀刻循环。在一个或多个实施例中,可在约200℃至800℃之间的温度下执行该氧化工艺,更特定地为在约300℃至500℃之间的温度下执行该氧化工艺,以及在低于约150℃的温度下执行一部分的蚀刻工艺,更特定地为低于约120℃,且更特定地为低于或等于约100℃。在一个或多个实施例中,该蚀刻工艺利用使用等离子体的干式蚀刻工艺,所述等离子体例如含氟等离子体,且该蚀刻工艺包括在低于约50℃下,特定地为低于约40℃,且更特定地为在约25℃至35℃的范围中所执行的一工艺,接着在超过约100℃的温度下,例如在约100℃至约200℃的范围中执行一步骤。
以下将参照图1来描述利用本发明的设备和/或方法实施例所制造的半导体器件的实例,该半导体器件为内存器件100的说明性应用。内存器件100包括基板102,在基板102上沉积有穿隧氧化物层104。在穿遂氧化物层104上沉积有浮置栅极106。浮置栅极106、穿隧氧化层104以及基板102的下层部分可包括内存器件100的单元103(或记忆单元)。内存器件的每一个单元可以是分开的。举例来说,在内存器件100中,将浅沟槽绝缘(STI)区域108设置在介于每一个单元之间的基板102上(例如,与穿遂氧化物层104与浮置栅极106相邻,在此处STI区域108将单元103与相邻的单元105以及107分隔开)。内存器件100进一步包括多晶硅间介电(IPD)层110与控制栅极层112,该IPD层110设置在浮置栅极106的上方。该IPD层110将浮置栅极106与控制栅极层112分隔开。
基板102可包括适当材料,例如结晶硅(例如,硅<100>或硅<111>)、二氧化硅、应变硅、硅化锗、经掺杂或未掺杂的多晶硅、经掺杂或未掺杂的硅晶圆、图案化或未图案化的晶圆、绝缘体上的硅(SOI)、碳掺杂的二氧化硅、氮化硅、经掺杂硅、锗、砷化镓、玻璃、蓝宝石或类似物。在一些实施例中,基板102包括硅。穿遂氧化物层104可包括硅与氧,或者高k值的介电材料或者氮化硅(SiXNY)在内的一层或多层结构(例如,二氧化硅/高-k值/二氧化硅),或类似结构,所述硅与氧例如二氧化硅(SiO2)、氮氧化硅(SiON),高k值的介电材料例如铝(Al)系、铪(Hf)系、或镧(La)系、锆(Zr)系的氧化物或氮氧化物。穿遂氧化物层104可具有任何适当的厚度,例如,介于约5至约12nm。穿遂氧化物层104在每一个单元中可具有与浮置栅极106的底面基本上相等的宽度。STI区域108可包括硅与氧,例如二氧化硅(SiO2)、氮氧化硅(SiON)或类似物。
浮置栅极106一般包括导电材料,例如多晶硅、金属或类似物。浮置栅极106具有适用于帮助在相邻单元之间(例如,在单元103、105与107之间)设置部分控制栅极层112的配置。因此,浮置栅极可形成倒「T」型。如在此所使用,用语倒「T」通常意指浮置栅极106的上部部分相对于浮置栅极106的底面为凸出的几何结构。此凸出结构为IPD层110提供空间,以使IPD层110在浮置栅极106的上方形成,而不会完全填满相邻的浮置栅极106之间的间隙,由此允许一部分的控制栅极层112设置在相邻的浮置栅极106之间。
举例来说,如图1所示,浮置栅极106通常以倒T的形状来表示,该浮置栅极106具有底面115以及主干113(或浮置栅极106的上部部分)。浮置栅极106一般可具有任何用于特定应用的期望尺寸。在一些实施例中,浮置栅极106的高度可介于约20至约100nm。在一些实施例中,底面115的厚度可小于或等于约35nm。
由于浮置栅极106上部部分的凸出结构,因此浮置栅极106在接近浮置栅极106的底面115处具有第一宽度109,该第一宽度109大于接近该浮置栅极106上方的第二宽度111。在一些实施例中,第一宽度109:第二宽度111的比例至少约2:1。在一些实施例中,第一宽度109比第二宽度111超过约4nm或更多、或约6nm或更多、或介于约4至约6nm。浮置栅极106的宽度在底面115与浮置栅极106上方之间可为线性、非线性、连续、非连续地、以任何方式改变。在一些实施例中且如图1所说明,浮置栅极106的宽度在第一宽度109与第二宽度111之间为非线性改变。在一些实施例中,第一宽度可小于约35nm或介于约20至约35nm。第二宽度可介于约5至约30nm,例如为,5nm、10nm、12nm、13nm、14nm、15nm、20nm、25nm或30nm。
如图1所说明,主干113可具有一侧壁部分,该侧壁部分具有基本上垂直的轮廓。在一些实施例中,基本上垂直表示与垂线呈小于或等于约10度、或与垂线呈小于或等于约5度、或与垂线呈小于或等于约1度。该侧壁的基本上垂直轮廓可高达浮置栅极106总高度的约40%或大于约40%。在一些实施例中,该基本上垂直轮廓可大于浮置栅极106高度的约40%。在一些实施例中,该基本上垂直轮廓介于约20至约100nm之间。
IPD层110可包括任何适合的单层或多层介电材料。单层IPD可包括如上述与穿遂氧化物层104相关的SiO2、SiON、高-k值介电材料,或类似物。多层IPD的非限制性实例为多层的ONO(氧-氮-氧)层,该ONO层包括第一氧化物层、氮化物层以及第二氧化物层。第一与第二氧化物层通常包括硅与氧,例如二氧化硅(SiO2)、氮氧化硅(SiON)或类似物。氮化物层通常包括硅与氮,例如氮化硅(SiN)或类似物。在一些实施例中,也可使用包括SiO2/高-k/SiO2(例如,SiO2/Al2O3/SiO2)的多层IPD层作为IPD层110。在一些实施例中,IPD层110沉积为介于约12至约15nm的厚度。
在倒T形状的浮置栅极106上的IPD层110的保形沉积(conformal deposition)可帮助在沉积的IPD层110中形成壁114。该壁114形成在相邻的浮置栅极之间。在一些实施例中,该壁114具有介于约4nm至约20nm的宽度以及介于约20至约90nm的深度。
任选地,在沉积IPD之前,可通过以下方式来界定在相邻浮置栅极之间的IPD穿透的深度程度:通过沉积一材料层(例如,SiO2)来填充相邻浮置栅极之间的间隙,通过例如化学机械平坦化(CMP)来使该材料层平坦化以移除落在浮置栅极106上方的多余材料。接着,将剩余在相邻浮置栅极之间的间隙中的材料蚀刻至一期望深度,以设定介于浮置栅极之间的IPD穿透程度。
控制栅极层112可沉积在IPD层110的顶部以及沉积在该壁114中,用以形成控制栅极。控制栅极层112通常包括导电材料,例如多晶硅、金属或类似材料。壁114的加入可在接近浮置栅极106的侧壁处为控制栅极层112提供更大的表面积。通过该壁114的帮助所增加的控制栅极层112的表面积可有益地改善介于浮置栅极106的侧壁与控制栅极之间的电容性耦合。另外,壁114,沉积在相邻浮置栅极之间(例如,单元103与单元105的浮置栅极),可降低相邻浮置栅极之间的寄生电容、浮置栅极干扰、噪声等等。此外,对于相同的浮置栅极高度来说,与近似矩形形状相比较,浮置栅极106的倒T形状减少了表面积。减少的截面有利地降低在位线方向上(例如,在内存器件的不同字线以及相同位线中)介于相邻浮置栅极之间的寄生电容。有利地,通过控制浮置栅极的高度可独立控制介于浮置栅极与控制栅极之间的侧壁电容(例如,维持在期望程度)。
图2绘示了根据本发明的一些实施例用于制造具有浮置栅极几何结构的半导体器件的方法200。可在任何适合的单一腔室中执行在此所描述的方法,该适合的单一腔室配置用于氧化以及蚀刻,且具有在不同温度下进行处理的能力。根据一个或多个实施例,在涉及循环氧化与蚀刻的工艺中,氧化是在相对高的温度下执行,以及蚀刻是在相对低的温度下执行。举例来说,根据一个或多个实施例,氧化可在500℃以及高于500℃的温度下执行,或者,在500℃以及低于500℃的温度下执行,更特定为在400℃以及低于400℃下执行。举例来说,部分蚀刻工艺可在低温下执行,例如室温,如20℃、25℃或30℃。应了解,蚀刻工艺可在较高温度下执行,例如高达约75℃。在蚀刻之后,理想的会是升高温度至约100℃,用以升华化合物,以下将更详细地描述。
本发明的方面适用于在单一腔室中执行氧化工艺、蚀刻工艺以及升华。可通过等离子体氧化、快速热氧化(RTO)、自由基氧化或类似工艺来执行氧化工艺。适合的氧化腔室包括等离子体腔室,例如等离子体浸没离子注入(PlasmaImmersion Ion Implantation;P3I)或去耦合等离子体氧化(DPO)。或者,可使用热氧化腔室,例如由可获自位于加州圣克拉拉市的应用材料股份有限公司的
Figure BDA00002119363300072
RADOXTM腔室,或包括远程和/或近距离等离子体源的炉。可利用各种氧化化学过程来执行示例性的热氧化工艺,该氧化化学过程包括改变在氧化气体混合物中的还原气体浓度,该还原气体诸如氢气(H2)、氨(NH3)或类似气体中的一种或多种,该氧化气体混合物包括氧化气体,例如氧气(O2)、一氧化氮(NO)、一氧化二氮(N2O)或类似气体中的一种或多种,以及任选地包括不活跃气体,例如氮气(N2)、氩气(Ar)、氦气(He)或类似气体中的一种或多种。示例性等离子体氧化工艺可使用如上面对于热氧化工艺所讨论的任何氧化化学过程,并且可在使用或不使用加热夹盘(heating chuck)下执行该等离子体氧化工艺。也可应用光化学工艺,例如在紫外光(UV)存在下,使用氧物种(例如氧气)来形成氧化物层,或应用湿式化学氧化,例如使用化学溶液,该化学溶液硝酸(HNO3)、其它适用于氧化的酸。然而,通常这些腔室都被配置成仅能执行氧化工艺,而并未被配置用于执行低温处理,例如低温蚀刻。因此,需要改良这些腔室,以实现在氧化与蚀刻之间所需要的快速温度变化。以下将提供特定的详细说明。
或者,可在任何适当的且经改良的用于湿式或干式蚀刻、反应性离子蚀刻(RIE)或类似工艺的蚀刻腔室中执行在此所描述的方法实施例。示例性的蚀刻腔室包括也可自位于加州圣克拉拉市的应用材料股份有限公司获得的SICONITM
Figure BDA00002119363300081
或CarinaTM腔室。一种非限制的示例性干式蚀刻工艺可包括氨(NH3)或三氟化氮(NF3)气体或无水氟化氢(HF)气体混合物与远程等离子体,该氨或(NH3)或三氟化氮(NF3)气体或无水氟化氢(HF)气体混合物与远程等离子体在低温下(例如,约30℃)可凝结在SiO2上,并且反应形成可在中等温度下(例如,大于100℃)升华的化合物,用以蚀刻SiO2。此示例性的蚀刻工艺可随着时间减少,并且最终会在一点上达到饱和,在该点处除非将一部分的化合物移除(例如,通过上述的升华工艺),否则不会发生进一步的蚀刻。可使用上述的机制和/或通过定时的蚀刻工艺(例如,蚀刻一段预定时间)来控制该蚀刻工艺。示例性的湿式蚀刻工艺可包括氟化氢(HF)或类似物。示例性的等离子体或远程等离子体蚀刻工艺可包括一种或多种蚀刻剂,例如四氟化碳(CF4)、三氟甲烷(CHF3)、六氟化硫(SF6)、氢气(H2)或类似物,并且可在使用或不使用加热夹盘下执行。对于不同的材料组合(例如,非均质表面等等)来说,可加工改造蚀刻选择性至介于约1至约1000。举例来说,在一些实施例中,在二氧化硅(SiO2)蚀刻中,对于硅(Si)的蚀刻选择性可约为100。当蚀刻速率下降至初始蚀刻速率的约0%至约90%、或下降至约75%时,可终止蚀刻,用以提供被蚀刻材料的厚度控制。举例来说,在一些实施例中,终止如上所述的蚀刻工艺可提供进行蚀刻时的厚度控制。当对沉积在非均质材料(例如,包括硅(Si)与二氧化硅(SiO2))上的氧化物层蚀刻时,上述的控制会是特别有益的。将需要改良诸如SICONI腔室的蚀刻腔室,用以在该腔室中执行氧化工艺,以下将详细叙述。
因此,在单一腔室中所执行的方法200开始于步骤202,在步骤202中提供基板,该基板具有将形成为浮置栅极的材料层。举例来说,如图3A所示,基板102与材料层304可为部分制造的内存器件300的一部分。内存器件300可包括基板102,该基板102上设置有穿遂氧化物层104。可将材料层304沉积在穿遂氧化物层104上方。在与穿遂氧化物层104以及材料层304相邻处沉积浅沟槽绝缘(STI)区域302(类似STI区域108)。在方法200开始之前执行的用以提供基板以及部分制造的内存器件300的其它制造方法包括:在STI区域302中沉积绝缘材料(例如,SiO2);以材料层304的上表面来平坦化该绝缘材料的位准;以及将该绝缘材料向下蚀刻至所期望的位准,用以产生具有材料层304的基板,且根据在此所提供的技术准备将该材料层304处理为浮置栅极。
材料层304可包括导电材料,例如多晶硅、金属或类似材料。材料层304通常可具有略呈梯形或矩形的截面。材料层304通常可具有适合的起始形状,使得当通过在此所述的方法来进行氧化和/或蚀刻时,该材料层304可成为具有如上关于图1所述的倒T形状的浮置栅极(例如,材料层304可被图案化并蚀刻,用以帮助形成STI结构302,且所产生的材料层304的轮廓可成为在此所述的进一步处理的起始点)。
如图3B所示,在步骤204中,选择性地氧化该材料层304以形成氧化物层306。将氧化物层306形成在该材料层304的上方以及侧壁上,并且该氧化物层306可包括二氧化硅、金属氧化物或类似物。在一些实施例中,氧化物层306可消耗材料层304至约3至约15nm的深度,或约10nm的深度。如图3B所示,氧化物层306可进一步消耗(或以其它侵蚀或置换的方式)一部分的STI区域302。可使用湿式或干式氧化、快速热氧化(RTO)、自由基氧化、等离子体氧化(例如,去耦合等离子体氧化(DPO))或者在此所述的任何其它氧化工艺来形成氧化物层306。在一些期望低热预算和/或降低氧扩散的实施例中,可使用等离子体氧化或自由基氧化。在材料层304进行氧化期间,需要低热预算来避免穿遂氧化物层104的增厚。如在此所使用,低热预算意味着在850℃的峰值温度下,少于数十分钟的炉操作的热预算。
接着,如图3C所绘示,在步骤206中,在与执行氧化步骤204相同的腔室中,通过蚀刻工艺来移除氧化物层306。在进行材料层304的氧化以及氧化物层306的移除之后,该材料层304的剩余部分通常可为倒T形状,例如,与图1所绘示的浮置栅极106类似的形状。蚀刻工艺可使用化学试剂或气体,包括氢氟酸(HF)、氢氯酸(HCl)、或者使用在此所揭露的其它蚀刻工艺或类似工艺。该蚀刻工艺可具选择性,例如,选择性地移除氧化物层306。在一个实施例中,该蚀刻工艺对二氧化硅具选择性,且相对于包括多晶硅的材料层,可移除具有二氧化硅的氧化物层306。在移除氧化物层306期间,该蚀刻工艺可进一步移除一部分的STI区域302。
在完成蚀刻工艺而形成具有倒T形状的浮置栅极之后,方法200通常结束。内存器件的进一步处理可包括沉积IPD层与控制栅极层,类似于图1所描述的IPD层与控制栅极层。在一些实施例中,在沉积IPD层之前,将介于相邻的材料层304之间以及在STI区域302上方的区域以间隙填充材料进行填充,所述间隙填充材料例如SiO2或包括STI区域302的相同材料。接着,可通过化学机械平坦化(CMP)或任何适合的平坦化方法,对这一填充区域上方进行平坦化,使该区域与材料层304上方为基本上平整。在间隙填充与CMP之后,蚀刻间隙填充材料,以在沉积IPD层之前,在相邻的材料层304之间设定IPD所期望的穿透深度。
或者,如图4所绘示,可使用方法400来形成具有倒T形状的浮置栅极。参考图5A-E来说明方法400,图5A-E绘示根据方法400的实施例,内存器件300的制造阶段。方法400包括沉积牺牲氮化物层,该牺牲氮化物层可在使用氧化工艺来氧化材料层304期间,用来限制氧的扩散。期望在如下所述的氧化物层移除工艺期间,限制氧扩散以避免穿遂氧化物层104的非期望增厚和/或避免部分的穿遂氧化物层104和/或STI区域302(或间隙填充材料)的非期望移除。
方法400通常开始于步骤402,在步骤402中提供如图5A所示的部分制造的内存器件300。上文中已描述了内存器件300,且该内存器件300包括基板102,该基板102上设置有穿遂氧化物层104以及在穿遂氧化物层104上方设置有材料层304。内存器件300进一步包括设置在基板102中并且与穿遂氧化物层104以及材料层304相邻的STI层302。
如图5C所示,在步骤404中,在材料层304与STI区域202的暴露表面上形成氮化物层502。该氮化物层502可以任何适合的氮化工艺来形成,例如,等离子体氮化或氮化硅沉积。氮化物层502可包括氮化硅(SiN)、氮氧化硅(SiON)或两者。在材料层304与STI区域302的水平表面上所形成的氮化物层502的厚度可比在材料层304的侧壁上所形成的氮化物层502更厚(例如,通过方向性的氮化工艺)。在一些实施例中,在材料层304与STI区域302的水平表面上的氮化物层厚度与在材料层304的侧壁上的氮化物层厚度比例为约2:1至约10:1。在一些实施例中,氮化物层502在材料层304与STI区域302的水平表面上具有约5至约10nm的厚度。在一些实施例中,氮化物层502在材料层304的侧壁上具有约1nm或小于1nm的厚度。
在步骤406中,选择性地氧化氮化物层502与材料层304,以形成氮氧化物层504与氧化物层506。氧化工艺在与氮化步骤504相同的腔室中执行。氧化步骤506可包括上述与方法200相关的任何适合的氧化工艺,并且可在图5C-D所描述的单一阶段工艺中执行氧化步骤406。如图5C所绘示,最初,氧化工艺可帮助氮氧化物层504的形成。氮氧化物层504可消耗在材料层304与STI区域302的水平表面上的一部分氮化物层502,并且可基本上消耗在材料层304侧壁上的全部氮化物层502。在水平表面上的氮化物层502的增加的厚度可限制或避免该水平表面的下层表面的氧化。随着在材料层304侧壁上的氮化物层502的消耗,该氧化工艺可消耗一部分的材料层304。由于设置在水平表面上的剩余未消耗的氮化物层502,所以材料层侧壁的氧化会比在水平表面上进行得更快。
如图5D所绘示,通常通过从侧壁向内部地消耗材料层304,在材料层304的侧壁上以一较快速率来进行氧化工艺,而形成氧化物层506。材料层304的剩余未消耗的部分通常可为所期望的倒T形状。并且,如图5D所绘示,该氧化工艺仍继续消耗一部分剩余的氮化物层502与一部分的STI区域302,只是速率比消耗侧壁上的材料层304慢。
在步骤408中,如图5E所绘示,可移除氮氧化物层504与氧化物层506,产生具有倒T形状的浮置栅极。所述层可通过与上述方法200相关的蚀刻工艺来移除,例如,湿式或干式化学蚀刻、反应离子蚀刻或类似工艺。该蚀刻工艺可为具有选择性的,例如,选择性地移除氮氧化物层504与氧化物层506。在一实施例中,蚀刻工艺对于二氧化硅(SiO2)、氮氧化硅(SiON)与氮化硅(SiN)具选择性,且在对包括多晶硅的材料层304具选择性的情况下,移除包括SiN的氮化物层502、包括SiON的氮氧化物层504以及包括SiO2的氧化物层506。如图5E所说明,蚀刻工艺可进一步选择性地移除一部分的STI区域302。在一些实施例中,蚀刻工艺可为多段式蚀刻工艺。例如,最初的蚀刻工艺可仅对SiO2具选择性,用以移除氧化物层506。接着,蚀刻工艺可对SiON与SiN具选择性,用以移除氮氧化物层504与氮化物层502。在完成蚀刻工艺以形成具有倒T形状的浮置栅极之后,可进一步处理内存器件200,例如通过沉积IPD层与控制栅极层,类似于有关图1所描述的IPD层与控制栅极层。如上所述,可在沉积IPD层之前执行间隙填充以及相邻材料层304之间的填充区域的CMP,接着蚀刻该填充区域的步骤。
如上所述,在一些实施例中可能期望低热预算(例如,材料的低扩散,该材料例如为掺杂剂、氧气(O2)或硅(Si)中的一种或多种),例如,用以限制穿遂氧化物层104或STI区域302的增厚。然而,假如能够限制此种不想要的增厚,那么就可以使用高热预算的工艺(即,高氧扩散)。例如,高热预算工艺(例如,湿式、干式或RTO)可提供保形氧化、更快的氧化速率、更厚的氧化(例如,约5至约15nm的厚度)以及更有效率的侧壁氧化。此外,高热预算氧化工艺可降低对不同晶体取向的材料层(用于形成浮置栅极)的选择性,因此在氧化期间有益地产生平滑表面。例如,当使用包括多晶硅的材料层来形成浮置栅极时,期望的是降低对不同晶体方向的敏感度。例如,平滑表面通过降低结电阻等等而有益地提高内存器件的可靠度。
因此,在一些实施例中,如以下与图6相关的描述,可使用具有材料层702的部分制造的内存器件700,以形成具有倒T形状的浮置栅极。例如,相较于分别于图3A与图5A所说明的材料层304,材料层702可以更高。此外,STI区域302的高度可随着材料层702的高度来缩小(例如,如上所述,通过沉积以及凹蚀间隙填充材料,如SiO2),用于在STI区域302的暴露表面与穿遂氧化物层之间提供一增加的距离,由此在高热预算工艺期间帮助抵抗在穿遂氧化物层中的氧化扩散。在一些实施例中,介于材料层702顶部与STI区域302顶部之间的间距可基本上与图3A和图5A中所说明的类似结构的间距是等距的。相较于图3A和图5A中类似的内存器件,材料层702与STI区域302二者增加的高度可有利地延长氧原子为抵达穿遂氧化物层104而必须移动的距离。两结构所增加的高度允许使用更高的热预算氧化工艺,同时限制穿遂氧化物层104的增厚。因此,通过增加在内存器件700中的STI区域302的高度,可有益地使用高热预算氧化工艺来形成具有倒T形状的浮置栅极。在进行高热预算氧化工艺以及移除由此形成的氧化物层后,可使用蚀刻工艺和/或更可控的低热预算氧化工艺来降低在浮置栅极底部的厚度。将在下述内容中参考图6-8来描述高热预算氧化工艺与蚀刻工艺或与低热预算氧化工艺的结合。
举例来说,图6绘示根据本发明的一些实施例制造具有浮置栅极的半导体器件的方法600。方法600是参考图7A-D与图8A-B来说明,图7A-D与图8A-B绘示根据方法600的实施例的内存器件700的制造阶段。
方法600通常开始于步骤602,在步骤602中提供具有材料层的基板,该材料层将形成浮置栅极。例如,如图7A所示,基板102与材料层702可为部分制造的内存器件700的一部分。内存器件700可包括基板102,该基板102上设置有穿遂氧化物层104。材料层702可设置在穿遂氧化物层104上方。可在基板上设置浅沟槽绝缘(STI)区域302,该STI区域302与穿遂氧化物层104及材料层702相邻。在上述内容中已说明了基板102、穿遂氧化物层104以及STI区域302。
材料层702可包括导电材料,例如多晶硅、金属或类似材料。材料层702可具有一起始形状,该起始形状包括基本上矩形的截面或略呈梯形的截面。材料层702通常可具有任何适合的起始形状,使得当以在此所描述的方法进行氧化和/或蚀刻时,可将材料层702形成具有倒T形状的浮置栅极。材料层702具有大于约30nm的高度或高达约130nm的高度。材料层702具有大于约2:1的高宽比。
接着,在步骤604中,选择性氧化材料层702以形成第一氧化物层704,如图7B所示。第一氧化物层704形成在材料层702的上方以及材料层702的侧壁上,且该第一氧化物层704可包括氧化硅、金属氧化物或类似材料。在一些实施例中,第一氧化物层704可消耗材料层702至约5至约15nm、或约10nm的深度。第一氧化物层704可进一步使一部分的STI区域302增厚。可使用湿式或氧化、快速热氧化(RTO)、自由基氧化或等离子体氧化(例如,去耦合等离子体氧化(DPO))来执行氧化物层的形成。在一些希望较低的热预算和/或降低的氧扩散的实施例中,可使用等离子体氧化或自由基氧化。在进行材料层702的氧化期间,会需要低热预算来避免穿遂氧化物层104的增厚。
在氧化之后,材料层702的剩余部分通常可为倒T形状,该倒T形状具有比期望的最终形状更大的尺寸(例如,底部的高度更大和/或主干的宽度更大)。在步骤606中,在与步骤604相同的腔室中通过蚀刻工艺将第一氧化物层704移除,产生具有一般倒T形状的浮置栅极,如由图7C中所绘示材料层702的剩余部分所示。该蚀刻工艺可为湿式或干式蚀刻或者反应性离子蚀刻。该蚀刻工艺可使用包括氢氟酸(HF)、氢氯酸(HCl)或类似物的化学物质或气体。该蚀刻工艺可具选择性,例如,选择性地移除第一氧化物层704。在一个实施例中,蚀刻工艺对二氧化硅具选择性,且相对于包括多晶硅的材料层而移除包括二氧化硅的第一氧化物层704。在移除第一氧化物层704期间,蚀刻工艺可进一步地移除一部分的STI区域302。
在步骤608中,可使用蚀刻工艺来移除另外一部分的剩余材料层702,以形成具有期望的倒T形状的浮置栅极,如图7D所示。该蚀刻工艺可包括湿式或干式蚀刻、反应性离子蚀刻或类似工艺。在一个实施例中,蚀刻工艺为反应性离子蚀刻。如上所述,使用方法600所形成的浮置栅极尺寸可与使用方法200及400所形成的浮置栅极尺寸相似。
在蚀刻材料层702以形成具有倒T形状与上述尺寸的浮置栅极之后,方法600通常会结束,且可执行进一步的处理以完成内存器件的制造。内存器件700的进一步处理可包括如上所述的IPD层与控制栅极层的沉积。任选地,在沉积IPD层之前,执行间隙填充与CMP工艺,接着凹蚀已填充区域以控制在相邻浮置栅极之间的区域的IPD层的期望深度,如上所述。
或者,在一些实施例中,在移除第一氧化物层704之后,方法600由相同的腔室606进行至腔室610,在腔室610中可将材料层选择性氧化以形成第二氧化物层706。将第二氧化物层706形成在材料层702的剩余部分的上方与侧壁处,如图8A所示,且第二氧化物层706可包括氧化硅、金属氧化物或类似材料。在一些实施例中,第二氧化物层706可消耗材料层702至约5至约15nm、或约10nm的深度。可使用湿式或氧化、快速热氧化(RTO)、自由基氧化或等离子体氧化(例如,去耦合等离子体氧化(DPO))来执行氧化物层的形成,且因为期望较低热预算和/或降低的氧扩散,可使用等离子体氧化或自由基氧化。在一些实施例中,可将低热预算定向氧化(例如,等离子体氧化)用于第二氧化物层706在材料层702的水平表面上以高于侧壁表面的速率来成长的情况中。
在进行选择性氧化以形成第二氧化物层706之后,材料层702的剩余部分通常可为倒T形状。在步骤612中,通过蚀刻工艺移除第二氧化物层706,以完成具有倒T形状的浮置栅极的形成,如由图8B中所绘示的材料层702的剩余部分所示。该蚀刻工艺可为干式蚀刻或反应性离子蚀刻。该蚀刻工艺可使用包括氢氟酸(HF)、氢氯酸(HCl)或类似物的化学物质或气体。蚀刻工艺可具选择性,例如,对于移除第二氧化物层706具选择性。在一个实施例中,蚀刻工艺对二氧化硅具选择性,且相对于包括多晶硅的材料层702而移除包括二氧化硅的第二氧化物层706。在移除第二氧化物层706期间,蚀刻工艺可进一步移除一部分的STI区域302。
在蚀刻材料层702的剩余部分以移除第二氧化物层706且形成具有倒T形状的浮置栅极之后,方法600通常会结束。以方法600所形成的浮置栅极与在步骤608中所述的浮置栅极具有相同尺寸。内存器件700的进一步处理可包括如上所述的IPD层与控制栅极层的沉积。
如上所述,虽然对于一些实施例来说高热预算工艺会是有益的,但是当施加较高热预算时,材料层(如上述的材料层702)的氧化速率会倾向饱和。举例来说,氧化速率倾向饱和的情形会造成无法将材料层702成形为具有期望尺寸的形状、造成穿遂氧化物层104的增厚或两者均会发生。进一步地,即使在较低温度范围下(例如,30℃)起始氧化速率是高的,然而在使用任何宽温度范围(例如介于约30至约1100℃之间)的情况下仍会造成氧化速率的饱和。对于在此所揭露的所有氧化工艺而言,此温度范围是有效的。此外,可在室温或更低温度下发生基于等离子体氧化或光化学(UV或臭氧)或干式/湿式化学(例如臭氧、硝酸、过氧化氢)的氧化。因此,本发明人已经开发出一种用于塑形材料层(例如材料层702)的方法,该方法有益地使用如下所述的高起始氧化速率。
图9中示出在高热预算下氧化速率的饱和的示意图,图9大体上绘示了氧化物层厚度与时间的函数图。等温线1000代表一氧化工艺,该氧化工艺在期望的任意温度下连续生长氧化物层。最初,在等温线1000的第一时间段1002内,该氧化速率是高的,以在第一时间段1002期间所生长的第一氧化物层厚度1004来说明。随着时间(以及热预算)增加,氧化速率开始饱和。例如,在第二时间段1006期间(该第二时间段1006与第一时间段1002等长且仅跟在第一时间段1002之后),在第二时间段1006期间所生长的第二氧化物层厚度1008小于第一氧化物层厚度1004,这是归因于在第二时间段1006期间的较慢氧化速率。本发明人已进一步发现在各种温度下伴随产生的等温线1000的大体形状。
因此,为了将材料层702塑形至预期形状,会需要高热预算来实现形成期望的浮置栅极尺寸所需要的氧化物层厚度。遗憾的是,在制造某些结构期间,高热预算氧化工艺的应用会非期望地造成氧气(O2)扩散至暴露的氧化物层(例如,穿遂氧化物层104),导致氧化物层非期望地增厚。
如此,在方法600的一些实施例中,重复的氧化与蚀刻工艺可有益地使用在第一时间段1002期间施加的高起始氧化速率,如上述图9所说明。例如,在一些实施例中,在步骤604中,可以以起始氧化速率将材料层(例如,材料层702)表面氧化,以形成氧化物层(例如,第一氧化物层704)。可将材料层702氧化历经第一时间段(例如,第一时间段1002),在此时期该氧化速率是相对高的。在氧化速率降低至预定值之后,例如,在第二时间段1006期间,终止氧化工艺。在一些实施例中,当氧化速率为起始氧化速率的约90%或更低、或约75%或更低时,可终止第一氧化物层704的形成。在一些实施例中,当氧化速率介于起始速率的约0%至约90%、或为起始速率的约75%时,可终止第一氧化物层704的形成。
一旦氧化工艺终止,在步骤606中,通过蚀刻工艺将至少一些的第一氧化物层704移除(如上所述以及如图7C所述)。如图7C所说明,一旦该第一氧化物层704被移除,材料层702会至少部分成为如上述所期望的形状。移除第一氧化物层704提供材料层702的刚暴露的表面,该刚暴露的表面可进一步被氧化直到形成所期望的氧化物层形状。在一些实施例中,蚀刻工艺可为两段式凝结和升华蚀刻工艺,如上所述。在一些实施例中,当蚀刻速率下降至起始蚀刻速率的约0%至约75%、或降至起始蚀刻速率的约90%时,可终止蚀刻工艺。蚀刻速率的下降可归因于材料对比(例如,Si对SiO2选择性)或扩散相关的饱和度(例如,在同质的SiO2层上)。在蚀刻工艺期间,蚀刻速率对时间的相依性可在牺牲氧化期间提供额外且独立的材料移除控制方法。这提供在异质的表面(Si/SiO2)上进行一层接一层的移除的能力,如示例在浮置栅极形成结构中。当从异质的基板上移除氧化材料时,可有利地使用上述方法以避免移除非均匀的材料。
举例来说,在步骤610中,将部分塑形的材料层702的暴露表面再次氧化,以形成另一氧化物层(例如,第二氧化物层706)。以一起始氧化速率来进行氧化工艺,该起始氧化速率基本上等于上述用于移除第一氧化物层704的起始氧化速率。如上所述,在氧化速率下降至预定值后,例如在第二时间段1006期间,终止氧化工艺。所期望的工艺终止点可为任何与上述相似的时间。于图8A中说明形成第二氧化物层706的氧化工艺。
一旦重复的氧化工艺终止,在步骤612中,可通过蚀刻工艺来移除至少一些的第二氧化物层706(如上所述且如图8B中说明)。如图8B所说明,一旦第二氧化物层706被移除,该材料层702可形成期望形状,如上所述。或者,第二氧化物层706的移除再次提供材料层702刚暴露的表面,该刚暴露的表面可进一步被氧化直到形成所期望的材料层形状。因此,虽然所揭露为只重复一次氧化以及蚀刻工艺,但这些工艺可视需求连续重复许多次,以形成所期望的材料层形状(即,可将工艺重复一次或多次)。
与连续执行的氧化工艺相比较,在氧化以及移除氧化物层的循环工艺中的氧化能够在相同热预算下形成更多的氧化物。在单一腔室中执行氧化以及移除氧化物层的循环工艺可大幅提高工艺生产量。例如,如图9所示,一连续应用的氧化工艺(例如在第一时间段1002与第二时间段1006所应用的等温线1000)将形成具有厚度为第一厚度1004与第二厚度1008总和的氧化物层。然而,在使用与连续氧化工艺相同的热预算下,循环的氧化与移除工艺可导致总氧化物厚度(例如,第一氧化物层704与第二氧化物层706的厚度总和)为第一厚度1004的两倍,该循环的氧化与移除工艺例如为在第一时间段1002期间形成第一氧化物层(例如,第一氧化物层704)、移除第一氧化物层以及在第二时间段1006期间氧化材料层以形成第二氧化物层(例如,第二氧化物层706)。
在图9中示出了示意性说明循环的氧化与移除工艺的等温线1010。如说明,在第一时间段1002之后,等温线1010基本上偏离等温线1000(代表连续氧化工艺)。然而,仅为了说明,在第10图中,将等温线1010描绘为直线。根据如何应用循环的氧化与移除工艺,该等温线1010可为任何形状。例如,假如每一个重复的氧化工艺均进行相同的时间段(例如第一时间段1002),那么在第一时间段1002期间,在每一个连续步骤下该等温线1010可具有重复等温线1010的形状。或者,循环的氧化与移除工艺中的连续步骤可应用于与第一时间段(未图示)不同的持续期,而等温线1010的形状可因此改变。然而,在循环的氧化与移除工艺期间所形成的总氧化物将大于使用相同热预算由连续氧化工艺(例如,等温线1000)所形成的氧化物。在一些实施例中,在循环的氧化与移除工艺期间所形成的总氧化物比通过连续氧化工艺使用相同热预算所形成的氧化物大了高达约3倍。
可有利地使用上述循环的氧化与移除工艺来形成其它结构,所述其他结构包括具有次微影尺寸的结构。此结构可包括,例如,超薄浮置栅极、finFET器件的鳍片、图案化硬掩模或类似结构。
例如,在一些实施例中,能使用循环的氧化与移除工艺来形成超薄浮置栅极,如图11A-D所说明。图11A-D绘示根据本发明的一些实施例的浮置栅极1102的制造阶段。如图11A所示,该方法开始于通过提供部分制造的内存器件1100。该内存器件1100与上述内存100的结构与组成相似。内存器件1100包括基板102,该基板102上设置有穿遂氧化物层104。将与上述任何材料层的组成类似的材料层1102设置在穿遂氧化物层104的顶部。将与上述STI区域的组成类似的STI区域1104设置在材料层1102的每一侧以及与材料层1102相邻。STI区域1104将器件1100的单个存储单元隔开。通常,STI区域1104的顶部表面1103与材料层1102的顶部表面1105为基本上平坦。
接着,可将上述循环的氧化与移除工艺用于相同的腔室中,以将材料层1102变薄至所期望的形状(例如厚度)。如上所述,可以以一起始氧化速率将材料层1102的顶部表面1105氧化,以形成氧化物层1106,如图11B所示。当氧化速率下降至低于起始速率的特定百分比时(如上所述),终止该氧化工艺。接着通过蚀刻工艺将氧化物层1106(与在STI区域1104中的一部分氧化物一起)移除,如图11C所示。可重复该氧化与移除工艺直到将材料层1102变薄至一期望形状,以形成浮置栅极。
在一些实施例中,期望形状的材料层1102可在材料层1102的底部具有第一宽度,该第一宽度基本上等于在材料层1102顶部的第二宽度。进一步地,期望形状可包括例如,材料层1102的最终厚度小于约5纳米(然而可预期其它厚度,例如,约1至约20nm、或约1至约10nm)。该循环的氧化与移除工艺有利地将材料层1102变薄至浮置栅极的期望形状,而不会造成下层穿遂氧化物层104产生不需要的氧化增厚。本发明人已经发现存在于STI区域1104中的氧化物可作为阻碍,以避免氧化工艺到达穿遂氧化物层104。如图10D所示,可将IPD层1108与导电层1110沉积在变薄的材料层1102的顶部,以形成完整的内存器件1100。IPD层1108与控制栅极层1100各可包括适用于IPD层与控制栅极层的任何材料或材料组合,如上所述。
在一些实施例中,可使用循环的氧化与移除工艺,将结构形成至临界尺寸,该临界尺寸小于通过微影技术所获得的尺寸。例如,图11A-C绘示了使用循环的氧化与移除工艺用以将微影图案化结构1200修正为次微影临界尺寸的阶段。例如,结构1200可为部分制造的逻辑器件,例如FinFET或部分制造的硬掩模结构。
结构1200包括材料层1202,该材料层1202沉积在基板1204的顶部。材料层1202可如图11A所示进行沉积,使得基板1204的上表面1203的一个或多个部分维持暴露。将掩模层1206沉积在材料层1202顶部。例如,可使用掩模层1206将材料层1202图案化至微影界定的临界尺寸。
基板1204可为如上所述的任何适合的基板。例如,在一些制造逻辑器件的实施例中,基板1204可包括硅(Si)或二氧化硅(SiO2)。例如,在一些制造硬掩模结构的实施例中,基板1204可包括层1208(在图11A-C中以虚线来说明),该层1208沉积在非硅层1210的顶部,并通过硬掩模将该层1208图案化。当蚀刻非硅层1210时,该层1208可用作第二硬掩模。该层1208可包括以下一种或多种:二氧化硅(SiO2)、氮化硅(SiN)、氧化铝(Al2O3)或其它在低温下沉积的材料、或在绝缘体上的硅(SOI)制造期间所形成的埋入式氧化物。非硅层1210可包括金属,例如钨(W)、氮化钛(TiN)或类似物中的一种或多种,和/或包括介电材料,例如SiO2、高k值二元氧化物、三元氧化物、相变材料(例如氧化镍、锗锑碲或类似物)和/或包括IV族的替代通道材料(例如,Ge、SiGe);和/或包括III-V材料(例如,GaAs、GaN、InP等等)和/或包括有机物(例如,并五苯(pentacene)、富勒烯等等)。一些材料可在高于约100℃的温度下分解,但该些材料可受益于由本发明方法所获得的次微影图案化,来提高器件性能。
掩模层1206可为任何适合的掩模层,例如硬掩模或光阻层。掩模层1206可包括以下至少一种:SiO2、SiN、硅化物(silicide),例如硅化钛(TiSi)、硅化镍(NiSi)或类似物、或者硅酸盐,例如硅酸铝(AlSiO)、硅酸锆(ZrSiO)、硅酸铪(HfSiO)或类似物。
可将上述循环的氧化与移除工艺应用于现有的结构1200,将微影图案化材料层1202修正为次微影临界尺寸。如图11A所说明,材料层1202的侧壁1212以及在一些实施例中,基板1204的暴露的上表面1203可以以上述的起始氧化速率进行氧化,以形成氧化物层1214。在第一时间段时间之后,当起始氧化速率下降至低于如上述起始速率的一部分时,终止该氧化工艺。
如图11C所示,使用蚀刻工艺来移除氧化物层1214,该蚀刻工艺可为上述的任何适合的蚀刻工艺,且在与氧化工艺相同的腔室中执行该蚀刻工艺。可视需求来重复氧化与移除工艺,以将材料层1202成为期望形状,例如,具有期望的次微影尺寸。在一些通过氧化和/或蚀刻工艺而至少部分消耗基板1204(或氧化物层1208)的实施例中,当循环的氧化与蚀刻工艺完成之后,该材料层1202可设置在基板1204的凸起部分1216上,该凸起部分1216通过循环工艺形。凸起部分1216可具有一宽度,该宽度与接近材料层1202底部的第一宽度以及与接近材料层1202顶部的第二宽度基本上相等。在一些实施例中,经修正的材料层1202的第一宽度与第二宽度可介于约1至约30纳米。在一些实施例中,经修正的材料层1202(例如,材料层的期望形状)具有介于约0.5至约20的高宽比。在一些实施例中,经修正的材料层1202的高度介于约1至约30纳米。或者,在一些实施例中,不会因循环工艺而实质消耗该基板,且不会出现凸起部分1216。举例来说,假如蚀刻工艺对层1208的材料具选择性,则可避免凸起部分的生成,例如,在一些实施例中,当蚀刻SiO2时,不会蚀刻包括SiN的层1208。
在使用循环的氧化与移除工艺来修正材料层1202之后,可进一步处理结构1200。举例来说,可将材料层1202作为FinFET器件的鳍片,并可沉积栅极层以及源极/漏极区域。或者,可使用经修正的材料层1202本身来界定由基板1204所形成的硬掩模的临界尺寸。进一步地,可有利地使用本发明方法来降低由微影与鳍片蚀刻(fin etch)所产生的线边缘粗糙度与表面粗糙度。在FinFET通道形状与侧壁表面上的粗糙度与变异性的下降,可通过降低噪声与变动性来改善器件与系统的性能。
进一步地考虑可替换地使用一部分和/或全部的上述独立方法,该方法适合形成具有倒T形状的浮置栅极的内存器件。举例来说,可将氮化物层(如图4所说明)沉积在部分制造的内存器件700的材料层702顶部(如图6所说明),以进一步地限制穿遂氧化物层的增厚。在此所描述的方法的其它组合以及变化同样地落入本发明的范围中。
举例来说,可在单一基板处理腔室中执行在此所描述的方法,例如,氧化与蚀刻工艺,该单一基板处理腔室配置为提供执行上述工艺所需的各自的工艺气体、等离子体以及类似物。
因此,在配置为执行氧化、蚀刻以及任选地执行氮化工艺的单一反应器或腔室中执行本发明方法。该工艺腔室可配置为执行氧化工艺,该氧化工艺包括以下一种或多种工艺:紫外光(UV)系氧化工艺、臭氧系氧化工艺、热氧化工艺、等离子体氧化工艺或其它自由基系氧化方案(例如热电线)。如此,可将气体源耦接至该腔室以提供一种或多种用于氧化工艺的含氧气体。工艺腔室可进一步配置为执行蚀刻工艺,该蚀刻工艺包括以下一种或多种工艺:等离子体蚀刻、或包括凝结与升华的两段式蚀刻,如上所述。可利用等离子体来活化该两段式蚀刻工艺、或可在没有提供等离子体的情况下热活化该两段式蚀刻工艺。工艺腔室进一步配置为具有热控制系统来快速控制基板温度,以帮助两段式蚀刻工艺。例如,工艺腔室可包括循环加热(以及冷却)能力,用于循环加热与冷却该基板。此加热能力可包括快闪能量系统(flash energy based system;例如,灯具、激光等等)、热源,该热源在腔室中的至少两个预定基板处理区域之间提供大的热梯度(例如,适合通过将基板放置在各自的处理区域中,以选择性地维持适用于凝结的低基板温度以及适用于升华的高基板温度)、或通过使用用于远程等离子体活化蚀刻气体的远程等离子体源与直接等离子体源的组合来提供等离子体诱发热。基板支撑件可以是可移动的,用以在预定处理区域中支撑基板,且该基板支撑件可进一步包括升降销或其它基板升降机构,用以在工艺的加热部分期间,将基板由支撑表面选择性地升高,以及在工艺的冷却部分期间,将基板送回基板支撑表面。基板支撑件也可具有冷却(或温度控制)系统,以维持基板支撑件在预定温度(例如,接近用于蚀刻工艺的凝结温度)。例如,在一些实施例中,热控制系统适合快速(例如,以少于约1秒内、或高达约10秒、或高达约100秒)改变基板的温度,由约30℃(帮助凝结)到至少约100℃(帮助升华)。
例如,在图12中说明具有此配置的工艺腔室1300的示意图。工艺腔室1300中包括设置在工艺腔室1300中的基板支撑件1302,用于将基板1303支撑在基板支撑件1302上。将气体源1304耦接至腔室1300,以提供含氧气体、蚀刻气体以及任选地提供不活跃气体和/或含氮气体(例如,上述的任何气体)。等离子体源1306可耦接至工艺腔室,而将能量提供给由气体源所提供的气体,以形成氧化等离子体或蚀刻等离子体中的至少一种,以及任选地形成氮化等离子体。加热源1308耦接至工艺腔室,以选择性地加热该基板,以及任选地,提供能量至气体源的气体以形成氧化或蚀刻化学物质中的至少一种。控制器1310耦接至工艺腔室1300,用于控制工艺腔室1300的操作以及工艺腔室1300的组件。气体源1304可为任何适合的气体源,例如具有多个气体源的气体面板或类似物。将气体源1304最小化配置,以提供含氧气体与蚀刻气体,而分别形成氧化等离子体、蚀刻等离子体、氧化化学物质或蚀刻化学物质中的一种或多种。任选地,气体源1304也可提供一种或多种的不活跃气体和/或含氮气体,以形成氮化等离子体。
等离子体源1306可为任何适合的等离子体源或多个等离子体源,例如远程等离子体源、电感式耦合源、电容式耦合源、耦接至架空电极(未图示)的第一来源与耦接至基板支撑件的第二来源(未图示)或者任何其它等离子体源配置,以形成等离子体。在一些实施例中,等离子体源1306配置为提供能量给气体源1304的气体,以形成氧化等离子体、蚀刻等离子体,以及任选地,形成氮化等离子体。在一些实施例中,等离子体源可供应热至晶圆,用于在蚀刻期间升华反应副产物。
加热源1308可为任何适合的加热源,用以加热该基板和/或用以由气体源1304所提供的气体来形成氧化或蚀刻化学物质。举例来说,加热源可包括一个或多个灯具,该灯具配置以加热该基板或由气体源所提供的气体。替代地或组合,加热源可包括加热器或气体喷淋头,该加热器例如电阻式加热器或类似物,该加热器可例如设置在基板支撑件1302中,而该气体喷淋头用于提供工艺气体至工艺腔室。
在操作中,系统控制器1310能够进行从各系统(例如,气体源1304、等离子体源1306以及加热源1308)的数据收集和反馈,以最佳化工具1300的性能。系统控制器1310通常包括中央处理单元(CPU)、内存以及支持电路。CPU可为任何形式的一般用途计算机处理器的一种,该一般用途计算机处理器可用于工业设定。通常将支持电路耦接至CPU,且该支持电路包括高速缓存、时钟电路、输入/输出子系统、电源等。当由CPU来执行时,软件例程(例如用于执行上述形成浮置栅极的方法的例程)可将CPU转换为特定用途计算机(控制器)1310。也可通过远离工具1300定位的第二控制器(未图示)来储存和/或执行软件例程。将根据一个或多个实施例来描述用于执行上述工艺的特定单一腔室设备。
图13-至15描述了经改良的等离子体工艺腔式的实施例。本发明实施例可在适当装配的等离子体反应器中进行,例如可由加州圣克拉拉市的应用材料公司或其它地方所购得的去耦合等离子体氧化(DPO)反应器,以下将参考图13来说明。也可使用其它适合的等离子体反应器,包括远程等离子体氧化(RPO)反应器或环型源等离子体浸没式离子植入反应器,例如可由应用材料公司所购得的P3I,以下将分别参考图14与图15来说明。举例来说,图13绘示根据本发明的实施例的说明性的等离子体反应器1400,该等离子体反应器1400适用于执行循环的氧化物形成与移除工艺。反应器1400可透过由经脉冲或连续波(CW)RF功率产生器所驱动的导电性耦合等离子体源功率施用器来提供低离子能等离子体。该反应器包括腔室1410,该腔室1410具有圆柱形侧壁1412与顶棚1414,该顶棚1414可为穹状(如图所示)、板状或其它几何形状。等离子体源功率施用器包括线圈天线1416,该线圈天线1416设置在顶棚1414上方且透过阻抗匹配网络1418与RF功率源耦接,该RF功率源是由RF功率产生器1420与位于产生器1420的输出端的栅极1422所组成,该产生器1420是由具有经选择工作周期(duty cycle)的脉冲信号所控制。配置RF功率产生器1420以提供介于约50瓦特至约2500瓦特的功率。应考虑到可使用其它产生低离子能的等离子体源功率施加器,例如,远程RF或微波等离子体源。或者,该功率产生器可为经脉冲的DC产生器。
反应器1400进一步包括基板支撑基座1424,例如,静电夹具或其它适合的基板支撑件,用于固定基板1426,例如,200或300mm的半导体晶圆或类似物。基板支撑基座1424一般包括加热设备,例如加热器1434,该加热器1434位于基板支撑基座1424的顶表面下方。加热器1434可为单一区域或多区域加热器,例如,双径向区域加热器,该双径向区域加热器具有发射状的内部与外部加热元件1434a与1434b,如图13所描述。
反应器1400进一步包括气体注入系统1428以及耦接至腔室内部的真空泵1430。将气体注入系统1428提供给一个或多个工艺气体源,例如用于提供氧化气体的氧化气体容器1432,所述氧化气体包括O2、N2O、NO、NO2、H2O、H2与H2O2;用于提供还原气体的还原气体容器1442,诸如氢气;用于供应蚀刻气体的蚀刻气体容器1448,所述蚀刻气体例如CF4、CHF3、SF6、NH3、NF3、He、Ar等等,或其它视特定应用所需的工艺气体源,例如He、Ar等气体或诸如N2的氮化气体。分别耦接至气体源(例如,氧化气体容器1432、还原气体容器1442、蚀刻气体容器1448等等)的流动控制阀1446、1444与1449可用于在进行处理期间选择性地提供工艺气体或工艺气体混合物至腔室内部。也可提供其它气体源(未图示)用于提供额外气体,例如不活跃气体(氦气、氩气或类似气体)、气体混合物或类似物。可通过真空泵1430的节流阀1438来控制腔室压力。
可通过控制脉冲产生器1436(该脉冲产生器1436的输出端耦接至栅极1422)的工作周期来控制在栅极1422上的经脉冲的RF功率输出的工作周期。在离子产生区域1440中产生等离子体,该离子产生区域1440对应于被线圈天线1416环绕的顶棚1414下方的容积。当在与基板相距一距离的腔室1410上部区域中形成等离子体时,该等离子体可视为类远程等离子体(例如,该等离子体具有远程等离子体形成的益处,但该等离子体形成在与基板1426相同的腔室1410中)。或者,可使用远程等离子体,在此情况中可将离子产生器1440设置在腔室1410的外侧。
在操作中,根据本发明上述氧化物层的实施例,可使用等离子体反应器1400来执行氧化工艺。举例来说,可在工艺腔室1400中由工艺气体产生等离子体,以形成氧化物层。透过来自设置在顶棚1414上方的线圈天线1416的RF功率感应耦合并提供低离子功率(例如,对于经脉冲等离子体为小于约5eV以及对于CW等离子体为小于约15eV),在腔室1410的离子产生区域1440中形成等离子体。
在一些实施例中,可在适合的频率下(例如,在MHz或GHz范围中或约13.56MHz或更大的频率下)提供约25至5000瓦特的功率至线圈天线1416,以形成等离子体。以具有介于约2至70%的工作周期的连续波或经脉冲模式来提供功率。
例如,在一些实施例中,在连续的「启动」期间产生等离子体,而在连续「停止」区间允许等离子体的离子功率衰减。「停止」区间与「启动」区间分隔开,且该「启动」与「停止」区间定义可控制的工作周期。工作周期将在基板表面上的动能离子能量限制为低于预定临界能量(threshold energy)。在一些实施例中,预定临界能量为约5eV或低于约5eV。
举例来说,在经脉冲的RF功率的「启动」期间,等离子体能量增加,而在「停止」期间,等离子体能量降低。在短的「启动」期间,等离子体产生在离子产生区域1440中,该区域大致相当于由线圈天线1416所围起的容积。将离子产生区域1440提高高于基板1426一显著的距离LD。在「启动」期间,在靠近顶棚1414的离子产生区域1440中产生的等离子体会于「停止」期间以平均速度VD朝向基板1426漂移。在每一个「停止」期间,最快的电子扩散至腔室壁,而允许等离子体进行冷却。最具能量的电子以高于等离子体离子漂移速率VD的速率扩散至腔室壁。因此,在「停止」期间,在等离子体离子到达基板1426之前,该等离子体离子的能量显著地降低。在下一次的「启动」期间,在离子产生区域1440中产生更多的等离子体,且整个周期会本身进行重复。结果,到达基板1426的等离子体离子的能量显著地下降。在较低范围的腔室压力下,也就是大约10mT与低于10mT,经脉冲的RF实例的等离子体能量远低于连续RF实例的等离子体能量。
「停止」期间的经脉冲RF功率的波形与介于离子产生区域1440与基板1426之间的距离LD二者必须都足以允许在离子产生区域1440中产生的等离子体自身损失足够的能量,使得该等离子体在到达基板1426之后造成较小的离子撞击损伤或不会造成离子撞击损伤。更特定而言,以介于约2至30kHz或约10kHz的脉冲频率以及介于约5%至20%的「启动」工作周期来界定「停止」期间。因此,在一些实施例中,「启动」区间可持续约5-50微秒或约20微秒,以及「停止」区间可持续约50-95微秒或约80微秒。
可在低压腔室中产生等离子体,由此降低污染诱发缺陷的可能性。例如,在一些实施例中,可将腔室1410维持在介于约1-500mTorr的压力下。并且,通过使用类远程等离子体源以及,任选地,通过脉冲上述等离子体源功率可限制或避免在此低腔室压力水平下所预期的离子撞击诱发的缺陷。
可将基板维持在约室温(约22℃)的温度下、或介于约20-750℃、或低于约700℃、或低于约600℃。在一些实施例中,在远程等离子体氧化工艺中同样可使用较高的温度,例如低于约800℃。
图13A中的腔室也包括用于冷却基板的机构。用于冷却基板的机构可以包括喷淋头1450,该喷淋头1450设置在基座1424上方。喷淋头1450具有多个开口1451,且该喷淋头1450经由通道或导管(未图示)与冷却剂供应器1452连通。冷却剂供应器可为适合的气体,例如,诸如氮气的不活跃气体,或者诸如氦气、氖气或氦气与氖气混合物的传导气体。
冷却机构也可单独包括、或与喷淋头一起包括用于支撑基座1424的冷却系统。图13B示出具有反馈冷却系统1454的经改良的夹具,该反馈冷却系统1454用于将夹具冷却到至少低如20℃、例如22℃、25℃、30℃或其它适合执行循环的氧化与蚀刻工艺的温度。应理解,冷却系统1454并非必需包括反馈控制。可使用用于调节支撑基座1424温度的传统冷却系统。该传统冷却系统使用冷冻系统,该冷冻系统使用传统热循环以及透过单独的液体热传输介质来传输介于冷却剂与支撑基座之间的热能,用以冷却冷冻剂或冷却剂介质。冷却剂可为去离子水与诸如乙二醇和(或)全氟聚醚(perfluoropolyether)的其它物质的混合物。
在图13B所示的系统中,该类型的温度反馈控制系统1454示出于美国专利公开号No.2007/0097580中,在该系统中,反馈控制回路处理器1455控制背侧气体压力阀1456。
虽然最简单的实施方式仅控制膨胀阀1468,但在基板1426上具有既定RF热负载的情况下,可使用调节膨胀阀1468和(或)旁通阀1470的温度反馈控制回路,来控制晶圆温度或维持晶圆温度在所需温度下。
通过在压力下将热传导气体(例如氦气)注入晶圆1426的背侧与支撑基座1424的顶表面之间的界面中来增加晶圆1426与经冷却的支撑基座1424之间的热传导性。为了达到此目的,在支撑基座的顶表面中形成气体通道1486,且将加压氦气供应1488透过背侧气体压力阀1456耦接至通道1486的内部。通过夹持器电压源1490施加至栅极1482的D.C.夹持电压,将晶圆1426静电夹持在顶表面上。通过夹持电压以及通过在晶圆背侧的热传导气体(氦气)压力来测定晶圆1426与支撑基座1424之间的热传导性。通过改变背侧气体压力(由控制阀1456来改变)来进行晶圆温度控制,将晶圆温度调整为所需程度。当改变背侧气体压力时,介于晶圆与支撑基座1424之间的热传导性也会改变,热传导性的变化会改变下列之间的平衡:(a)晶圆1426吸收由RF功率施加至栅极1482或耦接至等离子体的热与(b)从晶圆至经冷却的支撑基座的热。改变此平衡必须改变晶圆温度。因此,控制背侧气体压力的反馈控制回路可用于敏捷或高反应性的晶圆温度控制。以温度探测器来感测实际温度,该温度探测器可为温度探测器1457、第二温度探测器1458、在蒸发器入口1463上的温度探测器1459、在蒸发器出口1464上的温度探测器1460或该些探测器中的任一个或全部的组合。为了达到此目的,反馈控制回路处理器1472控制膨胀阀1468的孔口开口尺寸以响应来自一个或多个温度探测器的一个输入或多个输入。将可储存在内存或使用者接口1474中的经使用者选择的所需温度值提供给处理器1472。简单来说,在每一个连续处理循环期间,处理器1472比较由至少一个探测器(例如,通过ESC绝缘层中的探测器1457)所测量的目前温度与所需温度值。接着,当所需温度值与测量温度值出现差异时,处理器1472计算误差值,并且由该误差来决定旁通阀1470或膨胀阀1468的孔口尺寸修正,此可降低误差发生。接着根据该修正,处理器1472改变阀孔口尺寸。在基板工艺的整体持续期间会重复此循环,以控制基板温度。
支撑基座中的一个(或多个)温度传感器1457、1458、1459或1460可与处理器1455的输入端连接。使用者接口或内存1461可提供经使用者选择或所需的温度至处理器1455。在每一个连续处理循环期间,当目前的温度测量值(来自传感器1457、1458、1459之一)与所需温度出现差异时,处理器1455计算误差信号。处理器1455由该差异确定背侧气体压力阀的当前设定的修正,该修正倾向于降低温度误差,且根据该修正来改变阀开口。举例来说,偏离高于所需温度的基板温度需要增加背侧气体压力来增加向冷却支撑基座1424的热传导性并使基板温度下降。在基板温度偏离低于所需温度的情况中,可使上述方法相反。因此,可控制基板温度并将基板温度立即设定为实际落在温度范围中的新温度,该温度范围的下限对应于支撑基座1424的冷却温度,且该温度范围的上限是通过在基板上的RF热负载来确定。例如,在缺少RF热负载下无法增加基板温度,且在低于支撑基座1424温度下无法冷却基板温度。假如此温度范围是足够的,那么可使用任何传统技术将支撑基座1424维持在所需的冷却温度下,用以帮助操控背侧气体压力的敏捷温度反馈控制回路。
支撑基座1424含有热交换器1462,该热交换器1462以用于冷却介质的冷却通路形式存在,该冷却介质可为任何适合的冷却流体,例如冷却气体(如氦气或氮气)或上述类型的流体。热交换器1462冷却通路包括入口1463与出口1464。将热交换器1462包括在支撑基座1424内。反馈控制系统1454可以两种模式中的任一种来操作,也就是冷却模式(在此模式中热交换器1462作用为蒸发器)以及加热模式(在此模式中热交换器1462作用为冷凝器)。反馈控制系统1454的剩余元件在支撑基座1454的外部,且包括储存器(accumulator)1465、压缩器1466(用于抽取冷却介质穿过回路),以及冷凝器1467(用于操作冷却模式)与具有可变孔口尺寸的膨胀阀1468。反馈控制系统1454(即,热交换器1462、储存器1465、压缩器1466、冷凝器1467、膨胀阀1468以及将热交换器1462、储存器1465、压缩器1466、冷凝器1467与膨胀阀1468耦接在一起的导管)含有传统类型的冷却介质(当在冷却模式中操作系统时,该冷却介质作为冷冻剂或冷却剂),且该反馈控制系统1454可具有低电导性以避免与反应器的RF特征相互干扰。储存器1465通过储存液体来防止任何冷却介质的液体形态到达压缩器1466。通过适当地操作旁通阀1469将该液体转变为蒸气。
为了在处理期间克服热漂移的问题,通过操作反馈控制系统1454、1462、1465、1466、1467、1468来提高反馈控制系统1451的效率10倍或更多,使得在热交换器内部的冷却介质在液相与气相之间被分隔开。在入口1463的液体对气体比率要足够高,以允许在出口1464处降低此液体对气体比率。此情况可保证在支撑基座1424与热交换器(蒸发器)1462内的冷却介质(冷却剂)之间的所有(或接近所有)热传输是透过对冷却介质蒸发的潜热作用所产生。结果,在反馈控制系统1454中的热流动比在单一相冷却循环中的热流动超出10倍。可以经由降低由入口1463至出口1464的冷却介质的液体对气体比率来满足此条件,充分地限制液体对气体比率,至少使得非常少量的液体剩余在出口1464处(或就在出口1464前)。在冷却模式中,需要反馈控制系统1454的冷却剂容量不超过在基板上的RF热负载。
在控制反馈控制回路处理器1472与1455二者的主要处理器1476的控制之下,可利用合作组合的方式来同步操作调节背侧气体压力阀1456的温度反馈控制回路1454与调节冷冻作用膨胀阀1468的大范围温度反馈控制回路。
反馈控制回路(包括蒸发器1462、压缩器1466、冷凝器1467与膨胀阀1468)通过改变支撑基座1424的温度来控制加工件的温度。仅通过反馈控制系统1454的热容量来限制该温度范围,且因此可将加工件的温度设定在非常大范围内(例如,-10℃至+150℃)的任何温度。然而,通过支撑基座的热质量可限制一速率,该速率在一特定时间下可影响加工件温度的所需变化。以用于支撑300mm加工件或硅晶圆的静电夹具来举例,此速率很慢使得在加工件中的10℃变化需要大约1分钟或更长时间,该时间是由冷冻作用单元开始改变冷却剂的热条件至符合新温度,到加工件温度最终达到新温度的时间。
反之,当在加工件温度中产生所需变化或修正时,温度反馈控制系统1454不改变支撑基座的温度(至少非直接地改变),而是仅改变加工件与支撑基座之间的热传导性。因为该速率仅由可改变背侧气体压力的速率与加工件的热质量来限制,因此加工件温度对应于此变化的速率是非常大的。在一般系统中,背侧气体压力响应于在瞬间的阀1456的移动。对于一般300mm的硅晶圆来说,该热质量很低使得晶圆(加工件)温度响应于在几秒钟或在瞬间中改变的背侧气体压力。因此,相对于大范围温度控制回路影响加工件温度变化的时间尺度来说,温度反馈回路的加工件温度响应是相对瞬间的。然而,敏捷的反馈回路可改变加工件温度的范围相当有限:可达到的最高加工件温度限于在晶圆上的RF热负载,同时最低温度不能低于支撑基座目前的温度。然而,将敏捷与大范围温度控制回路组合的情况下,因为它们的组合提供了大的加工件温度范围以及非常快速的响应,因此每一个回路的优点可用于补偿另一个回路的限制。
可使用大范围反馈控制回路(处理器1472)来编程(program)主要处理器1476,以影响大的温度变化,以及可使用敏捷反馈控制回路(处理器1472)来编程主要处理器1476,以影响快速但小的温度变化。RF偏压产生器1478在高频率(HF)带(例如,13.56MHz)中产生功率。通过伸长的导体或延伸穿过加工件基座支撑件的RF导体将RF偏压阻抗匹配器件1480耦接至导电筛网1482。
如上所述,可在与上面对于图13A和13B所述的去耦合等离子体氧化腔室不同的腔室中执行本发明的实施例。适用于循环氧化与蚀刻的两个额外的示例性等离子体反应器包括经改良的快速和/或远程等离子体氧化(RPO)反应器(在图14中说明)以及经改良的环型源等离子体浸没离子注入反应器,例如P3I,在图15中说明。可由位于加州圣克拉拉市的应用材料公司购得这些反应器。
图14说明用于由工艺气体形成等离子体的设备或系统,且该设备或系统用于在半导体结构上沉积氧化物层。该设备或系统包括快速热处理(RTP)设备1500,例如,但不限制为,可由应用材料公司所购得的具有HONEYCOMB SOURCETM的RTP
Figure BDA00002119363300291
此适合的RTP设备以及该RTP设备的操作方法已在转让给本发明申请人的美国专利案号No.5,155,336中描述。其它种类的热处理器也可取代RTP设备,例如,Epi或Poly
Figure BDA00002119363300292
由应用材料公司所生产的单一晶圆「ColdWall」反应器可用于形成高温薄膜,例如,外延硅、多晶硅、氧化物与氮化物。由应用材料公司所生产的
Figure BDA00002119363300293
腔室也适用。
等离子体施加器1502耦接至RTP设备1500,在操作中,该等离子体施加器1502提供等离子体自由基至RTP设备1500。能量源1504耦接至等离子体施加器1502,以生成激发能而产生等离子体。
在图14所说明的实施例中,RTP设备1500包括工艺腔室1506,该工艺腔室1506由侧壁1508与底部壁1510所包围。通过「O」形环将腔室1506的侧壁1508的上部部分与窗口组件1512密封。将辐射能光管组件或照明器1514设置在窗口组件1512上并与窗口组件1512耦接。光管组件1514包括多个钨丝卤素灯1516,例如,Sylvania YET灯,每一个灯具都安装在光管1518中,该光管1518,例如,可由不锈钢、黄铜、铝或其它金属所制成。
通过支撑环1522(通常由碳化硅所制成)将晶圆或基板1520支撑在腔室1506内侧的边缘上。将支撑环1522安装在可旋转的石英圆柱1524上。通过旋转石英圆柱1524,使得支撑环1522与晶圆或基板1520在处理期间进行旋转。可使用额外的碳化硅接合环以容许将处理不同尺寸的晶圆或基板(例如,150毫米、200毫米或300毫米的晶圆)。
RTP设备1520的底部壁1510包括,例如,镀金的上表面或反射器1526,用于将能量反射在晶圆或基板1520的背侧上。此外,RTP设备1500包括多个光纤探针1528,该光纤探针1528设置穿过RTP设备1500的底部壁1510,以在横越晶圆或基板1520底表面的多个位置上检测晶圆或基板1520的温度。
RTP设备1520包括气体入口(未图示),该气体入口形成穿过侧壁1508,用于将工艺气体注入至腔室1506中,以容许在腔室1506中执行的各种处理步骤。将气体出口(未图示)设置在侧壁1508中的气体入口的对侧上。气体出口为排气系统的一部分且气体出口耦接至真空源,例如,泵(未图示),用于将工艺气体由腔室1506中排放出来,且降低腔室1506中的压力。当在处理期间,将包括等离子体自由基的工艺气体连续供应至腔室1506中时,该排气系统维持所需压力。
另一气体入口1530穿过侧壁1508形成,透过该些气体入口可将工艺气体的等离子体注入至工艺腔室中。施加器1502耦接至气体入口1530,用以将等离子体自由基注入至工艺腔室中。
光管组件1514可包括灯具1516,该灯具1516以六角阵列或「蜂巢结构」的形状来设置。设置灯具1516以充分覆盖晶圆或基板1520与支撑基座1522的整个表面积。将灯具1516分区,可独立控制该些区域,以提供晶圆或基板1520非常均匀的加热。通过在不同的光管之间流动冷却剂(例如,水)来冷却光管1518。
窗口组件1512包括多个短的光管1532。可以将冷却剂(例如,水)注入该些光管1532之间的空间中以冷却光管1532。该些光管1532标示为照明器的光管1518。通过透过连接至该些光管1532之一的管件1540来进行抽取,可以在多个光管1532中产生真空,管件1540接下来连接至剩余的该些管上。
RTP设备1500为单一晶圆反应腔室,能够以25-100℃/秒的速率将晶圆或基板1520的温度逐渐提升。例如在氧化工艺期间,因为晶圆或基板1520的温度至少比腔室侧壁1508的温度大400℃,因此可将RTP设备1500视为「冷壁」反应腔室。可以将加热/冷却流体循环穿过侧壁1508和/或底部壁1510,以维持壁在所需温度下。
如上所述,等离子体施加器1502耦接至RTP设备1500,以提供等离子体自由基源至RTP设备1500。在一个实施例中,通过入口元件1542将等离子体连接至RTP设备1500。等离子体施加器1502也包括气体入口1544。将气体源,例如储存槽或储槽1546,耦接至气体入口1544。通过波导1548a与1548b将等离子体施加器1502耦接至能量源1504。气体源可包括氧化气体、不活跃气体、用于氮化的氮气以及蚀刻气体中的一种或多种,该些气体可在单独的储槽或储存槽中。
图14说明等离子体施加器1502远离RTP设备1500的实施例,在该实施例中,在RTP设备1500的腔室1506的外侧产生等离子体。通过将等离子体施加器1502放置在远离RTP设备1500的腔室1506处,可选择性地产生等离子体源,用以将暴露至晶圆或基板1520的等离子体组成主要限制为自由基。因此,在等离子体施加器1502中产生离子、自由基与电子的等离子体。然而,因为等离子体施加器1502的尺寸(例如,长度与体积)或等离子体施加器1502与入口元件1542的合并尺寸的缘故,通过激发工艺气体形成等离子体而产生的所有的或大部分的离子会比该些离子的离子生命周期存在的还久,并且会变成电中性。因此,提供给RTP设备1500的气体入口的等离子体组成主要为自由基。
等离子体施加器1502包括例如铝或不锈钢的主体1503。主体1503围绕管件1505。该管件1505是由例如石英或蓝宝石所制成。管件1505优选为不具有任何电偏压的出现,该电偏压会吸引带电荷的粒子,例如,离子。主体1503的一端包括气体入口1544。
气体源1546耦接至气体入口1544。透过三向阀1550的第一输入端将气体源1546耦接至气体入口1544。将三向阀1550的第二输入端耦接至其它工艺气体源,例如储存槽或储槽1552。在第一位置中,阀1550在气体源1546与气体入口1544之间提供气体流,同时避免由气体源1552至工艺腔室1506的任何气体流。在第二位置中,阀1550在气体源1552与工艺腔室1506之间提供气体流,同时避免由气体源1546至施加器的气体入口1544的气体流。气体源可包括氧化气体、不活跃气体、用于氮化的氮气与蚀刻气体中的一种或多种,该些气体可在单独的储槽或储存槽中。
流动控制器1554连接至阀1550,根据将要执行的工艺,在阀1550的不同位置之间转换该阀。流动控制器可作为质量流控制器,并且将流动控制器耦接至源气体1546与气体入口1544之间,以调节向等离子体施加器1502的气体流动。流动控制器1554也以类似于控制阀1550与1551的方式作用,用以由气体源1546或1552提供适当的工艺气体流至工艺腔室。
将自由基出口1562设置在气体入口1544的对侧上。在一个实施例中,将自由基出口1562耦接至入口元件1542,以提供等离子体1564的自由基至RTP设备1500的腔室1506中。自由基出口1562通常具有比气体入口1544更大的直径,容许以所需的流动速率将经激发的自由基进行有效地放电,且缩小介于自由基与管件1505之间的接触。主要可通过气体入口流动、管件1505与自由基出口1562的尺寸以及在等离子体施加器1502中的压力来确定由等离子体施加器1502所产生并放电的自由基流动速率。
工艺腔室中的压力应小于施加器中的压力。施加器中的压力可介于约1.0至8.0Torr之间时,工艺腔室中的压力介于约0.50至4.0Torr之间。举例来说,假如在施加器中的压力为约2.00Torr,那么在工艺腔室中的压力应为约1.00Torr。
能量源入口1566位于主体1503的气体入口1544与自由基出口1562之间的位置上。能量源入口1566允许将激发能由能量源1504引入到管件1505中,该激发能例如为具有微波频率的能量。在微波频率的情况中,激发能移动进入等离子体施加器1502的主体1503中,并且穿过管件1505来激发气体源成为等离子体,该气体源在平行于能量源入口1564的方向中移动。
在一个实施例中,能量源1504是由磁控管1568、绝缘体与虚拟负载1570所组成,该虚拟负载1570提供阻抗匹配。磁控管1568产生激发能,例如,电磁频率或感应耦合频率。磁控管可产生介于1.5至6.0千瓦之间的2.54GHZ的微波能量。适合的磁控管组件可由位于加州圣克拉拉市的Applied Sciences andTechnology所购得。
将来自磁控管1568的激发能引导穿过绝缘体、虚拟负载1570与波导管1548a与1548b至管件1505。就某方面来说,虚拟负载1570扮演像是夹具阀的角色,以容许能量在朝向施加器1502方向中流动但不会朝向磁控管1568。
自动调谐器1572位于等离子体施加器1502与波导1548b之间。自动调谐器1572将由施加器1502所反射的辐射重新导回朝向等离子体施加器,以增加施加至等离子体施加器1502的功率。自动调谐器1572也将微波功率集中在管件1505的中心,使得该能量更优先地被供应给施加器的气体所吸收。虽然使用自动调谐器是优选的,但可使用手动调谐器。
例如,以软件指令逻辑的形式,将控制信号产生逻辑1555提供至系统控制器1556,该软件指令逻辑为储存在计算机可读取介质(例如系统控制器1556的内存1557)中的计算机程序。除其它事物之外,计算机程序包括一系列的指令,该指令支配时序、气体流速、腔室压力、腔室温度、RF功率水平、能量源调节以及其它特定工艺的参数。通过处理器1559中的系统控制器1556来执行计算机程序。因此,可操作指令来支配时序、气体流速、腔室压力、腔室温度、RF功率水平、能量源调节以及其它参数,以执行在此所述的循环氧化与蚀刻工艺。在图14中的设备可进一步包括上面对于图13B所述的冷却回路,该冷却回路与控制器系统连通。
图15说明环型源等离子体浸没式离子植入反应器的一个实施例,该反应器例如,但不限制为,可由应用材料公司所购得的P3I反应器。在转让给本发明申请人的美国专利案No.7,166,524中描述此适合的反应器以及操作方法。
参考图15,环型源等离子体浸没式离子植入(P3I)反应器1600可包括圆柱型真空腔室1602,该圆柱型真空腔室1602以圆柱型侧壁1604与圆盘状顶棚来界定。位于腔室底盘上的晶圆支撑基座1608支撑待被处理的半导体晶圆1610。位于顶棚1614上的气体分配板或喷淋头1612将来自气体分配面板1616的工艺气体接收于该气体分配板或喷淋头1612的气体歧管1614中,该气体分配面板1616的气体输出可为来自一种或多种个别气体供应1618的气体中的任何一种气体或气体混合物。真空泵1620耦接至界定在晶圆支撑基座1608与侧壁1604之间的抽取环状空间1622。处理区域1624界定在晶圆1610与气体分配板1612之间。
一对外部回流导管1626、1628为通过处理区域的等离子体流建立了回流的环型路径,该环型路径与处理区域1624相交。每一个导管1626、1628都具有一对耦接至腔室对侧的末端1630。每一个导管1626、1628为中空导电管件。每一个导管1626、1628具有D.C.绝缘环1632,该D.C.绝缘环1632避免在导管的两个末端之间形成封闭的回路导电路径。
以环形磁芯1634围绕每一个导管1626、1628的环形部分。将围绕该芯1634的激磁线圈1636透过阻抗匹配器件1640耦接至RF功率源1638。耦接至芯1636中各芯的两个RF功率源1638可为两个略为不同的频率。由RF功率产生器1638所耦接的RF功率在延伸穿过各导管1626、1628与穿过处理区域1624的封闭环状路径中产生等离子体离子流。该些离子流在各自的RF功率源1626、1628的频率下振荡。通过偏压功率产生器1642将偏压功率透过阻抗匹配电路1644施加至晶圆支撑基座1608。
可通过以下方式来执行等离子体形成以及随后的氧化物层形成:将工艺气体透过气体分配板1612导入腔室1624以及将来自产生器1638的足够的源功率施加至回流导管1626、1628,以在导管与处理区域1624中产生环形等离子体流。通过RF偏压产生器1642所施加的晶圆偏压来测定接近晶圆表面的等离子体流量。通过等离子体密度来测定该等离子体速率或流量(由晶圆表面采样的每秒每平方厘米的离子数量),该等离子体密度可通过RF源功率产生器1638所施加的RF功率电平来控制。通过流量以及维持该流量的总时间两者来测定在晶圆1610上的累积离子剂量(离子/每平方厘米)。
假如晶圆支撑基座1608为静电夹具,那么在晶圆支撑基座的绝缘板1648中提供埋入式电极1646,且透过阻抗匹配电路1644将该埋入式电极1646耦接至偏压功率产生器1642。
在操作中,通过将晶圆1610放置在晶圆支撑基座1608,将一种或多种工艺气体导入腔室1602中以及由工艺气体冲击产生等离子体来完成在半导体晶圆上生成氧化物或氮化物层。可调整由RF偏压功率产生器1642所输送的晶圆偏压,以控制向晶圆表面的离子流量。
在上述关于图13A、14与15的任何一种设备中,在氧化期间,示例性的条件为:压力在约1mTorr至约10Torr的范围,功率在约1至5000Watt的范围,更特定而言,介于约1至3000Watt的范围,且温度在约0℃至约800℃的范围,更特定而言,介于约0℃至约500℃的范围。
示例性的蚀刻条件包括:腔室压力在约1mTorr至约10Torr的范围,功率在约1至5000Watt的范围且温度在约0℃至约800℃的范围。在特定实施例中,在约30℃+/-5℃下以利用NH3/NF3化学物质的直接等离子体来进行蚀刻。通过在约1mTorr至约10Torr范围的压力下将基板加热至至少约100℃持续至少约1分钟来完成升华反应。可使用上述与图13A、14与15相关的腔室来完成该些条件,并执行上述的循环蚀刻与氧化和/或氮化工艺。
上述与图13A、14与15相关的任一腔室可包括系统控制器,所述系统控制器如上面对于图12所示的系统所述来控制腔室操作。因此在操作中,系统控制器能够由各自的系统进行数据收集并反馈,该各自的系统例如为气体源、等离子体源、加热源以及其它用来最佳化腔室工具性能的组件。因此,气体源可包括与系统控制器连通的体积或质量流控制器,该系统控制器可控制在腔室中的气体流增加或减少以及压力的增加或减少。与等离子体源连通的系统控制器可改变腔室的等离子体源的功率、偏压以及其它等离子体参数。不论加热源为下面关于图16和17所述类型的经加热的喷淋头、电阻式加热器、灯源或激光源,系统控制器也与该加热源连通。此外,系统控制器可与冷却系统为操作性连通,该冷却系统用于冷却腔室壁、基板支撑件或其它在腔室中的局部冷却源。系统控制器通常包括中央处理单元(CPU)、内存以及支持电路。该CPU可为任何一种可用于工业设定的通用型计算机处理器。通常将支持电路耦接至CPU且该支持电路可包括高速缓存、时钟电路、输入/输出子系统、电源等等。当通过CPU来执行软件例程(例如用于执行形成上述浮置栅极的方法的一个例程)时,将CPU转换为特殊用途计算机(控制器)。也可通过位于远离该工具的第二控制器(未图示)来储存和/或执行该软件例程。透过使用系统控制器,可在图13A、14与15的腔室中循环地重复形成氧化物层和/或氮化物层以及蚀刻(通过等离子体以及升华)的步骤,直到形成具有预期材料厚度的氧化物和/或氮化物层。在图3A-3C、5A-5E、7A至-7D、8A-8B、10A-10D、11A-11C中描述了示例性的器件以及工艺程序,且该些工艺的任何一个可在图13A、14与15中所描述的单一腔室中执行。
根据一个或多个实施例,在该些腔室中可在少于约3分钟内完成氧化和/或氮化与蚀刻步骤的完整工艺程序。在特定实施例中,在该些腔室中可在少于约2分钟内完成氧化和/或氮化与蚀刻步骤的完整工艺程序,且在更特定实施例中,在该些腔室中可在少于约1分钟内完成氧化和/或氮化与蚀刻步骤的完整工艺程序,例如45秒或30秒。以往认为,如此的处理时间无法在单一腔室中完成,该单一腔室同时需要蚀刻化学物质、氧化和/或氮化化学物质以及由约100℃或更高的温度至低于约100℃(例如低于约50℃,更具体为低于约40℃,例如约30℃+/-5℃)的快速循环能力,以完成至少一个氧化和/或氮化与蚀刻的单一工艺程序。
在材料表面上方仅几微米的精确热控制可帮助制造具有上述类型的超窄特征的器件,该超窄特征可具有浅且陡峭的结。为了达到此目的,在上述关于图13A、14与15的系统中需要包括灯具或激光加热部分。在一个或多个实施例中,配置来自灯具或激光的光,使得由灯具所发射的光能可以以一入射角度接触晶圆,该入射角可使被处理的材料的吸收最佳化。由本发明所处理的材料可与单一波长源或多个波长的光接触,使得通过经加热的材料有效地吸收一部分的波长。适合的光源包括激光或各种非相干散射的光源,例如灯具、钨丝卤素灯等等。
已发展出脉冲式激光加热处理,该脉冲式激光加热处理使用短脉冲(例如,20ns)的激光辐射,该激光辐射聚焦在被处理器件的缩小的面积上。理想地,该脉冲与位于20mm乘30mm附近的光学步进视野尺寸相同。激光脉冲的总能量是足以立即将被照射面积加热至高温。之后,由浅激光脉冲所产生的小体积热能快速地扩散至被处理材料的未加热的下部部分,由此大幅增加被照射表面区域的冷却速率。可在每秒数百脉冲的重复速率下脉冲多种类型的高功率激光。以重复步进的方式在被处理的材料表面上方移动激光,且在附近区域脉冲该激光以对被处理材料的整个表面热处理。已开发出更新类型的激光热处理装备,在该装备中,将具有长尺寸与短尺寸的连续波(CW)激光辐射的窄线形光束沿着该短尺寸(也即,垂直于该线)的方向于被处理的材料上方进行扫描。该线宽度足够小且该扫描速率足够快至使得经扫描的辐射线在表面上产生非常短的热脉冲,该热脉冲之后可快速垂直扩散至基板且水平扩散至较低温度的表面区域。该工艺被称为热流退火。美国专利案号No.6,987,240揭露了使用沿着光束的长方向排列的激光二极管条来产生激光辐射。这些激光二极管条一般是由GaAs或类似的半导体材料所组成,且由形成在光电芯片的相同层中的多个激光二极管所组成。在美国专利案号No.6,987,240所揭露的GaAs激光条在约808nm的波长下发射近红外线辐射,该近红外线辐射与硅具有良好耦合。因此,根据一个或多个实施例,可使用灯具辐射、经脉冲激光、连续波激光和/或激光二极管,以选择性地氧化材料层表面,而形成氧化物层和/或蚀刻该氧化物层。
近来,已认识到除了GaAs二极管以外的激光源也具有优点,例如,二氧化碳激光,且已建议使用双重激光源。例如,美国专利案号No.7,279,721揭露了可使用双重激光源系统来选择性地氧化材料层表面,以形成氧化物层和/或蚀刻该氧化物层。
现参照图16与图17,示出了揭露在美国专利案号No.7,279,721中的双重源光系统的示例性实施例。图16示出了本发明的一个实施例的简化示意图。将晶圆1720或其它基板固定在载台1722上,在系统控制器1724的控制下,在一个或两个方向中以马达驱动该载台1722。相对短波长激光1726(例如,GaAs激光条)在短于约1.11μm的硅能带间隙波长的波长下发射可见光或近可见光的连续波(CW)光束1728。对于GaAs激光1726而言,发射波长通常为约810nm,可表征为红光。第一光学器件1730使该光束1728聚焦并塑形,且反射器1732将光束1728以相对宽的活性光束(activating beam)1734重新导向晶圆1720,也在图17的平面图中说明。活性光束1734可以以某一角度(例如相对于晶圆呈15度)倾斜,以避免光束反射回到GaAs激光1726。此经反射的辐射会缩短激光二极管的使用期限。长波长激光1740(例如,CO2激光)在长于硅能带间隙波长(1.11μm)的波长下发射红外线连续波(CW)光束1742。在特定实施例中,CO2激光在接近10.6μm的波长下发射。第二光学器件1744使该CO2光束1742聚焦并塑形,且第二反射器1746将CO2光束1742反射为相对窄的加热光束1748。在特定实施例中,将CO2加热光束1748相对于基板以布鲁斯特角度(Brewster angle)倾斜,该布鲁斯特角度对于二氧化硅而言约72度,因此可将加热光束1748最大化耦合至基板1720。因为在基板1720中的折射光束与任何反射光束之间的角度为90度,而未产生反射的辐射,所以布鲁斯特角度的入射角对于p-极化辐射(也即,沿着基板1720表面被极化的辐射)来说是最有效的。因此,在CO2光束1718中,s-极化光比p-极化光更可有益地被抑制。然而,实验显示出在以离基板法线40度(+/-10度)为中心的20度辐射锥对于各种图案产生约3.5%的可变吸收值,该吸收值与以布鲁斯特角度为中心的圆锥所达到的2.0%几乎一样好。如图17所说明,将长波长(CO2)加热光束1748定位在该短波长(可见光)活性光束1734上且优选地居中定位在该短波长(可见光)活性光束1734上。随着载台1722相对于包括激光1726、1740与光学元件1730、1732、1744、1746的光源1750来移动基板,光束1734与1748两者同步扫描横跨基板1720。或者,可将基板1720稳定地固定,同时根据来自控制器1724的信号,致动器1752在平行于基板1720表面的一个或两个方向中移动所有或一部分的光源1750。
对于红外线加热光束1748与可见光活性光束1734两者而言,在基板1720上的光束形状为基本上矩形或至少为极近椭圆。应理解,因为事实上光束具有延伸超过所说明形状的有限尾端,所以所描述的光束形状为图示性的且代表中心强度的一些部分。另外,由于光束1734、1748两者相对于基板1720同步移动,因此优选该红外线光束1748几乎在较大可见光光束1734的中心。
一般作用为,较大可见光光束1734(该较大可见光光束1734在硅中会大幅度地衰减)在通常接近晶圆表面的稍大区域中产生自由载子。较小红外线光束1748(该较小红外线光束1748不会被未照射的硅所吸收)与可见光光束1734所产生的自由载子进行交互作用,且有效吸收该红外线光束的较长波长辐射并转换为热,由此快速提升在红外线光束1748面积中的温度。
主要可通过较小红外线光束1748的尺寸来测定温度的斜线上升率与扫描速率,而较大可见光光束1734应包括较小红外线光束1748。在扫描方向中的较小红外线光束1748的宽度可部分确定温度的斜线上升率且在大部分应用中可缩小该较小红外线光束1748的宽度。垂直于扫描方向的较小加热光束1748的长度应足够大,以在基板的相当大的部分上延伸,且因此可在一次通过后使该相当大的部分退火。通常,线形光束的长度至少为线形光束宽度的10倍。优选地,该长度等于或略大于基板直径。然而,对于商业上可行的应用来说,该长度可为毫米等级。在晶圆上的较小加热光束1748的示例性尺寸为0.1mm X 1mm,但可使用其它尺寸。通常期望有较小的宽度,例如,少于500μm或少于175μm。较大活性光束1734可大于加热光束1748,例如,大1mm,使得在示例性的尺寸设定中,较大活性光束1734可在扫描方向中延伸约1mm,且在垂直方向中延伸几毫米。
该双重波长造成在吸收可见光辐射的表面区域中集中更多的红外线吸收。表面区域的深度小于CO2辐射本身的吸收长度。可见光辐射在硅中的室温衰减深度在可见光光谱中随着波长降低而快速的减少,例如,对于800nm的辐射而言该吸收深度约10μm,对于600nm的辐射而言约3μm,且对于500nm的辐射而言约1μm。因此,较短激发波长仅对于在非常接近晶圆表面处产生自由载子以限制接近表面的热能而言是有利的。因此,对于一些应用来说,期望均匀的较短激发波长,例如来自倍频Nd:YAG激光的532nm辐射,可以表征为绿光。
应理解,上述的光源系统不需要包括双重光源,且在一些实施例中,可使用单一光源。假如根据一个或多个实施例使用光源系统来加热基板上的材料层,该光源系统可与在本说明书中上述或以下任何一个腔室的系统控制器连通,且可通过系统控制器来控制材料表面的加热,该系统控制器可控制光源的各种工艺参数,例如光源的功率以及材料层暴露至光的持续时间。
在其它实施例中,可使用改良的干式蚀刻腔室来执行氧化物材料表面的循环氧化与蚀刻。示例性的腔室为可由应用材料公司所购得的SICONITM,将在图18-20说明。
图18为显示说明性工艺腔室1800的部分截面图。工艺腔室1800可包括腔室主体1801、盖组件1840以及支撑组件1820。盖组件1840设置在腔室主体1801的上端,且该支撑组件1820至少部分设置在腔室主体1801中。腔室主体1801可包括狭缝阀开口1811,该狭缝阀开口1811形成在腔室主体1801的侧壁中,以提供出入口给工艺腔室1800的内部。狭缝阀开口1811选择性地开启与关闭,以允许进出该腔室主体内部。
腔室主体1801可包括形成在该腔室主体1801中的通道1802,用于经由该通道1802流动热传输流体。热传输流体可为加热流体或冷却剂,且在处理以及基板传输期间用于控制腔室主体1801的温度。示例性的热传输流体包括水、乙二醇或者水和乙二醇的混合物。示例性的热传输流体也可包括氮气。
腔室主体1801可进一步包括衬垫1808,该衬垫1808围绕该支撑组件1820。衬垫1808是可移动的,用于进行保养与清洁。衬垫1808可由诸如铝的金属制成或可由陶瓷材料制成。然而,衬垫1808可为任何工艺兼容的材料。可将衬垫1808进行珠磨以增加沉积在衬垫1808上的任何材料的附着性,由此可避免材料的剥落造成工艺腔室1800的污染。衬垫1808可包括一个或多个孔1809与形成在衬垫1808中的抽取通道106,该抽取通道与真空系统流体连通。孔1809提供流动路径给进入抽取通道1806的气体,该抽取通道1806提供排出口给工艺腔室1800中的气体。
真空系统可包括真空泵1804与节流阀1805,以调节通过工艺腔室1800的气体流动。真空泵1804耦接至设置在腔室主体1801上的真空埠1807,且因此与形成在衬垫1808中的抽取通道1806流体连通。
孔1809允许抽取通道1806与腔室主体1801中的处理区1810流体连通。以盖组件1840的下表面与支撑组件1820的上表面界定处理区1810,且利用衬垫1808围绕处理区1810。可将孔1809的尺寸设计为与衬垫1808一致且均匀放置在衬垫1808周围。然而,可使用任何数量、位置、尺寸或形状的孔,并且可根据在基板接收表面上所期望的气体流动图案来改变每一个设计参数,以下将更详细讨论。此外,可配置孔1809的尺寸、数量以及位置,以实现离开工艺腔室1800的均匀气体流动。另外,可配置孔尺寸与位置,以提供快速或高容量的抽取来帮助由工艺腔室1800快速的排出气体。例如,接近真空埠1807的孔1809数量与尺寸可小于距离真空埠1807较远处的孔1809的数量与尺寸。
更详细地说明盖组件1840,图19示出盖组件1840的放大截面图,可将该盖组件1840设置在腔室主体1801的上端。参照图18与图19,盖组件1840包括许多堆叠在彼此上方的部件,用以在该些部件之间形成等离子体区域或腔。盖组件1840可包括第一电极1841(“上电极”),该第一电极1841垂直地设置在第二电极1852(“下电极”)上方,而于第一电极1841与第二电极1852中限制出等离子体容积或腔1849。第一电极1841连接至功率源1844,例如RF电源,且第二电极1852接地,在这两个电极1841、1852之间形成电容。
盖组件1840可包括一个或多个气体入口1842(仅图示一个),该一个或多个气体入口1842至少部分形成在第一电极1841的上部部分1843中。一种或多种工艺气体透过一个或多个气体入口1842进入盖组件1840中。一个或多个气体入口1842在该一个或多个气体入口1842的第一末端处与等离子体腔1849流体连通,且在该一个或多个气体入口1842的第二末端处耦接至一个或多个上游气体源和/或其它气体输送部件,例如气体混合器。一个或多个气体入口1842的第一末端可在扩充段1846的内直径1850的最上方点处通入等离子体腔1849。类似地,一个或多个气体入口1842的第一末端可沿着扩充段1846的内直径1850以任何高度间隔通入等离子体腔1849。尽管未显示,但可将两个气体入口1842设置在扩充段1846的相对侧上,以创造旋涡流动图案或「涡流(vortex)」流动进入扩充段1846中,该旋涡流动图案或涡流可帮助混合等离子体腔1849中的气体。
第一电极1841可具有扩充段1846,该扩充段1846容纳等离子体腔1849。扩充段1846与上述气体入口1842流体连通。扩充段1846可为环形元件,具有由该扩充段1846的上部部分1847至该扩充段1846的下部部分1848逐渐增加的内表面或直径1850。如此,第一电极1841与第二电极1852之间的距离是可变的。此可变距离帮助控制在等离子体腔1849中产生的等离子体形成与稳定性。
扩充段1846类似于圆锥或「漏斗」,如图18与图19所示。扩充段1846的内表面1850可由扩充段1846的上部部分1847至下部部分1848逐渐倾斜。内直径1850的倾斜度或角度可根据工艺需要和/或工艺限制来改变。扩充段1846的长度或高度也可根据特定工艺需要和/或限制来改变。内直径1850的倾斜度或扩充段1486的高度或此两者可根据工艺所需的等离子体容积来改变。
不希望受到理论的约束,相信两个电极1841、1850之间的距离变化允许在等离子体腔1849中形成的等离子体在假如不遍及整个等离子体腔1849的情况下,可获得所需要的功率电平,用以在等离子体腔1849的一些部分中维持等离子体本身。因此,在等离子体腔1849中的等离子体受压力影响较小,而允许在较宽的操作窗口中产生并维持等离子体。如此,可在盖组件1840中形成可重复的且更可靠的等离子体。
第一电极1841可由任何工艺可兼容的材料所构成,例如铝、阳极化的铝、镀镍的铝、镀镍的铝6061-T6、不锈钢及这些材料的组合与合金。在一个或多个实施例中,将整个第一电极1841或一部分的第一电极1841涂布镍,以降低不需要的粒子形成。优选地,至少扩充段1846的内表面1850电镀镍。
第二电极1852可包括一个或多个堆叠板。当需要两个或更多个板时,该些板应彼此电气连接。每一个板应包括多个孔或气体通路,以允许来自等离子体腔1849的一种或多种气体流动穿过该多个孔或气体通路。
盖组件1840可进一步包括绝缘体环1851以电气隔绝该第一电极1841与该第二电极1852。绝缘体环1851可由氧化铝或任何其它具绝缘性、工艺兼容的材料所制成。优选地,绝缘体环1851至少围绕或至少基本上围绕该扩充段1846。
第二电极1852可包括顶端板1853、分配板1858以及阻碍板1862,该阻碍板1862将工艺腔室中的基板与等离子体腔隔开。顶端板1853、分配板1858以及阻碍板1862堆叠且设置在与腔室主体1801连接的盖缘1864上,如图18所示。如在此技术领域中所得知,可使用铰链组件(未图示),将盖缘1864耦接至腔室主体1801。盖缘1864可包括内嵌式通道或通路1865,用于容纳热传输介质。热传输介质可根据工艺需求用于加热、冷却、或两者。
顶端板1853可包括形成在等离子体腔1849下方的多个气体通路或孔1856,以允许来自等离子体腔1849的气体流动穿过该多个气体通路或孔1856。顶端板1853可包括凹陷部1854,该凹陷部1854适用以容纳至少一部分的该第一电极1841。在一个或多个实施例中,孔1856穿过位于凹陷部1854下方的顶端板1853的截面。顶端板1853的凹陷部1854可为阶梯状(如图19所示),以提供凹陷部1854中较佳的密封接合。另外,可将顶端板1853的外直径设计为安装或支撑在分配板1858的外直径上,如图19所示。O-型环状密封件,例如,弹性O-型环1855,可至少部分设置在顶端板1853的凹陷部1854中,以确保与第一电极1841的流体密封接触。同样地,可使用O-型环状密封件1857,用以在顶端板1853的外边缘与分配板1858之间提供流体密封接触。
分配板1858基本上为盘型且包括多个孔1861或通路,以分配气体流动穿过该多个孔1861或通路。可设计孔1861的尺寸并将该孔1861设置在分配板1858的周围,以向处理区1810提供受控的且均匀的流动分配,在该处理区1810中设置有被处理的基板。另外,该孔1861通过减缓与重新导向流动气体的速度分布,以避免气体直接冲击在基板表面上,且均匀地分配气体流动,而在整个基板表面上方提供均匀的气体分布。
分配板1858也包括环形安装凸缘1859,该环形安装凸缘1859形成在该分配板1858的外周长上。可设计安装凸缘1859的尺寸以支撑在盖缘1864的上表面。O-型环状密封件,例如,弹性O-型环,可至少部分设置在环形安装凸缘1859中,以确保与盖缘1864的流体密封接触。
分配板1858可包括一个或多个内嵌式通道或通路1860,用于容纳加热器或加热流体,以提供盖组件1840的温度控制。可将电阻式加热元件插入通路1860中,以加热分配板1858。可将热电偶与分配板1858连接,以调节分配板1858的温度。可以反馈回路的方式来使用热电偶,以控制施加至加热元件的电流。
或者,可以使热传输介质流过通路1860。视需要,根据腔室主体1801中的工艺需求,该一个或多个通路1860可含有冷却介质,以更好地控制分配板1858的温度。如上所述,可使用任何热传输介质,例如,氮气、水、乙二醇或者前述介质的混合物。
可使用一个或多个加热灯具(未图示)来加热盖组件1840。将加热灯具设置在分配板1858的上表面的周围,通过辐射来加热包括分配板1858的盖组件1840的组件。
阻碍板1862是任选的,且可将该阻碍板1862设置在顶端板1853与分配板1858之间。优选地,将阻碍板1862可移动地安装至顶端板1853的下表面。阻碍板1862应与顶端板1853产生良好的热与电气接触。使用螺栓或相似的紧固件将阻碍板1862耦接至顶端板1853。也可将阻碍板1862螺纹固定或螺旋固定在顶端板1853的外直径上。
阻碍板1862包括多个孔1863以提供由顶端板1853至分配板1858的多个气体通路。设计孔1863的尺寸,且将该孔1863设置在阻碍板1862的周围,以提供受控的且均匀的流动分配至分配板1858。
图20示出了说明性的支撑组件1820的部分截面图。支撑组件1820可至少部分设置在腔室主体1801中。支撑组件1820可包括支撑件1822,该支撑件1822支撑基板用于在腔室主体1801中进行处理。将支撑件1822透过轴件1826耦接至升降机构1831,该轴件1826延伸穿过在腔室主体1801底部表面中形成的中心定位的开1803。利用伸缩囊1832将升降机构1831与腔室主体1801弹性密封,该伸缩囊1832可避免来自轴件1826周围的真空泄漏。升降机构1831允许支撑件1822在腔室主体1801中的处理位置与一较低的传输位置之间垂直移动。该传输位置略低于形成在腔室主体1801侧臂中的狭缝阀1811的开口。
在一个或多个实施例中,使用真空夹具将基板固定至支撑组件1820。顶端板1823可包括多个通孔1824,该多个通孔1824与形成在支撑件1822中的一个或多个凹槽1827流体连通。透过设置在轴件1826与支撑件1822中的真空导管1825,该凹槽1827与真空泵(未图示)流体连通。在某些条件下,当基板不设置在支撑件1822上时,可使用真空导管1825来施加净化气体至支撑件1822的表面上。该真空导管1825也可在处理期间通过净化气体,以避免反应性气体或副产物接触基板背侧。
支撑件1822可包括一个或多个贯穿支撑件1822的钻孔1829,以容纳升降销1830。通常每一个升降销1830是由陶瓷或包括陶瓷的材料所构成,且升降销1830是用于基板处理与运输。将每一个升降销1830滑动地安装在钻孔1829中。通过接合设置在腔室主体1801中的环形升降环1828,使得该升降销1830在该该升降销1830的各自的钻孔1829中是可移动的。升降环1828是可移动的,当升降环1828位于上方位置时,使得升降销1830的上表面可设置在支撑件1822的基板支撑表面上方。相反地,当升降环1828位于下方位置时,升降销1830的上表面位于支撑件1822的基板支撑表面下方。因此,当升降环1828由下方位置移动至上方位置时,每一个升降销1830的部分穿过位于支撑件1822中该每一个升降销1830的各自的钻孔1829。
当致动时,升降销1830推着基板2140的下表面,将基板升高离开支撑件1822。相反地,可将升降销1830去致动以降低基板,由此将基板支撑在支撑件1822上。
支撑组件1820可包括边缘环1821,该边缘环1821设置在支撑件1822周围。边缘环1821为环形部件,适用以覆盖支撑件1822的外周围并且保护支撑件1822。将边缘环1821设置在支撑件1822上或与支撑件1822相邻,用以在支撑件1822的外直径与边缘环1821的内直径之间形成环形净化气体通道1833。环形净化气体通道1833与贯穿支撑件1822与轴件1826形成的净化气体导管1834流体连通。优选地,净化气体导管1834与净化气体供应器(未图示)流体连通,以提供净化气体至净化气体通道1833。在操作中,净化气体流动穿过导管1834进入净化气体通道1833,且围绕设置在支撑件1822上的基板边缘。因此,与边缘环1821共同操作的净化气体可避免在边缘和/或基板背侧的沉积。
通过循环穿过嵌入支撑件1822主体中的流体通道1835的流体来控制支撑组件1820的温度。流体通道1835可与热传输导管1836流体连通,该热传输导管1836设置穿过支撑组件1820的轴件1826。将流体通道1835沿着支撑件1822设置,以提供均匀的热传输至支撑件1822的基板接收表面。流体通道1835与热传输导管1836可流动热传输流体,以加热或冷却支撑件1822。支撑组件1820可进一步包括嵌入式热电偶(未图示),用于监控支撑件1822的支撑表面的温度。
在操作中,可将支撑件1822升高至接近盖组件1840,以控制被处理的基板温度。如此,透过由加热元件1860所控制的分配板1858所发射的辐射来加热该基板。或者,通过使用升降环1828所致动的升降销1830,将基板升高远离支撑件1822至接近加热的盖组件1840。
改良的腔室可进一步包括氧化气体供应器,且该氧化气体供应器与辅助气体入口1892流体连通,用以提供氧化气体至腔室1800中(如图18所示),氧化气体例如,O2、N2O、NO与前述气体的组合。在图19所示的一替代实施例中,氧化气体供应器1890与等离子体容积或腔1849中的辅助气体入口1893流体连通。在另一变化例中(未图示),氧化气体可与远程等离子体源连接,该远程等离子体源于远离腔室1800处产生氧化等离子体且将氧化等离子体传送进入腔室1800中。还原气体供应器1894可通过还原气体入口1896,将诸如氢气的还原气体供应至腔室1800中。其它气体供应器可包括不活跃气体供应器与入口(未图示),以传送不活跃气体,例如氦气、氩气以及其他气体。该系统也可包括氮源气体,用于在材料层上执行氮化反应。可通过与系统控制器(未图示)连接的质量或体积流动控制器来调节这些气体中每一种的流动。
在腔室1800的另一变化例中,可使用与上面对图16与图17所述类型的灯具或激光加热特征来快速加热被处理的器件。另外,可使用上面对图13B所述类型的冷却系统,以快速冷却支撑件1822与基板的温度,而在基板的材料层上执行上述的循环氧化与蚀刻工艺。与腔室1800相关的加热与冷却系统与其它组件可操作性地连接至系统控制器,以控制各种系统参数。期望地,该系统控制器可控制工艺在少于约3分钟内完成氧化和/或氮化与蚀刻步骤的完整工艺程序。在特定实施例中,可在少于约2分钟内于腔室中完成氧化和/或氮化与蚀刻步骤的完整工艺程序,且在更特定实施例中,可在少于约1分钟内,例如45秒或30秒,于腔室中完成氧化和/或氮化与蚀刻步骤的完整工艺程序。
以下将描述于工艺腔室1800中执行的示例性干式蚀刻工艺,该干式蚀刻工艺使用氨(NH3)与三氟化氮(NF3)气体混合物来移除氧化物层。参照图18与图20,该干式蚀刻工艺开始于将基板放置在处理区1810中。通常透过狭缝阀开口1811将基板放置在腔室主体1801中,且将基板设置在支撑件1822的上表面上。将基板夹持在支撑件1822的上表面,且将边缘净化气体通过通道1833。通过透过导管1825与真空泵流体连通的孔1824与凹槽1827来抽取真空,将基板固持在支撑件1822的上表面。假如支撑件1822并未在处理位置上时,那么将支撑件1822升高至腔室主体1801中的处理位置。将腔室主体1801维持在50℃至80℃之间的温度,优选为约65℃。通过将热传输介质通过流体通道1802来维持腔室主体1801的温度。
通过将热传输介质或冷却剂穿过在支撑组件1820中形成的流体通道1835,而将该基板(可具有一个或多个与上述图3A-3C、5A-5E、7A-7D、8A-8B、10A-10D或11A-11C相关类型的材料层)冷却至低于65℃,例如介于15℃至50℃之间。在一个实施例中,将基板维持在低于室温。在其它实施例中,将基板维持在介于22℃至40℃之间的温度。通常,将支撑件1822维持在低于约22℃,以达到上述预期的基板温度。为了冷却支撑件1822,将冷却剂通过该流体通道1835。连续的冷却剂流提供支撑件1822更好的温度控制。或者,使用与图13B相关类型的系统来冷却基板。
接着将氨与三氟化氮气体引入腔室1800中,以形成清洁气体混合物。每一种引入腔室中的气体量是可改变的且可被调整以适应,例如,被移除的氧化物层厚度、进行清洁的基板或其它材料表面的几何形状、等离子体的体积容量、腔室主体1801的体积容量以及耦接至腔室主体1801的真空腔室的容量。在一个方面中,添加该气体以提供气体混合物,该气体混合物具有氨:三氟化氮为至少1:1的摩尔比。在另一方面中,气体混合物的摩尔比至少为约3:1(氨:三氟化氮)。在特定实施例中,将气体以5:1(氨:三氟化氮)至30:1的摩尔比引入该腔室100中。更特定地,在一些实施例中,气体混合物的摩尔比为约5:1(氨:三氟化氮)至约10:1。气体混合物的摩尔比也落在约10:1(氨:三氟化氮)至约20:1。
也可将净化气体或载体气体添加至气体混合物中。可使用任何适合的净化/载体气体,例如氩气、氦气、氢气、氮气或前述气体的混合物。在一些实施例中,整个气体混合物有体积约0.05%至约20%的氨与三氟化氮,剩余部分为载体气体。在一个实施例中,在反应性气体进入腔室主体1801之前,先将净化或载体气体导入腔室主体1801中,以稳定腔室主体1801中的压力。
腔室主体1801中的操作压力是可改变的。在一些实施例中,将压力维持在约500mTorr至约30Torr之间。在特定实施例中,将压力维持在约1Torr至约10Torr之间。在一个或多个实施例中,将腔室主体1801中的操作压力维持在约3Torr至约6Torr之间。
在一些实施例中,将约5至约600Watt的RF功率施加至第一电极1841,以将等离子体腔1849中的气体混合物等离子体点火。在一特定实例中,RF功率小于100Watt。在一更特定的实施例中,施加功率的频率是相对低的,例如低于100kHz。在特定实施例中,该频率是介于约50kHz至约90kHz的范围中。因为下方电极1853、阻碍板1862与分配板1858的缘故,使得在等离子体腔1849中被点火的等离子体不会与处理区1810中的基板接触,而是被捕获到等离子体腔1849中。因此,可将等离子体相对于处理区1810而远程产生在等离子体腔1849中。即,工艺腔室1800提供两个分开的区域:等离子体腔1849与处理区1810。就形成在等离子体腔1849中的等离子体来说,这些区域不会彼此连通,但对于形成在等离子体腔1849中的反应性物种来说,这些区域彼此连通。具体地来说,由等离子体所生成的反应性物种可经由孔1856离开等离子体腔1849、穿过阻碍板1862的孔1863并经由分配板1858的孔1861进入处理区1810中。
等离子体能量将氨与三氟化氮气体解离为反应性物种,该反应性物种结合形成高反应性的气相氟化铵(NH4F)化合物和/或氟化氢铵(NH4F·HF)。这些分子流动穿过孔1856、1863与1861,与基板上的材料层的氧化物层反应。在一个实施例中,首先将载体气体引入腔室1800中,在等离子体腔1849中产生载体气体的等离子体,然后将反应性气体、氨与三氟化氮添加至等离子体中。如前所述,形成在等离子体腔1849中的等离子体不会抵达设置在处理区域或处理区1810中的基板。
不希望被理论所束缚,相信蚀刻剂气体、NH4F和/或NH4F·HF与二氧化硅表面反应,以形成产物六氟硅酸铵((NH4)2SiF6)、NH3与H2O。NH3与H2O在工艺条件下为蒸气,且通过真空泵1804将NH3与H2O由腔室1800移除。更特定而言,在气体离开腔室1800穿过真空埠1807进入真空泵1804之前,该挥发性气体流动穿过形成在衬垫1808中的孔1809而进入抽取通道1806中。在进行处理的材料层表面的背面处留下(NH4)2SiF6薄膜。此反应机制可总结如下:
NF3+NH3→NH4F+NH4F·HF+N2
6NH4F+SiO2→(NH4)2SiF6+H2O
(NH4)2SiF6+热→NH3+HF+SiF4
在基板表面上形成薄膜之后,将具有基板支撑在其上方的支撑件1822升高至退火位置,该退火位置接近被加热的分配板1858。由分配板1858所辐射的热应足以将(NH4)2SiF6薄膜解离或升华为挥发性的产物SiF4、NH3以及HF。接着,通过上述的真空泵1804将这些挥发性产物由腔室中移除。实际上,由基板上的材料层将薄膜汽化或蒸发,留下暴露的氧化物表面。在一个实施例中,使用75℃或更高的温度以有效地由材料表面升华并移除薄膜。在特定实施例中,使用100℃或更高的温度,例如介于约115℃至约200℃之间的温度。
通过分配板1858来传送或辐射将(NH4)2SiF6薄膜解离为(NH4)2SiF6的挥发性组成的热能。如上所述,加热元件1860可直接耦接至分配板1858,并且将加热元件1860致动,用以将分配板1858以及与加热元件1860热接触的部件加热至介于约75℃至约250℃之间的温度。在一方面中,将分配板1858加热至介于约100℃至约200℃之间的温度,例如,约120℃。
升降机构1831可朝向分配板1858的下表面升高支撑件1822。在此升降步骤期间,将基板固定至支撑件1822,例如通过真空夹具或静电夹具。或者,将基板升高离开支撑件1822,且透过升降环1828将升降销1830升高,而将基板放置在接近加热的分配板1858。
基板(该基板上方具有薄膜)上表面与分配板1858之间的距离可通过实验来确定。为有效地蒸发薄膜而不会损坏下层基板所需的间距将取决于几个因素,该些因素包括,但不限制于,膜的厚度。在一个或多个实施例中,介于约0.254mm(10mils)至5.08mm(200mils)之间的间距是有效的。此外,气体的选择也会影响间距。
在蚀刻期间,期望将基座维持在相对低的温度,例如,在约20℃至约60℃的范围中,低于约50℃,具体为,低于约45℃、低于约40℃或低于约35℃。在特定实施例中,在腔室1800中进行蚀刻期间,将温度维持在约30℃+/-约5℃,以帮助凝结蚀刻剂并控制蚀刻反应的选择性。移除膜层或氧化物层可进一步包括:使用升降机构1831将支撑件1822朝向分配板1858的下表面升高。或者,将基板升高离开支撑件1822,且透过升降环1828将升降销1830升高,而将基板放置在接近加热的分配板1858。期望将分配板加热至超过约100℃的温度,使得被蚀刻的材料表面可加热至高于约100℃。在特定实施例中,将分配板1858加热至至少约140℃、至少约150℃、至少约160℃、至少约170℃、至少约180℃、至少约140℃,以确保材料表面达到足以升华SiO2的温度。因此,在腔室1800中,一个非限制性的干式蚀刻工艺实例可包括:将氨(NH3)或三氟化氮(NF3)气体、或无水氟化氢(HF)气体混合物与远程等离子体施加在等离子体容积1849中,在低温(例如,约30℃)下,氨或三氟化氮气体或无水氟化氢气体混合物与远程等离子体凝结在SiO2上,并且反应形成随后可在中等温度(例如,大于100℃)于腔室1800中升华的化合物,用以蚀刻SiO2。该升华可完成材料表面的蚀刻,且可通过真空泵1804将副产物移除。期望将腔室壁的温度维持在介于基板支撑件的温度与气体分配板的温度之间,以避免蚀刻剂与副产物凝结在腔室1800的该些壁上。
一旦将膜或氧化物层从材料表面移除,该材料表面即准备进行后续的氧化工艺,以形成氧化物层。将干式蚀刻处理器1832进行净化与抽空。可通过将不活跃气体直接穿过气体入口或穿过分配板1858而流动进入工艺腔室来完成净化,该不活跃气体例如氮气、氢气或氩气。接着,利用氧化工艺进一步处理材料层以形成氧化物层。应理解,不需要先执行由材料表面移除膜层或氧化物层的步骤。由与图3A-3C、5A-5E、7A-7D、8A-8B、10A-10D或11A-11C相关的工艺描述可理解到,在一些实施例中,在由材料层移除一部分的氧化物层或膜层之前,可执行将材料层表面氧化以形成氧化层的步骤。
在一个实施例中,在腔室1800中形成氧化物层。在其它实施例中,在狭缝阀开口1811外侧的负载锁定区域(未图示)中形成氧化物层。
在腔室1800中形成氧化物层的实施例中,氧化气体供应器1890将氧化气体直接透过入口1892流入腔室中。适当的氧化气体可包括氧气、臭氧、H2O、H2O2中的一或多种、或氮氧化物物种,例如,N2O、NO或NO2。在适当低压下将氧化气体导入腔室中。接着,将腔室加热至适当温度使得氧化物层可生长在材料表面上。在一个或多个实施例中,将腔室温度加热至约200℃至约800℃的范围中。在特定实施例中,将腔室加热至约300℃至约400℃的范围中。上述是为了促进在材料上的氧化反应,该材料将被处理形成材料层,例如与上述图3A-3C、5A-5E、7A-7D、8A-8B、10A-10D或11A-11C的描述相关。
在一替代实施例中,透过冷却的支撑件1822导入氧化气体,例如氧气或其它氧化气体中的一种,该氧化气体穿过在支撑件中的气体通道以减少氧化气体在接触材料表面之前产生氧化气体的过早分解,在该材料表面上将形成氧化物层。
在另一替代实施例中,氧化气体供应器1890经由气体入口(未图示)与等离子体容积1849流体连通,且通过氧等离子体的导入可在基板的材料表面上形成氧化物层。在另一替代实施例中,在与腔室1800流体连通的远程等离子体氧化源中形成氧化等离子体,与图13所示的配置类似。通过将氮气施加至远程等离子体源也可形成远程氮化等离子体。在另一实施例中,可利用射频(RF)功率源(与图15所示的配置类似)将基板支撑件1822进行偏压。
因此,总结上述,可通过以下一种或多种方法在腔室1800中形成材料表面上的氧化物层:将氧化气体导入腔室中并加热材料表面,导入在远程等离子体源中形成的氧化等离子体,该远程等离子体源与等离子体容积1849分开,将氧化气体导入等离子体容积1849中且将氧化等离子体输送至支撑件1822上的基板,或使用经RF驱动的基板支撑件1822来形成等离子体且将氧化气体导入腔室中。在腔室中的示例性的与适合的压力在约1mTorr至约10Torr的范围。
又另一替代实施例中,可透过使用灯具或激光加热部件(与上述图16与17相关的类型)精确加热材料表面以形成氧化物层。可使用此灯具或激光加热部件,将进行处理的器件快速加热至0℃至1000℃范围内的温度。在一特定实施例中,可使用臭氧作为氧化气体,可将臭氧导入穿过气体入口或基板支撑件1822并且使用紫外光来启动光化学氧化反应。预期在狭缝阀1811外侧的负载锁定区域中执行此反应。
在氧化材料层表面而形成氧化物层之后,可以再次净化腔室1800以移除氧化气体与氧化反应的副产物。通过将不活跃气体流入腔室中和/或使用真空泵1804来完成净化。可在腔室1800中重复循环形成氧化物层与蚀刻(通过等离子体与升华作用)的步骤,直到形成具有期望材料厚度的氧化物层。示例性的器件与工艺程序的描述与上述图3A-3C、5A-5E、7A-7D、8A-8B、10A-10D或11A-11C相关,并且可在上述的单一腔室1800中执行任何工艺。
也可使用单一腔室快速热处理(RTP)设备来执行在腔室中循环重复形成氧化物层与蚀刻(通过等离子体与升华作用)的步骤,直到形成具有期望材料厚度的氧化物层。示例性的器件与工艺程序的描述与上述图3A-3C、5A-5E、7A-7D、8A-8B、10A-10D或11A-11C相关,并且可在图21中描述的单一腔室中执行任何工艺。图21示出快速热工艺腔室2100的示例性实施例。工艺腔室2100包括基板支撑件2104、腔室主体2102,该腔室主体2102包括壁2108、底部2110以及顶部2112,该底部2110以及顶部2112界定一内部容积2120。壁2108通常包括至少一个基板出入口2148,以帮助基板2140的进出(一部分的基板2140示出在图21中)。该出入口耦接至传输腔室(未图示)或负载锁定腔室(未图示),且该出入口可选择性地由阀密封,例如狭缝阀(未图示)。在一个实施例中,基板支撑件2104为环形且腔室2100包括辐射热源2106,该辐射热源2106设置在基板支撑件2104的内直径中。辐射热源2106通常包括多个灯具。可被改良的RTP腔室与会被使用的基板支撑件的范例描述在美国专利No.6,800,833以及美国专利申请公开号No.2005/0191044中。在本发明的一个实施例中,腔室2100包括反射板2200,该反射板2200整合有气体分配出口(以下将更清楚地描述),用以在基板上方均匀地分配气体,而允许快速且受控的基板加热与冷却。可将该板2200加热和/或冷却,以帮助上述的氧化和/或蚀刻。
该板可具吸收性、反射性或具有吸收与反射区域的组合。在一详细的实施例中,该板可具有多个区域,一些区域在高温计的视野内,一些区域在高温计的视野外。在高温计视野内的该些区域,假如为圆形,则可具有约为1英寸的直径,或根据需求具有其它形状与尺寸。在探针视野内的该些区域可在高温计所观察到的波长范围上具有非常高的反射性。在高温计波长范围与视野外,该板的范围可从将辐射热流失最小化的具反射性至将辐射热流失最大化的具吸收性以用于较短的热暴露。
显示在图21中的RTP腔室2100也包括冷却区块2180,该冷却区块2180与顶部2112相邻、与顶部2112耦接或形成在顶部2112中。通常,将冷却区块2180放置在远离辐射热源2106处并与辐射热源2106相对。冷却区块2108包括一个或多个冷却剂通道2184,该冷却剂通道2184与入口2181A以及出口2181B耦接。冷却区块2108可由工艺兼容的材料所制成,例如不锈钢、铝、聚合物或由陶瓷材料制成。冷却剂通道2184可包括螺旋图案、矩形图案、圆形图案或上述图案的组合,且例如,通过铸形冷却区块2180和/或由两个或更多个部件来制造冷却区块2180并将该些部件接合,而将通道2184一体形成在冷却区块2180中。此外或替代地,可将冷却剂通道2184钻入冷却区块2180中。
通过阀与适合的管系(plumbing)可将入口2181A与出口2181B耦接至冷却剂源2182,且该冷却剂源2182与系统控制器2124连通,以帮助控制设置在冷却剂源2182中的压力和/或流体流动。该流体可为水、乙二醇(ethylene glycol)、氮气(N2)、氦气(He)或其它作为热交换介质的流体。
在所示的实施例中,该基板支撑件2104任选地适用于磁力悬浮且在内部容积2120中旋转。当于工艺期间将基板垂直升高与降低同时,所示的基板支撑件2104是能够旋转的,且在工艺之前、工艺期间或工艺以后,也可将基板升高或降低而不旋转基板。因为缺少或减少通常用于升高/降低和/或旋转基板支撑件的移动部件,此磁力悬浮和/或磁力旋转可避免粒子产生或将粒子产生最小化。
腔室2100也包括窗口2114,该窗口2114是由可透热与透各种波长的光的材料所制成,该各种波长的光包括在红外线(IR)图谱中的光,经由该窗口2114来自辐射热源2106的光子可加热基板2140。在一个实施例中,窗口2114是由石英材料所制成,但可使用其它可透光的材料,例如,蓝宝石。窗口2114也可包括多个升降销2144,该升降销2144耦接至窗口2114的上表面,该升降销2144适用于选择性地接触并支撑该基板2140,以帮助传输基板进出腔室2100。配置该多个升降销2144中的每一个,以使来自辐射热源2106的能量吸收最小化,且该多个升降销2144中的每一个可由与窗口2114相同的材料所制成,例如石英材料。设置该多个升降销2144,并且彼此发射状地隔开,以帮助末端作用器的通过,该末端作用器耦接至传输机械臂(未图示)。或者,末端作用器和/或机械臂能够水平以及垂直地移动,以帮助传输基板2140。
在一个实施例中,辐射热源2106包括由一外壳所形成的灯具组件,该灯具组件包括在冷却剂组件(未图示)中的蜂巢状管体2160,该冷却剂组件耦接至第二冷却剂源2183。第二冷却剂源2183可为水、乙二醇、氮气(N2)以及氦气(He)之一或组合。外壳壁2108与2110可由铜材料或由其它适合材料所制成,该外壳壁2108与2110中形成有适合的冷却通道用于流动来自第二冷却剂源2183的冷却剂。该冷却剂使腔室2100的外壳冷却,使得外壳比基板2140还要冷。每一个管体2160可具有反射器以及高强度灯具组件或形成蜂巢状管路配置的红外线(IR)发射器。管的紧密堆积六角形配置提供具有高功率密度的能量源以及良好的空间分辨率。在一个实施例中,辐射热源2106提供足够的辐射能量,以热处理该基板,例如,将沉积在基板2140上的硅层退火。辐射热源2106可进一步包括环形区,其中可改变通过控制器2124施加至多个管体2160的电压,以提高来自管体2160的能量辐射分布。可通过一个或多个温度传感器2117来影响加热基板2140的动态控制,该一个或多个温度传感器2117适用以测量整个基板2140的温度。
在所示的实施例中,任选的定子组件2118外接腔室主体2102的壁2108,且该定子组件2118耦接至一个或多个致动器组件2122,该一个或多个致动器组件2122控制定子组件2118沿着腔室主体2102的外部上升。在一个实施例中(未图示),腔室2100包括三个致动器组件2122,沿着腔室主体发射状地设置这三个致动器组件2122,例如,沿着腔室主体2102约120度的角度。将定子组件磁性地耦接至设置在腔室主体2102内部容积2120中的基板支撑件2104。基板支撑件2104可具有或包括作为转子功能的磁性部,因此产生磁性轴承组件,以升高和/或转动该基板支撑件。在一个实施例中,以一槽(未图示)部分环绕至少一部分的基板支撑件2104,该槽耦接至流体源2186,该流体源2186可包括水、乙二醇、氮气(N2)、氦气(He)或前述流体的组合,作为基板支撑件的热交换介质。定子组件2118也可包括外壳2190,以封围定子组件2118的各种部分与部件。在一个实施例中,定子组件2118包括驱动线圈组件2168,该驱动线圈组件2168堆叠在悬吊线圈组件2170上。当悬吊线圈组件2170适用以将基板支撑件2104被动地定位在工艺腔室2100中央时,驱动线圈组件2168适用以转动和/或升高/降低该基板支撑件。或者,可通过具有单一线圈组件的定子来执行旋转与中央定位功能。
氛围控制系统2164也耦接至腔室主体2102的内部容积2120中。氛围控制系统2164通常包括节流阀与真空泵用于控制腔室压力。氛围控制系统2164可额外包括气体源,用于提供工艺气体或其它气体至内部容积2120中。氛围控制系统2164也适用以输送用于热沉积工艺、热蚀刻工艺以及原位清洁腔室组件的气体。氛围控制系统与喷淋头气体输送系统一起运作。
腔室2100也包括控制器2124,该控制器2124通常包括中央处理单元(CPU)2130、支持电路2128以及内存2126。CPU 2130可为任何形式的计算机处理器的一种,该计算机处理器可使用在商业设定中用于控制各种指令与副处理器。内存2126或计算机可读取介质可为一种或多种的可读取介质,例如随机存取内存(RAM)、只读存储器(ROM)、软盘、硬盘或局域或远程的任何其它形式的数字储存,且该内存2126通常耦接至CPU 2130,用于以传统方式来支撑该控制器2124。这些电路包括高速缓存、电源、时钟电路、输入/输出电路、子系统等等。
在一个实施例中,每一个致动器组件2122通常包括精密导程螺杆2132,该精密导程螺杆2132耦接至两个凸缘2134,该两个凸缘2134由腔室主体2102的壁108延伸出来。导程螺杆2132具有螺帽2158,当螺杆转动时,该螺帽2158沿着导程螺杆2132轴向移动。将联结件(coupling)2136耦接在定子2118与螺帽2158之间,使得当旋转导程螺杆2132时,联结件2136可沿着导程螺杆2132移动,以控制定子2118的高度在与联结件2136的界面处。因此,当旋转致动器2122之一的导程螺杆2132用以在其它致动器2122的螺帽2158之间产生相对位移时,定子2118的水平平面会相对于腔室主体2102的中央轴而改变。
在一个实施例中,将马达2138(例如,步进马达或伺服马达)耦接至导程螺杆2132,以提供响应控制器2124信号的可控制转动。或者,可使用其它种类的致动器2122来控制定子2118的线性位置,例如气动缸、液压缸、滚珠螺杆、螺线管、线形致动器以及凸轮从动件等等。
腔室2100也包括一个或多个传感器2116,该一个或多个传感器2116通常适用于检测在腔室主体2102的内部容积2120中的基板支撑件2104(或基板2140)高度。将传感器2116耦接至腔室主体2102和/或工艺腔室2100的其它部分,且该传感器2116适用以提供指示在基板支撑件2104与腔室主体2102的顶部2112和/或底部2110之间的距离的输出,且该传感器2116也可检测基板支撑件2104和/或基板2140的对准偏移。
该一个或多个传感器2116耦接至控制器2124,该控制器2124接收来自传感器2116的输出测度并且提供一个信号或多个信号至一个或多个致动器组件2122,以升高或降低至少一部分的基板支撑件2104。控制器2124可使用位置测度,该位置测度是由传感器2116所获得,以调整在每一个致动器组件2122上的定子2118高度,因此可相对于RTP腔室2100和/或辐射热源2106的中心轴同时调整基板支撑件2104与基板2140(位于基板支撑件2104上)的高度与平坦度。举例来说,控制器2124可提供信号,通过一个致动器2122的动作来升高基板支撑件,用以校正基板支撑件2104的轴向对准偏移,或是控制器可提供信号给所有的致动器2122,以帮助基板支撑件2104的同步垂直移动。
该一个或多个传感器2116可为超音波、激光、电感性、电容性或其它种类的传感器,该传感器能够检测在腔室主体2102内部的基板支撑件2104的近似位置。可将传感器2116耦接至腔室2102接近顶部2112或耦接至壁2108,然而在腔室主体2102中或是围绕腔室主体2102的其它位置也是适合的,例如,耦接至腔室2100外侧的定子2118。在一个实施例中,将一个或多个传感器2116耦接至定子2118,且该一个或多个传感器2116适用以透过壁2108来感测基板支撑件2104(或基板2140)的升高和/或位置。在此实施例中,壁2108可包括较薄的横截面,以帮助透过壁2108来感测位置。
腔室2100也包括一个或多个温度传感器2117,该一个或多个温度传感器2117适用以在工艺之前、工艺期间以及工艺之后感测基板2140的温度。在图21所描述的实施例中,将温度传感器2117设置穿过顶部2112,然而可设置在腔室主体2102中或是围绕腔室主体2102的其它位置。温度传感器2117为光学高温计,例如,具有光纤探针的高温计。以能够感测基板的整个直径或基板的其它位置的配置将传感器2117耦接至顶部2112。传感器2117可包括一图案,该图案界定基本上与基板直径相等的感测区或界定基本上与基板半径相等的感测区。举例来说,多个传感器2117可以以径向配置或线形配置耦接至顶部2112,而在横跨基板的半径或直径上产生感测区。在一个实施例中(未图示),多个传感器2117可设置在一条线中,该线由顶部2112中央周围径向延伸至顶部2112的周围部分。在此方式中,可通过传感器2117来监控基板的半径,该传感器2117能够在转动期间感测基板的直径。
如在此所述,腔室2100适用以接收以「面朝上」取向的基板,其中将基板的沉积接收侧或面朝向板2200,且基板的「背侧」面向辐射热源2106。当基板背侧比基板面更不具反射性时,「面朝上」的取向可允许来自辐射热源2106的能量更迅速地被基板2140吸收。
虽然将板2200与辐射热源2106描述为分别被放置在内部容积2120的上部部分与下部部分中,但是冷却区块2180与辐射热源2106的位置是可互换的。举例来说,可设计冷却区块2180的尺寸并将冷却区块2180设置在基板支撑件2104的内直径中,以及将辐射热源2106耦接至顶部2112。在此配置中,将石英窗口2114设置在辐射热源2106与基板支撑件2104之间,例如在腔室2100的上部部分中与辐射热源106相邻处。虽然当基板背侧面向辐射热源2106时,基板2140可轻易地吸收热,但在任何一种配置中,可将基板2140定位在面朝上的取向或面朝下的取向。应理解,由于含氟气体将会被流入腔室2100中,所以腔室部件中的材料必须对于含氟气体的侵蚀具抵抗性。例如,可通过诸如蓝宝石或铝的材料来涂布暴露至含氟气体的腔室组成件以抵抗侵蚀。也可使用其它抗氟材料。
腔室2100进一步包括远程等离子体源2192,用于输送等离子体至腔室中,等离子体可通过分配喷管2194被输送进入腔室。喷管2194通常可为具有一个或多个出口的细长导管,用于平均分配等离子体产物进入腔室2100。可使用多个喷管2194,用以在腔室2100中的多个径向位置上进行注入。在一个或多个实施例中,所述喷管2194是可移动的,使得所述喷管2194可在基板2140与板2200之间的空间中或空间外选择性地移动。改良的腔室可进一步包括氧化气体供应器以提供氧化气体,例如O2、N2O、NO以及它们的组合,该氧化气体供应器与进入腔室1800中的辅助气体入口1892流体连通,如图18所示。氧化气体供应器2196与进入腔室中的辅助气体入口流体连通。蚀刻气体供应器2198可通过还原气体入口向腔室2100提供蚀刻气体,该蚀刻气体例如,CF4、CHF3、SF6、NH3、NF3、He、Ar等等。其它气体供应器包括不活跃气体供应器以及入口(未图示),用以输送不活跃气体(例如,氦气、氩气)、还原气体(例如,氢气与其它气体)。可通过质量与体积流控制器来调节这些气体中每一种的流动,该质量与体积流控制器与系统控制器2124连通。当气体供应器2196与2198显示为流体连通且穿过腔室2100侧边时,则预期气体供应器2196与2198可将气体引入导管,该导管与喷淋头、喷管或其它器件流体连通,用于平均分配气体至腔室2100中。以下将描述气体导入系统2202的实例。气体供应器2196、2198与其它气体供应器可与气体导入系统2202流体连通。
图22示出反射板2200的进一步的细节。参照图,示出了反射板2200,该反射板2200整合有气体分配出口,用以在基板上方平均分配气体,而允许快速且受控地加热与冷却基板。板2200包括具有气体导入系统2202的顶部部分2201,该气体导入系统2202包括第一气体导入埠204与任选的第二气体导入埠2206,该第一气体导入埠2204和任选的第二气体导入埠2206与用于混合两种气体的气体混合腔室2208流体连通。假如仅提供单一气体导入埠,则可将混合腔室2208由设计中删除。应理解,也可提供额外的气体导入埠。当然,可将气体导入埠2202、2204连接至适合的气体源,例如,气体储槽或气体供应系统(未图示)。混合腔室2208与气流通路2212连通,该气流通路2212与气体通道2214以及形成在阻碍板2213中的气体导入开口2116连通。阻碍板2213可为固定至顶部部分2201的分离部件,或阻碍板2213与顶部部分为一体成形。当然,可能采用其它设计,包括对于两种或更多种气体提供两组或更多组各自的开口2216,使得在离开喷淋头之后进行气体混合。该板包括面2203,开口2216形成穿过该面2203。
在操作中,可在腔室2100中执行循环氧化和/或氮化与蚀刻。一示例性的工艺包括:施加蚀刻等离子体至腔室2100,该蚀刻等离子体形成在远程等离子体源2192中。可透过所示的喷管2194施加蚀刻等离子体产物,或经由导入埠2202施加等离子体产物。如上所述,在至少一部分的蚀刻工艺期间,期望将基板与材料表面维持在相对低温。例如,可在低温下执行部分的蚀刻工艺。蚀刻期间,期望将基板与材料表面维持在相对低温,例如,在约20℃至约60℃的范围中,小于约50℃,具体为小于约45℃,小于约40℃,小于约35℃。在一特定实施例中,于腔室1800中进行蚀刻期间,将温度维持在约30+/-约5℃,以帮助凝结蚀刻剂以及帮助控制蚀刻反应的选择性。通过透过该板2200流动适当的冷却气体(例如,氦气)可将基板与材料表面的温度维持在低温。通过蚀刻移除膜或氧化物层可进一步包括:使用磁性耦接至基板支撑件2104的升降销2144和/或定子器件2218之一或两者,来移动将被处理的基板,使基板更接近该板2200。
为了升华在蚀刻期间所生成的膜或层,通过升降销或定子组件2118将基板移动远离该板2200,启动辐射热源2106将进行蚀刻的基板与材料表面加热至高于约100℃。在特定实施例中,将基板2140加热至至少约140℃、至少约150℃、至少约160℃、至少约170℃、至少约180℃或至少约140℃,以确保材料表面达到足以升华SiO2的温度。因此,在腔室2100中一非限制性、示例性的蚀刻工艺包括:施加氨(NH3)或三氟化氮(NF3)气体、或无水氟化氢(HF)气体混合物至远程等离子体源2192,该些气体在低温下(例如,约30℃)会凝结在SiO2上并且反应形成可在中等温度下(例如,大于100℃)于腔室2100中被基本上升华的化合物,用以蚀刻SiO2。该升华完成对材料表面的蚀刻,且通过氛围控制系统2164和/或流动净化气体来移除副产物。期望将腔室壁的温度控制在基板支撑件与气体分配板的温度之间以避免蚀刻剂以及副产物凝结在腔室2100的壁上。
可如下发生在基板的材料表面上形成氧化物层。通过快速启动辐射热源2106来使用尖峰热氧化工艺,以形成氧化物层。在腔室2100中形成氧化物层的实施例中,氧化气体供应器2196将氧化气体透过入口直接流入腔室中。适当的氧化气体可包括氧气、臭氧、H2O、H2O2中的一种或多种、或氮氧化物物种,例如,N2O、NO或NO2。在适当的低压下将氮氧化物物种导入腔室中。接着,将腔室加热至适当温度,使得氧化物层生长在材料表面上。在一个或多个实施例中,将腔室温度加热至约200℃至约800℃的范围中。在特定实施例中,将腔室温度加热至约300℃至约400℃的范围中。如上述与图3A-3C、5A-5E、7A-7D、8A-8B、10A-10D、11A-11C有关的描述,为了促进在材料上的氧化反应,该材料将被处理以形成材料层。或者,通过远程等离子体源2192(或分离的远程等离子体源)来完成氧化步骤,该远程等离子体源2192(或分离的远程等离子体源)可供应氧化气体用于形成氧等离子体,接着该氧等离子体被输送至上述腔室中。在另一变化例中,可使用紫外线灯源使基板上的材料表面进行光化学氧化。适当的氧化气体可包括氧气、臭氧、H2O、H2O2中的一种或多种、或氮氧化物物种,例如,N2O、NO或NO2
在将材料层表面氧化形成氧化物层后,再次净化腔室2100以移除氧化气体以及氧化反应的副产物。可通过将不活跃气体流入腔室中和/或使用氛围控制系统2164来完成净化步骤。可在腔室中循环重复形成氧化物层、蚀刻(通过等离子体与升华)的步骤,直到氧化物层形成具有期望的材料厚度。示例性的器件与工艺程序与上述图3A-3C、5A-5E、7A-7D、8A-8B、10A-10D、11A-11C的内容有关,且可在上述的单一腔室2100中执行任何工艺。
因此,总结上述,在腔室2100中可通过下述方法在材料表面上形成氧化物层:通过导入一种或多种的氧化气体至腔室中且加热材料表面、或通过将形成在远程等离子体源中的氧化等离子体导入且将氧化等离子体输送至支撑件上的基板。在腔室2100中示例性的且适当的压力在约1mTorr至约10Torr的范围。
系统控制器可控制工艺,用以在腔室中执行完整的氧化和/或氮化与蚀刻步骤的工艺程序,且可在少于约3分钟内完成。在特定实施例中,可在少于约2分钟内于腔室中完成氧化和/或氮化与蚀刻步骤的完整工艺程序,以及在更特定实施例中,可在少于约1分钟内,例如45秒或30秒,于腔室中完成氧化和/或氮化与蚀刻步骤的完整工艺程序。
可用于形成氧化物层与蚀刻(通过等离子体与升华)的替代性设备包括炉,该炉包括远程或局域等离子体源用于产生氧化等离子体与蚀刻等离子体,而该氧化物层与蚀刻的形成可循环重复直到氧化物层形成具有期望的材料厚度。因此,关于图21所述的腔室2100能被适当地配置的炉所置换,该炉循环地加热及冷却基板材料表面直到氧化物层形成具有期望的材料厚度。示例性的器件与工艺程序与上述图3A-3C、5A-5E、7A-7D、8A-8B、10A-10D、11A-11C的内容有关,且可在上述的单一腔室1800中执行任何工艺。
因此,本发明的第一方面适用于处理基板的设备。本发明此方面的第一实施例提供用于处理基板的设备,该设备包括:工艺腔室,该工艺腔室中设置有基板支撑件用以支撑基板;温度控制系统,用以将设置在基板支撑件上的基板温度控制在低于约100℃的第一温度;气体源,该气体源与腔室流体连通,以至少输送含氧气体、不活跃气体以及蚀刻气体至工艺腔室中;等离子体源,该等离子体源与工艺腔室流体连通,用以激发含氧气体与蚀刻气体中的至少一种,而形成氧化等离子体或蚀刻等离子体中的至少一种;以及热源,用以将基板加热至大于第一温度的第二温度。
在第一实施例的一个变化例中,当基板温度在第一温度且输送氧化气体中的一种时,将腔室配置为输送蚀刻气体与蚀刻等离子体之一至工艺腔室中。在另一变化例中,第二温度在约200℃至1000℃的范围中。在又另一变化例中,将腔室配置为在基板的材料层上执行蚀刻工艺,且在第一温度下执行至少一部分的蚀刻工艺。
在第一实施例的又另一变化例中,该蚀刻工艺包括干式蚀刻工艺,且该蚀刻气体包括含氟气体。该第一实施例可包括与等离子体源连通的气体源,该气体源进一步包括氮气。在第一实施例的一个变化例中,该蚀刻气体与等离子体源流体连通,以形成蚀刻等离子体。
在第一实施例的另一变化例中,温度控制系统包括冷却系统,用以在低于约50℃的温度下执行至少一部分的蚀刻工艺。更特定而言,配置该冷却系统,用以将基板温度降低至约25℃至约35℃的范围中。在第一实施例的一特定变化例中,将该设备配置为以少于约3分钟在第一温度与第二温度中循环。
在第一实施例的另一特定变化例中,将该设备配置为将基板上的材料层塑形,该材料层具有一期望形状,该期望形状在接近该期望形状的底面具有第一宽度,接近该期望形状的顶部具有第二宽度,该第一宽度基本上等于第二宽度,其中该期望形状的第一与第二宽度介于约1至约30纳米。可配置该设备以形成包括浮置栅极的材料层。可配置该设备,用以在材料层上循环执行蚀刻工艺与氧化工艺。
在第一实施例的一个或多个变化例中,氧化工艺包括快速热氧化、辐射氧化、等离子体氧化、化学氧化或光化学氧化,且蚀刻工艺包括以下至少一种:湿式或干式化学蚀刻、反应性离子蚀刻或等离子体蚀刻。
本发明的第二方面适用于塑形基板上的材料层的方法,该方法包括:(a)在工艺腔室中处理材料层表面以形成含氧化物层或含氮化物层;(b)终止含氧化物层或含氮化物层的形成;(c)在与(a)相同的工艺腔室中,通过蚀刻工艺移除至少一些的含氧化物层或含氮化物层;以及(d)在相同工艺腔室中重复(a)至(c),直到材料层形成期望的形状。在该方法的一变化例中,以一起始速率执行(a)且(a)包括氧化工艺;当氧化速率低于起始速率的约90%时,终止(b)。
在该方法的另一变化例中,通过湿式或干式快速热氧化、辐射氧化、等离子体氧化、湿式或干式化学氧化或光化学氧化中的至少一种来执行材料层的氧化,以形成氧化物层。
在该方法的另一变化例中,蚀刻工艺包括湿式或干式化学蚀刻、反应性离子蚀刻或等离子体蚀刻中的至少一种。在该方法的又另一变化例中,将该材料层形成为期望形状,该期望形状在接近该期望形状底面具有第一宽度,接近该期望形状的顶部具有第二宽度,该第一宽度基本上等于第二宽度。在该方法的另一变化例中,该期望形状具有介于约0.5至约20nm的深宽比。更特定而言,该期望形状的第一与第二宽度介于约1至约30纳米。更特定而言,该期望形状的高度介于约1至约30纳米。该材料层包括浮置栅极。
用于在材料层上执行循环氧化与蚀刻工艺的设备的第二实施例中,该设备包括:工艺腔室,该工艺腔室具有多个壁,该壁界定工艺腔室中的处理区域,该工艺腔室包括基板支撑件,用以将具有材料层的基板固定在处理区域中;含氧气体供应器、不活跃气体供应器以及蚀刻气体供应器,所述含氧气体供应器、不活跃气体供应器以及蚀刻气体供应器与工艺腔室流体连通,用以将含氧气体、不活跃气体与蚀刻气体输送至工艺腔室中;等离子体源,用以在腔室内侧的等离子体产生区中形成等离子体,且激发含氧气体与蚀刻气体中的至少一种,用以形成氧等离子体与蚀刻等离子体中的至少一种,而接触该材料层;加热系统,用以将腔室中的基板加热至大于约100℃的第一温度;冷却系统,用以将腔室中的基板冷却至低于第一温度的第二温度;以及控制系统,用以将腔室中的基板于第一温度与第二温度之间循环。在第二实施例的一变化例中,配置该控制系统、加热系统与冷却系统于第一温度与第二温度之间循环少于约3分钟的时间段。
在第二实施例的另一变化例中,冷却系统包括基板支撑件,该基板支撑件包括通路,用于允许冷却介质流动穿过该通路。在第二实施例的另一变化例中,冷却系统包括喷淋头,该喷淋头设置在腔室中与基板支撑件相邻,该喷淋头与冷却流体连通。
在第二实施例的另一变化例中,加热系统包括光源与电阻式加热器中的至少一种。在一个变化例中,将电阻式加热器设置在基板支撑件中。或者,将电阻式加热器设置在喷淋头中。在第二实施例的另一变化例中,加热系统包括设置该光源,使得由光源所发射的能量以一入射角接触该材料表面,且该入射角使得被处理的材料的吸收最佳化。在一特定配置中,对于将被处理的材料而言,该入射角为布鲁斯特角度。
在第二实施例的一个特定配置中,工艺腔室具有顶棚等离子体源,该顶棚等离子体源包括功率施加器,该功率施加器包括设置在顶棚上方的线圈,该线圈透过阻抗匹配网络耦接至电源,用以在等离子体产生区中产生等离子体。在另一变化例中,蚀刻气体包括含氟气体,且该腔室进一步包括与等离子体源连通的氮气源。
用于在材料层上执行循环氧化与蚀刻工艺的设备的第三实施例中,该设备包括:工艺腔室,腔室主体,该腔室主体包括多个壁,该壁界定工艺腔室中的处理区域,该工艺腔室包括基板支撑件,用以将具有材料层的基板固定在处理区域中;盖组件,该盖组件设置在腔室主体的上表面,盖组件包括第一电极与第二电极,在该第一电极与第二电极之间界定等离子体腔,其中第二电极被加热并且配置第二电极加热该基板;含氧气体供应器、不活跃气体供应器以及蚀刻气体供应器,所述含氧气体供应器、不活跃气体供应器以及蚀刻气体供应器与工艺腔室和盖组件的至少之一流体连通,用以将含氧气体、不活跃气体与蚀刻气体输送至工艺腔室与盖组件之一中;加热系统,用以将腔室中的基板加热至大于约100℃的第一温度;冷却系统,用以将腔室中的基板冷却至低于第一温度的第二温度;以及控制系统,用以将腔室中的基板于第一温度与第二温度之间循环。
在第三实施例的一个变化例中,该氧化气体与盖组件流体连通,以形成氧化等离子体来处理材料层。在第三实施例的另一变化例中,该蚀刻气体与盖组件流体连通,以形成蚀刻等离子体来处理材料层。在特定变化例中,蚀刻气体包括含氟气体。在一个特定实施例中,蚀刻气体包括氨以及NH3NF3气体以及无水氟化氢(HF)中的一种或多种。
在第三实施例的一个配置中,该基板支撑件适用于在腔室主体中垂直移动,用以在氧化工艺期间将基板定位在接近第二电极的加热位置中,而在蚀刻工艺期间将基板定位在远离第二电极的蚀刻位置中。在第三实施例的特定配置中,基板支撑件包括接收表面,该接收表面适用于将基板支撑在接收表面上,其中将该接收表面设置在轴件上方,该轴件耦接至升降机构。在一个实例中,该升降机构适用于在腔室主体中垂直移动该接收表面,用以在氧化工艺期间将基板定位在接近第二电极的加热位置中,而在蚀刻工艺期间将基板定位在远离第二电极的蚀刻位置中。
在第三实施例的另一变化例中,基板支撑件组件包括一个或多个的气体通路,该气体通路与接收表面在该气体通路的一末端流体连通,并且在该气体通路的第二末端与净化气体源或真空源流体连通。在另一变化例中,接收表面包括一个或多个凹陷通道,该凹陷通道形成在该接收表面的上表面。
在第三实施例的另一变化例中,轴件包括一个或多个嵌入式气体导管,该气体导管适用于输送一种或多种流体至该气体通路。在一实例中,该一个或多个嵌入式导管适用于输送加热介质至该一个或多个流体通道。该一个或多个嵌入式导管适用于输送冷却剂至该一个或多个流体通道。
在第三实施例的特定变化例中,将控制系统、加热系统以及冷却系统配置为在第一温度与第二温度之间循环少于3分钟的时间周期。
在第三实施例的另一变化例中,冷却系统包括喷淋头,将该喷淋头设置在腔室中接近基板支撑件处,该喷淋头与冷却流体连通。在第三实施例的又一变化例中,加热系统包括光源与电阻式加热器至少之一。
在包括电阻式加热器的实施例中,可将电阻式加热器设置在基板支撑件中和/或喷淋头中。第三实施例的加热系统可包括光源,设置该光源使得由光源所发射的光能可以一入射角与基板表面接触,该入射角使由被处理的材料的吸收最佳化。对于被处理的材料来说,在一特定变化例中的入射角为布鲁斯特角度。
用于在材料层上执行循环氧化与蚀刻工艺的设备的另一实施例包括:工艺腔室,具有多个壁,该壁于该工艺腔室中界定处理区域,该工艺腔室包括基板支撑件,用以将基板固定在处理区域中,该基板具有材料层;含氧气体供应器、不活跃气体供应器以及蚀刻气体供应器,所述含氧气体供应器、不活跃气体供应器以及蚀刻气体供应器与工艺腔室流体连通用以输送含氧气体、不活跃气体与蚀刻气体至工艺腔室中;远程等离子体源,与该工艺腔室以及蚀刻气体流体连通,用以在远离腔室处形成蚀刻等离子体,且通过导管将蚀刻等离子体输送至腔室中;加热系统,用以将腔室中的基板加热至高于约100℃的第一温度;冷却系统,用以将腔室中的基板冷却至低于第一温度的第二温度;以及控制系统,用以将腔室中的基板在第一温度与第二温度之间循环。
在第四实施例的一个变化例中,将该设备配置为基本上仅通过热氧化来进行氧化工艺。在第三实施例的特定变化例中,将该设备配置为通过快速热氧化工艺来进行氧化。在第四实施例的另一特定变化例中,加热系统包括快速热处理腔室,该快速热处理腔室包括辐射热源以及反射板,其中将该基板支撑件设置在反射板与辐射热源之间。
在第四实施例的一个变化例中,远程等离子体源与包括含氟气体的蚀刻气体流体连通。在第四实施例的另一变化例中,该腔室包括至少一个延长喷管,用以输送蚀刻等离子体产物至腔室中。该腔室可包括多个延长喷管,该多个延长喷管于腔室周围发射状设置,用以输送蚀刻等离子体产物至腔室中。
在第四实施例的另一变化例中,冷却系统包括反射板,该反射板整合有气体分配出口用以在基板上均匀分配气体,而允许快速且受控地加热与冷却基板。在第四实施例的又一变化例中,该设备包括升降销,用以选择性地接触并支撑该基板,而将该基板移动朝向反射板以及移动远离反射板。在第四实施例的另一变化例中,该设备包括定子组件件,该定子组件耦接至基板支撑件,用以将被处理的基板移动朝向该板以及移动远离该板。该定子组件可磁性耦接至基板支撑件。
在第四实施例的特定配置中,该定子组件与该升降销的至少之一与冷却系统互相配合,用以将基板支撑件移动接近该反射板,而冷却该基板。
在第四实施例的另一特定配置中,配置该控制系统、该加热系统与该冷却系统使在第一温度与第二温度之间循环少于约3分钟的时间段。在又一变化例中,将该设备配置为通过光化学氧化来进行氧化工艺。
因此,在此描述适用于窄间距应用的半导体器件以及制造该半导体器件的方法。在此所描述的设备可用于制造具有浮置栅极配置的半导体器件,该浮置栅极配置适用于窄间距应用,例如在32nm或更小的器件节点。示例性器件节点为小于或等于约30nm、小于或等于约25nm、小于或等于约20nm、小于或等于约15nm、小于或等于约13nm。此半导体器件包括,例如,NAND与NOR闪存器件。在此所提供的浮置栅极配置有益地提供数种半导体器件,该半导体器件具有在浮置栅极与控制栅极之间维持的或经改善的侧壁电容,以及在此器件中的相邻浮置栅极之间减少的干扰或噪声。
此外,用于执行在此所揭露的方法的设备可有益地形成半导体器件同时限制非期望的工艺,例如,氧扩散,举例来说,氧扩散会增厚本发明器件的穿隧氧化物层。该方法可有益地应用在其它器件或结构的制造上,例如FinFET器件或硬掩模结构,以克服传统微影图案化所造成的临界尺寸限制。
虽然上述内容是有关于本发明的实施例,但在不偏离本发明的基本范围下,可产生其它以及更进一步的实施例。

Claims (15)

1.一种用于在材料层上执行循环氧化与蚀刻工艺的设备,该设备包括:
工艺腔室,具有多个壁,该多个壁于该工艺腔室中界定一处理区域,该工艺腔室包括基板支撑件,该基板支撑件用以将具有材料层的基板固定在该处理区域中;
含氧气体供应器、不活跃气体供应器以及蚀刻气体供应器,该含氧气体供应器、不活跃气体供应器以及蚀刻气体供应器与该工艺腔室流体连通,以输送该含氧气体、该不活跃气体与该蚀刻气体至该工艺腔室中;
等离子体源,用以在位于该腔室内部的等离子体产生区中形成等离子体,且激发该含氧气体与该蚀刻气体的至少之一,以形成氧化等离子体以及蚀刻等离子体中的至少一种来接触该材料层;
加热系统,用以将该腔室中的该基板加热至高于约100℃的第一温度;
冷却系统,用以将该腔室中的该基板冷却至低于该第一温度的第二温度;以及
控制系统,用以将该腔室中的该基板在该第一温度与该第二温度之间循环。
2.如权利要求1所述的设备,其中该控制系统、该加热系统与该冷却系统在少于约3分钟的时间段内于该第一温度与该第二温度之间循环,其中该第二温度介于约200℃和1000℃之间的范围。
3.如权利要求1所述的设备,其中该冷却系统包括一基板支撑件,该冷却系统的基板支撑件包括通路,用于容许冷却介质流动穿过该通路。
4.如权利要求1所述的设备,其中该冷却系统包括喷淋头,该喷淋头设置在该腔室中并与该基板支撑件相邻,该喷淋头与冷却流体连通。
5.如权利要求4所述的设备,其中该加热系统包括至少一个光源与电阻加热器。
6.如权利要求5所述的设备,其中该电阻加热器设置在该基板支撑件中或设置在该喷淋头中。
7.如权利要求1所述的设备,其中该加热系统包括光源,设置该光源使得由该光源发射的光能以一入射角与该材料表面接触,该入射角使该被处理材料的吸收最佳化,其中该入射角为该被处理材料层的布鲁斯特角度。
8.如权利要求1所述的设备,其中该工艺腔室具有顶棚等离子体源,该顶棚等离子体源包括电源施加器,该电源施加器包括设置在该顶棚上方的线圈,该线圈透过阻抗匹配网络耦接至电源,用以在该等离子体产生区中产生等离子体。
9.如权利要求8所述的设备,其中该蚀刻气体包括含氟气体,且该腔室进一步包括氮气源,该氮气源与等离子体源连通。
10.如权利要求2所述的设备,其中该腔室配置为在该基板的材料层上执行蚀刻工艺,至少一部分的该蚀刻工艺在该第一温度下执行。
11.如权利要求10所述的设备,其中该蚀刻工艺包括干式蚀刻工艺,且该蚀刻气体包括含氟气体和氮气,该含氟气体和该氮气与等离子体源连通。
12.如权利要求10所述的设备,其中该蚀刻气体与该等离子体源流体连通,以形成蚀刻等离子体。
13.如权利要求2所述的设备,其中该温度控制系统包括冷却系统,用以在低于约50℃的温度下执行至少一部分的该蚀刻工艺,该温度特别是在约25℃至约35℃的范围中。
14.如权利要求13所述的设备,其中该设备配置为在介于该第一温度与该第二温度之间循环少于约3分钟。
15.如权利要求1所述的设备,其中该设备配置为塑形该基板上的材料层,该材料层具有一期望形状,该期望形状在接近该期望形状的底面具有第一宽度,在接近该期望形状的顶部具有第二宽度,该第一宽度实质上等于第二宽度,其中该期望形状的该第一与该第二宽度介于约1至约30纳米。
CN201180013229.3A 2010-03-10 2011-03-10 循环氧化与蚀刻的设备及方法 Expired - Fee Related CN102792425B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610557179.XA CN106024587B (zh) 2010-03-10 2011-03-10 循环氧化与蚀刻的设备及方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/720,926 US20110061812A1 (en) 2009-09-11 2010-03-10 Apparatus and Methods for Cyclical Oxidation and Etching
US12/720,926 2010-03-10
PCT/US2011/027922 WO2011112823A2 (en) 2010-03-10 2011-03-10 Apparatus and methods for cyclical oxidation and etching

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610557179.XA Division CN106024587B (zh) 2010-03-10 2011-03-10 循环氧化与蚀刻的设备及方法

Publications (2)

Publication Number Publication Date
CN102792425A true CN102792425A (zh) 2012-11-21
CN102792425B CN102792425B (zh) 2016-08-17

Family

ID=43729314

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610557179.XA Active CN106024587B (zh) 2010-03-10 2011-03-10 循环氧化与蚀刻的设备及方法
CN201180013229.3A Expired - Fee Related CN102792425B (zh) 2010-03-10 2011-03-10 循环氧化与蚀刻的设备及方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201610557179.XA Active CN106024587B (zh) 2010-03-10 2011-03-10 循环氧化与蚀刻的设备及方法

Country Status (6)

Country Link
US (1) US20110061812A1 (zh)
JP (1) JP5921448B2 (zh)
KR (3) KR20180021244A (zh)
CN (2) CN106024587B (zh)
TW (1) TWI517240B (zh)
WO (1) WO2011112823A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103887135A (zh) * 2012-12-24 2014-06-25 中国科学院微电子研究所 离子注入系统
CN106548936A (zh) * 2015-09-23 2017-03-29 北京北方微电子基地设备工艺研究中心有限责任公司 一种金属层的刻蚀方法
TWI621150B (zh) * 2013-02-01 2018-04-11 蘭姆研究公司 電漿處理室、溫度控制介電窗、及其溫度控制方法
CN110235227A (zh) * 2017-01-27 2019-09-13 朗姆研究公司 利用原子层控制各向同性蚀刻膜
CN110581067A (zh) * 2018-06-08 2019-12-17 东京毅力科创株式会社 蚀刻方法及蚀刻装置
CN112239843A (zh) * 2019-07-17 2021-01-19 柯尼卡美能达株式会社 精细结构体的制造方法以及精细结构体的制造装置
CN113488368A (zh) * 2020-07-02 2021-10-08 北京屹唐半导体科技股份有限公司 工件的加工

Families Citing this family (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5396180B2 (ja) * 2009-07-27 2014-01-22 東京エレクトロン株式会社 選択酸化処理方法、選択酸化処理装置およびコンピュータ読み取り可能な記憶媒体
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8828883B2 (en) * 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103348776B (zh) * 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备
US8404048B2 (en) 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
CN104106128B (zh) * 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US9530620B2 (en) * 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9564321B2 (en) * 2013-03-11 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic epitaxial deposition and etch processes
US9177787B2 (en) * 2013-03-15 2015-11-03 Applied Materials, Inc. NH3 containing plasma nitridation of a layer of a three dimensional structure on a substrate
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104276764B (zh) * 2013-07-11 2017-03-22 北京北方微电子基地设备工艺研究中心有限责任公司 玻璃衬底的工艺方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056519A (ja) * 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US8980758B1 (en) * 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US20150079799A1 (en) * 2013-09-17 2015-03-19 Applied Materials, Inc. Method for stabilizing an interface post etch to minimize queue time issues before next processing step
JP5824189B2 (ja) 2013-09-25 2015-11-25 キヤノンアネルバ株式会社 磁気抵抗効果素子の製造システム
JP6043968B2 (ja) 2013-10-30 2016-12-14 パナソニックIpマネジメント株式会社 プラズマ処理方法並びに電子デバイスの製造方法
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
JP6405958B2 (ja) 2013-12-26 2018-10-17 東京エレクトロン株式会社 エッチング方法、記憶媒体及びエッチング装置
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9753463B2 (en) 2014-09-12 2017-09-05 Applied Materials, Inc. Increasing the gas efficiency for an electrostatic chuck
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) * 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6818402B2 (ja) 2015-07-17 2021-01-20 株式会社日立ハイテク プラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6615544B2 (ja) * 2015-09-14 2019-12-04 株式会社東芝 流量調整装置及び処理装置
US9543419B1 (en) * 2015-09-18 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
KR20170043936A (ko) * 2015-10-14 2017-04-24 현대자동차주식회사 블랭크 가열 장치
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
CN107248515B (zh) * 2016-03-24 2020-06-16 上海新昇半导体科技有限公司 真空管闪存结构及其制造方法
WO2017176027A1 (ko) * 2016-04-05 2017-10-12 주식회사 테스 실리콘산화막의 선택적 식각 방법
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
TWI729121B (zh) * 2017-05-01 2021-06-01 聯華電子股份有限公司 用於快速加熱製程的方法與反應腔室
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
JP6925900B2 (ja) 2017-07-20 2021-08-25 岩谷産業株式会社 切断加工方法
JP6957252B2 (ja) 2017-07-20 2021-11-02 岩谷産業株式会社 切断加工方法
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
TWI811284B (zh) * 2018-01-24 2023-08-11 美商應用材料股份有限公司 腔室入口組件、入口構件及包括此腔室入口組件的基板處理系統
KR102024568B1 (ko) * 2018-02-13 2019-09-24 한국기초과학지원연구원 환형 면방전 플라즈마 장치를 이용한 점상 식각 모듈 및 점상 식각 모듈의 식각 프로파일을 제어하는 방법
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN110391120B (zh) * 2018-04-17 2022-02-22 北京北方华创微电子装备有限公司 一种喷头和等离子体处理腔室
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892136B2 (en) * 2018-08-13 2021-01-12 Varian Semiconductor Equipment Associates, Inc. Ion source thermal gas bushing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR20210047961A (ko) 2018-09-24 2021-04-30 어플라이드 머티어리얼스, 인코포레이티드 세정 및 표면 처리를 위한 원자 산소 및 오존 디바이스
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7202230B2 (ja) 2019-03-20 2023-01-11 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN112424912B (zh) * 2019-06-21 2024-01-05 株式会社日立高新技术 等离子处理方法
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
KR102501331B1 (ko) * 2020-09-08 2023-02-17 세메스 주식회사 플라즈마를 이용한 기판 처리 장치 및 방법
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
CN112371452B (zh) * 2020-11-04 2022-03-18 上海华力集成电路制造有限公司 半导体制造工艺环境的调风装置
CN114497089A (zh) * 2020-11-11 2022-05-13 上海华力微电子有限公司 一种通过sti刻蚀工艺改善hdp填充缺陷的方法
TWI786566B (zh) * 2021-03-11 2022-12-11 南亞科技股份有限公司 半導體結構製造方法與半導體結構製造系統

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030060030A1 (en) * 2001-09-25 2003-03-27 Kwang-Myung Lee Method for processing a wafer and apparatus for performing the same
US20030194874A1 (en) * 2002-04-12 2003-10-16 Masahiko Ouchi Etching method
US20060018639A1 (en) * 2003-10-27 2006-01-26 Sundar Ramamurthy Processing multilayer semiconductors with multiple heat sources
US20070097580A1 (en) * 2005-10-11 2007-05-03 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8656A (en) * 1852-01-13 Loom foe
US4535228A (en) * 1982-12-28 1985-08-13 Ushio Denki Kabushiki Kaisha Heater assembly and a heat-treatment method of semiconductor wafer using the same
US4490211A (en) * 1984-01-24 1984-12-25 International Business Machines Corporation Laser induced chemical etching of metals with excimer lasers
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5178682A (en) * 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
DE69432383D1 (de) * 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US6174451B1 (en) * 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6432206B1 (en) * 1999-08-30 2002-08-13 Si Diamond Technology, Inc. Heating element for use in a hot filament chemical vapor deposition chamber
JP4644943B2 (ja) * 2001-01-23 2011-03-09 東京エレクトロン株式会社 処理装置
WO2002063065A1 (fr) * 2001-02-09 2002-08-15 Tokyo Electron Limited Dispositif de formation de pellicule mince
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021659A1 (en) * 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
US6800833B2 (en) * 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4228975B2 (ja) * 2004-04-15 2009-02-25 株式会社デンソー 積層型ガスセンサ素子
KR100584485B1 (ko) * 2004-07-20 2006-05-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 부식 방지 방법
JP4701691B2 (ja) * 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
KR100628888B1 (ko) * 2004-12-27 2006-09-26 삼성전자주식회사 샤워 헤드 온도 조절 장치 및 이를 갖는 막 형성 장치
US20060264054A1 (en) * 2005-04-06 2006-11-23 Gutsche Martin U Method for etching a trench in a semiconductor substrate
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
KR20070000847A (ko) * 2005-06-28 2007-01-03 엘지.필립스 엘시디 주식회사 이동식 냉매충진장치 및 이를 이용하는 기판제조장비의냉각방법
US20070163995A1 (en) * 2006-01-17 2007-07-19 Tokyo Electron Limited Plasma processing method, apparatus and storage medium
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US20070224838A1 (en) * 2006-03-27 2007-09-27 Honeywell International Inc. Method of straining a silicon island for mobility improvement
JP5239155B2 (ja) * 2006-06-20 2013-07-17 信越半導体株式会社 シリコンウエーハの製造方法
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
US7732340B2 (en) * 2006-08-08 2010-06-08 Tokyo Electron Limited Method for adjusting a critical dimension in a high aspect ratio feature
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
US20080078325A1 (en) * 2006-09-29 2008-04-03 Tokyo Electron Limited Processing system containing a hot filament hydrogen radical source for integrated substrate processing
US7572734B2 (en) * 2006-10-27 2009-08-11 Applied Materials, Inc. Etch depth control for dual damascene fabrication process
US7595005B2 (en) * 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
JP5229711B2 (ja) * 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法
KR100951559B1 (ko) * 2007-01-03 2010-04-09 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성 방법
JP2008244224A (ja) * 2007-03-28 2008-10-09 Sumitomo Precision Prod Co Ltd プラズマ処理装置
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
KR100905278B1 (ko) * 2007-07-19 2009-06-29 주식회사 아이피에스 박막증착장치, 박막증착방법 및 반도체 소자의 갭-필 방법
US7899451B2 (en) * 2007-07-20 2011-03-01 Jianhong Hu OWA converged network access architecture and method
JP2009043974A (ja) * 2007-08-09 2009-02-26 Tokyo Electron Ltd 半導体装置の製造方法、半導体基板の処理装置及び記憶媒体
JP2009088332A (ja) * 2007-10-01 2009-04-23 Toshiba Corp 半導体製造装置及び半導体装置の製造方法
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
CN103258729B (zh) * 2007-12-21 2016-07-06 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
US8871645B2 (en) * 2008-09-11 2014-10-28 Applied Materials, Inc. Semiconductor devices suitable for narrow pitch applications and methods of fabrication thereof
KR101585214B1 (ko) * 2009-09-03 2016-01-13 삼성전자주식회사 리세스 형성 방법 및 이를 포함하는 반도체 소자의 형성 방법
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030060030A1 (en) * 2001-09-25 2003-03-27 Kwang-Myung Lee Method for processing a wafer and apparatus for performing the same
US20030194874A1 (en) * 2002-04-12 2003-10-16 Masahiko Ouchi Etching method
US20060018639A1 (en) * 2003-10-27 2006-01-26 Sundar Ramamurthy Processing multilayer semiconductors with multiple heat sources
US20070097580A1 (en) * 2005-10-11 2007-05-03 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103887135A (zh) * 2012-12-24 2014-06-25 中国科学院微电子研究所 离子注入系统
CN103887135B (zh) * 2012-12-24 2016-05-18 中国科学院微电子研究所 离子注入系统
TWI621150B (zh) * 2013-02-01 2018-04-11 蘭姆研究公司 電漿處理室、溫度控制介電窗、及其溫度控制方法
CN106548936A (zh) * 2015-09-23 2017-03-29 北京北方微电子基地设备工艺研究中心有限责任公司 一种金属层的刻蚀方法
CN106548936B (zh) * 2015-09-23 2022-04-22 北京北方华创微电子装备有限公司 一种金属层的刻蚀方法
CN110235227A (zh) * 2017-01-27 2019-09-13 朗姆研究公司 利用原子层控制各向同性蚀刻膜
CN110581067A (zh) * 2018-06-08 2019-12-17 东京毅力科创株式会社 蚀刻方法及蚀刻装置
CN110581067B (zh) * 2018-06-08 2023-11-21 东京毅力科创株式会社 蚀刻方法及蚀刻装置
CN112239843A (zh) * 2019-07-17 2021-01-19 柯尼卡美能达株式会社 精细结构体的制造方法以及精细结构体的制造装置
CN113488368A (zh) * 2020-07-02 2021-10-08 北京屹唐半导体科技股份有限公司 工件的加工

Also Published As

Publication number Publication date
JP2013522884A (ja) 2013-06-13
CN102792425B (zh) 2016-08-17
KR20190039356A (ko) 2019-04-10
CN106024587B (zh) 2019-09-03
KR101832475B1 (ko) 2018-02-26
WO2011112823A2 (en) 2011-09-15
KR20180021244A (ko) 2018-02-28
KR102271735B1 (ko) 2021-06-30
JP5921448B2 (ja) 2016-05-24
CN106024587A (zh) 2016-10-12
WO2011112823A3 (en) 2012-01-05
US20110061812A1 (en) 2011-03-17
TW201203351A (en) 2012-01-16
KR20130014552A (ko) 2013-02-07
TWI517240B (zh) 2016-01-11

Similar Documents

Publication Publication Date Title
CN102822947B (zh) 循环氧化与蚀刻的设备及方法
CN102792425A (zh) 循环氧化与蚀刻的设备及方法
CN106057649B (zh) 循环氧化与蚀刻的设备及方法
US7947561B2 (en) Methods for oxidation of a semiconductor device
US20230377958A1 (en) Cluster processing system for forming a metal containing material
US11127760B2 (en) Vertical transistor fabrication for memory applications
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160817

Termination date: 20190310