TWI557799B - 用於半導體裝置之氧化的方法 - Google Patents

用於半導體裝置之氧化的方法 Download PDF

Info

Publication number
TWI557799B
TWI557799B TW102111448A TW102111448A TWI557799B TW I557799 B TWI557799 B TW I557799B TW 102111448 A TW102111448 A TW 102111448A TW 102111448 A TW102111448 A TW 102111448A TW I557799 B TWI557799 B TW I557799B
Authority
TW
Taiwan
Prior art keywords
plasma
gas
hydrogen
layer
substrate
Prior art date
Application number
TW102111448A
Other languages
English (en)
Other versions
TW201330102A (zh
Inventor
曼尼拉傑許
譚諾曼
韋德曼堤摩西W
橫田義孝
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201330102A publication Critical patent/TW201330102A/zh
Application granted granted Critical
Publication of TWI557799B publication Critical patent/TWI557799B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure

Description

用於半導體裝置之氧化的方法
本發明之實施例一般相關於半導體製造,尤相關於半導體裝置之氧化製程或其組件。
半導體裝置通常需要在製造的各個階段形成薄氧化層。例如,在電晶體中,可能會形成一薄柵氧化層以作為一柵堆疊結構的部分。此外,在一些應用中,例如,在一快閃記憶體薄膜堆疊的製造中,可環繞整個柵極堆疊形成一薄氧化層(以下稱純氧化),例如,藉由將堆疊曝露至一氧化製程。傳統上以熱方式或使用電漿來執行這種氧化製程。在其他應用中,氧化層可選擇性地只形成在薄膜堆疊之特定層上(以下稱選擇性氧化)。
傳統上用以形成氧化層之的熱製程,例如,一柵氧化層或一柵堆疊氧化層,在過去使用的較大特徵尺寸的半導體裝置的製造上有相對良好的效果。不幸的是,當特徵尺寸變得更小,並在下一代的先進技術上使用不同的氧化物,在熱氧化製程中所需要的較高晶圓溫度對高度接合精度造成了問題,現在需要的高度接合精度在較高溫度(例如,約攝氏 700度以上)下會產生擴散。這種扭曲的接合精度和其他特徵可能會導致設備性能不佳或失敗。
用於形成氧化層的電漿製程,也有類似的問題。例如,在較高的腔室壓力(例如,100 mTorr)下,在形成期間,污染物往往會積聚在柵氧化層,從而在柵氧化層結構上導致致命的缺陷,例如,懸鍵或移動電荷,而且在低腔室壓力(例如,數十mTorr)下,增加的電漿離子的能量會導致離子轟擊損傷和其他擴散問題。
例如,傳統的氧化製程往往造成稱為鳥嘴的缺陷。鳥嘴是指氧化層從鄰近層間的界面側擴散到薄膜堆疊結構層,而鈍化鄰近層的邊角。由此產生的缺陷有類似於鳥類的嘴的一形狀。侵入記憶體單元的主動區域(例如,在快閃記憶體應用)的氧化層降低了記憶體單元的主動寬度,從而不良地降低了單元的有效寬度和使相關於快閃記憶體裝置的表現變差。
此外,在包括含金屬與含非金屬層之一些薄膜堆疊結構中,例如,DRAM記憶體裝置,在某些應用上可能需要含非金屬層的側壁氧化,因為含金屬層的氧化可能限制導電性和降低裝置功能。例如,傳統上的,可使用氫氣(H2)和氧氣(O2)之混合氣體,或使用水蒸汽(H2O)和氫氣(H2)的混合物,來達成存在有鎢(W)金屬的選擇性側壁氧化,該混合氣體在高壓下原位反應,以產生氧化物。然而,要達成存在有某些金屬合金(如,氮化鈦(TiN))的選擇性側壁氧化的條件,這些傳統方法是不夠的,因為該製程將不良地使錫 氧化。
因此,有必要改進用以氧化材料堆疊的方法。
這裡提供在一半導體基板上製造氧化層之方法。氧化層可形成在一基板上之一完整結構上,或選擇性地形成在一含非金屬層上,而極少量或未氧化一曝露的含金屬層。本文揭示之方法可執行於溫度低於攝氏700度的情況下。本文披露的方法可在不同的製程腔室中執行,包括但不限於解耦電漿氧化腔室、快速和/或遠端電漿氧化腔室、和/或電漿浸沒離子佈植腔室。
在一些實施例中,一種在一半導體基板上形成一氧化層的方法包含:在一製程腔室中的一基板支架上提供欲氧化的一基板;以及在該製程腔室中從一製程氣體形成一電漿,以在該基板上形成一氧化層,該製程氣體包含一含氫氣體、一含氧氣體、及下列至少一者:一氮化氣體(例,一含氮氣體)或一補充氧化氣體(例,一補充含氧氣體)。
該含氫氣體可包含下列至少一者:氫(H2)或水(H2O),該氧化氣體可包含下列至少一者:氧(O2)或水(H2O)。該氮化氣體可包含下列至少一者:氨(NH3)、一氧化氮(NO)、或一氧化二氮(N2),以及該補充氮化氣體可包含下列至少一者:臭氧(O3)或過氧化氫(H2O2)。
在一些實施例中,一種在一半導體基板上形成一氧化層的方法包含:在一製程腔室中的一基板支架上提供欲氧化的一基板;以及在該製程腔室中從一製程氣體形成一電 漿,以在該基板上形成一氧化層,該製程氣體包含氨(NH3)及一含氧氣體。該含氧氣體可包含下列至少一者:氧(O2)、臭氧(O3)、一氧化氮(NO)、或一氧化二氮(N2O)。亦可提供包含氫氣(H2)的一補充含氫氣體。
在一些實施例中,一種在一半導體基板上形成一氧化層的方法包含:在一製程腔室中的一基板支架上提供欲氧化的一基板;在該製程腔室中從一第一製程氣體形成一電漿,該第一製程氣體包含一氮化氣體;以及在該製程腔室中從一第二製程氣體形成一電漿,以在該基板上形成一氧化層,該第二製程氣體包含一含氫氣體及一含氧氣體。該氮化氣體可包含下列至少一者:氨(NH3)、一氧化氮(NO)、或一氧化二氮(N2O)。該含氫體可包含下列至少一者:氫氣(H2)或水蒸汽(H2O)。該含氧體可包含下列至少一者:氧氣(O2)或水蒸汽(H2O)。
在一些實施例中,在執行一純氧化製程時,所提供的含氫氣體、或氨可高達所提供的全部製程氣體的約百分之六十或達到約百分之七十五。在一些實施例中,在執行一選擇性氧化製程時,所提供的含氫氣體、或氨至少達到所提供的全部製程氣體的約百分之七十五。
100‧‧‧製程
110‧‧‧步驟
112‧‧‧步驟
120‧‧‧步驟
130‧‧‧步驟
200‧‧‧半導體結構
202‧‧‧基板
204‧‧‧隧道氧化層
206‧‧‧浮柵層
210‧‧‧交互聚合介電質(IPD)
212‧‧‧氧化層
214‧‧‧氮化層
216‧‧‧氧化層
220‧‧‧控制閘層
230‧‧‧氧化層
240‧‧‧薄膜堆疊
300‧‧‧半導體結構
304‧‧‧隧道氧化層
306‧‧‧浮柵層
310‧‧‧金屬電極
312‧‧‧導電屏障層
314‧‧‧導電屏障層
316‧‧‧金屬層
320‧‧‧覆蓋層
330‧‧‧氧化層
340‧‧‧薄膜堆疊
400‧‧‧電漿反應器
410‧‧‧腔室
412‧‧‧圓柱形側壁
414‧‧‧頂板
416‧‧‧線圈天線
418‧‧‧阻抗匹配網路
420‧‧‧射頻功率產生器
422‧‧‧閘
424‧‧‧基板支撐座
426‧‧‧半導體基板
428‧‧‧氣體噴射系統
430‧‧‧真空泵
432‧‧‧氧容器
434‧‧‧加熱器
434a‧‧‧加熱元件
434b‧‧‧加熱元件
436‧‧‧脈衝產生器
438‧‧‧節流閥
440‧‧‧離子產生區域
462‧‧‧氫容器
464‧‧‧流量控制閥
466‧‧‧流量控制閥
468‧‧‧水蒸汽容器
470‧‧‧流量控制閥
500‧‧‧快速熱處理設備
502‧‧‧電漿噴頭
503‧‧‧主體
504‧‧‧能量源
505‧‧‧管
506‧‧‧製程腔室
508‧‧‧側壁
510‧‧‧底板
512‧‧‧窗口組件
514‧‧‧燈管組件
516‧‧‧鹵鎢燈
518‧‧‧燈管
520‧‧‧晶圓或基板
522‧‧‧支撐環
524‧‧‧旋轉石英缸
526‧‧‧反射器
528‧‧‧光纖探針
530‧‧‧進氣口
532‧‧‧燈管
540‧‧‧管
542‧‧‧入口元件
544‧‧‧進氣口
546‧‧‧氣源
548a‧‧‧波導
548b‧‧‧波導
550‧‧‧三通閥
551‧‧‧控制閥
552‧‧‧氣源
554‧‧‧流量控制器
555‧‧‧控制信號產生邏輯
556‧‧‧系統控制器
557‧‧‧記憶體
559‧‧‧處理器
562‧‧‧自由基出口
564‧‧‧電漿
566‧‧‧能量源入口
568‧‧‧磁控
570‧‧‧假負載
572‧‧‧自動調節器
600‧‧‧反應器
602‧‧‧圓筒狀真空腔室
604‧‧‧圓柱形側壁
608‧‧‧晶圓支撐座
610‧‧‧半導體晶圓
612‧‧‧蓮蓬頭
614‧‧‧氣體歧管
616‧‧‧氣體分配板/質量流控制器
618‧‧‧氣體供應器
620‧‧‧真空泵
622‧‧‧泵環
624‧‧‧製程區域
626‧‧‧外部返回導管
628‧‧‧外部返回導管
630‧‧‧端點
632‧‧‧直流絕緣環
634‧‧‧環形磁芯
636‧‧‧激發線圈
638‧‧‧射頻功率源
640‧‧‧阻抗匹配裝置
642‧‧‧偏壓功率產生器
644‧‧‧阻抗匹配電路
646‧‧‧埋電極
648‧‧‧絕緣板
所以,上述簡介之本發明的特徵可參考實施例進一步理解和敘述,部分實施例係繪示於附圖中。然而要指出的是,附圖僅說明本發明之典型實施例,因此不應被視為其範圍之限制,本發明亦適用於其他具有同等功效的實施例。
圖1之流程圖繪示依據本發明之一些實施例之一氧化製程。
圖2A-B說明依據本發明一些實施例,一半導體結構之製造階段。
圖3A-B說明依據本發明一些實施例,一半導體結構之製造階段。
圖4繪示一解耦電漿氧化反應器,其適合執行本發明之實施例。
圖5繪示一快速電漿氧化反應器,其適合執行本發明之實施例。
圖6繪示一電漿浸沒離子佈植反應器,其適合執行本發明之實施例。
為了便於理解,已經在可能的情況下,使用相同的元件符號指示各圖式中相同的元件。這些圖式未依照尺寸繪示亦可能被簡化以求清楚。應明白一實施例之元件和特徵可被有益地納入其他實施例中,而無需進一步載明。
本發明之實施例提供用以氧化半導體結構之方法。本發明製程有利地提供一半導體結構之完整氧化(純氧化)或選擇性地在一半導體結構之選定層上形成氧化層,而在非目標層上即使有也不會有太多的氧化(選擇性氧化)。可在各種製程腔室中執行本發明之實施例,例如,解耦電漿氧化腔室、快速和/或遠端電漿氧化腔室、電漿浸沒離子佈植腔室、等等。
圖1依據本發明之一些實施例,描述在半導體結構上形成氧化層之說明性製程100。這個製程包括提供一半導體結構,包括一基板,其中配置有一薄膜堆疊。半導體結構可能是部分製造的半導體裝置,如邏輯、DRAM、快閃記憶體裝置、等等。這裡所敘述之製程100係針對圖2A-B和圖3A-B之半導體結構,且可在任何合適的電漿製程腔室,例如,圖4-6所繪示之製程腔室,介紹如下。
在一些實施例中,製程100可用以提供純氧化(如,一基板上整個結構或裝置之氧化)。例如,圖2A-B描繪一部分形成的半導體結構200,包括其上形成有一薄膜堆疊240之一基板202。基板202可能有各種尺寸,如200或300毫米晶圓,以及一矩形或方形面板,或其他形狀和大小。基板202可包括一材料,包括例如單晶矽(例如,矽<100>或矽<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜多晶矽、摻雜或未摻雜矽晶圓、圖案或無圖案矽晶圓、矽絕緣體(SOI),碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、寶石、等等。
薄膜堆疊240可以是純氧化所欲之處的任何材料的堆疊。例如,在一些實施例中,例如,在快閃記憶體的應用和如圖2A所繪示者,薄膜堆疊240可能是快閃記憶體單元的一柵極堆疊,包含一隧道氧化層204、一浮柵層206、一單一或多層介電層,其包含交互聚合介電質(IPD)210(IPD之一非限制的例子是一多層ONO層,包含一氧化層212、一氮化層214、以及一氧化層216,如圖2A-B所示)和一個控制閘層220。隧道氧化層204以及氧化層212、216通常包含矽 和氧,如氧化矽(SiO2)、氮氧化矽(SiON)、等等。氮化層214通常包含矽和氮,如氮化矽(SiN)等等。在一些實施例中,包括SiO2/Al2O3/SiO2之層也可作為IPD層210。浮柵層206和控制閘層220通常包括一導電物質,如,多晶矽、金屬、等等。
如圖2B所示,在一純氧化製程中可對約整個薄膜堆疊240形成一氧化層230,詳如下述。應明白,依據本文之教示,亦可對其他應用之薄膜堆疊進行有利的氧化,如動態隨機存存取記憶體(DRAM)金屬電極/多晶矽柵堆疊、非揮發性記憶體(NVM)的電荷擷取快閃記憶體(CTF)、等等。DRAM金屬電極通常是鎢(W)所構成,在鎢和多晶矽層間具有氮化鈦(TIN)或氮化鎢(WN)之中間層。非揮發性記憶體(NVM)之電荷擷取快閃記憶體(CTF)使用SiO2/SiN/Al2O3柵堆疊與在柵腐蝕之後亦受益於側壁氧化之氮化鉭(TaN)或氮化鈦(TiN)的一金屬電極。
除了純氧化,在一些實施例中,可提供製程100以用於選擇性氧化(如,基板上之一結構或一裝置之部分的氧化)。選擇性氧化的要求可能出現在當有曝露的金屬或金屬合金而需要進行氧化製程時。在這種情況下,進行之氧化製程不能有曝露金屬/金屬合金的異常氧化。需要對邏輯、快閃記憶體裝置、等等進行選擇性氧化的情況通常出現在存在有金屬柵電極時柵堆疊蝕刻之後的閘側壁重新氧化製程,例如,閘電極包含鈦(Ti)、氮化鈦(TiN)、鎢(W)、鎢矽氮化物(WSixN)、氮化鎢(WN)、碳化鉭(TaC)、氮化 鉭(TaN)、等等。
例如,圖3A-B描繪一部分形成的半導體結構300,包括其上形成有一薄膜堆疊340之一基板202。在一些實施例中,堆疊340包括:一隧道氧化層304、一浮柵層306、一或多導電屏障層312、314、至少一金屬層316和一覆蓋層320。導電屏障層312、314和金屬層316形成一金屬電極310。該一或多個導電屏障層可包括氮化鈦(TiN)、鎢矽氮化物(WSixN)、氮化鎢(WN)、碳化鉭(TaC)、和氮化鉭(TaN)。該至少一金屬層可包括鈦(TI)與鎢(W)。在一些實施例中,導電屏障層312,314分別是TiN和WN。在一些實施例中,金屬層是鎢(W)。該浮柵層206包括導一電物質,如多晶矽(Si)。覆蓋層320可包括一絕緣材料,如氮化矽(SiN)。
如圖3B所示,在一選擇性氧化製程中,一氧化層330可選擇性地針對含非金屬層(例如,隧道氧化層304和浮柵層306)來形成,而不是正在針對含金屬層(例如,包括金屬電極310之層312,314,316),詳如下述。應明白,依據本文提供的教示,包括含金屬層和含非金屬層之其他應用之薄膜堆疊亦可有利地選擇性氧化。
回到圖1,製程100始於110,其中所提供的一基板202具有欲在其上進行氧化之一薄膜堆疊(例如,圖2A之薄膜堆疊240,或圖3A之薄膜堆疊340)。在一些實施例中,薄膜堆疊(例如,240,340)可形成在基板202之112,然後提供給一合適的電漿製程腔室,以進行氧化製程。例如,薄膜堆疊可製作於耦接至一叢集工具的一或多製程腔室,該叢 集工具也耦接有一合適的氧化製程腔室。例如,一合適的叢集工具是一Gate Stack CENTURA,其可從應用材料公司(加利福尼亞州聖克拉拉市)獲得。
接著,在120,一製程氣體可提供給一電漿製程腔室。製程氣體可被用於如本文所述的實施例之一純或選擇性氧化,並可加以利用,以在薄膜堆疊(例如,240,340)上形成氧化層(例如,230,330),如上所述。在以下的每一項實施例中,製程氣體、流量、比例、和其他製程參數可任意組合使用,除非特別註明。
在一些實施例中,且適用於純或選擇性氧化製程,製程氣體可包括一含氫氣體、一氧化氣體、及下列至少一者:一氮化氣體(如含氮氣體)或一補充氧化氣體(如補充含氧氣體)。已經發現增加氮化氣體或補充氧化氣體可以促進對含金屬層的選擇性,從而促進本文所述之選擇性氧化製程。該含氫體可包含氫氣(H2)或水蒸汽(H2O)。該含氧體可包含氧氣(O2)或水蒸汽(H2O)。氮化氣體可包括氨(NH3)、一氧化氮(NO)、一氧化二氮(N2O)、以及它們的組合。補充氧化氣體可包括臭氧(O3)和過氧化氫(H2O2)、以及它們的組合。在本文所述之實施例中,水蒸汽(H2O)可作為含氫氣體或含氧化氣體,但不能同時作為兩者。
在一些實施例中,該製程氣體可包括含氫氣體、氧化氣體和氮化氣體。例如,在一些實施例中,該製程氣體可包括氫(H2)、水蒸汽(H2O)、及下列至少一者:氨(NH3)、一氧化氮(NO)、或一氧化二氮(N2O)。在一些實施例中, 該製程氣體可包括氫(H2)、氧(O2)、及下列至少一者:氨(NH3)、一氧化氮(NO)、或一氧化二氮(N2O)。已發現,增加一氮化氣體可在存在有一含金屬層的情況下,促進一含非金屬層的選擇性氧化,而不氧化該含金屬層。例如,選擇性氧化可在存在有一含金屬層的情況下執行,該含金屬層包括氮化鈦(TiN)、鎢矽氮化物(WSixN)、氮化鉭(TaN)、碳化鉭(TaC)、等等,而不氧化該含金屬層。
在一些實施例中,該製程氣體包括含氫氣體、氧化氣體和補充氧化氧體。例如,在一些實施例中,該製程氣體可包括水蒸汽(H2O)、氧氣(O2)、及下列至少一者:過氧化氫(H2O2)或臭氧(O3)。在一些實施例中,該製程氣體可包括氫氣(H2)、氧氣(O2)、及下列至少一者:過氧化氫(H2O2)或臭氧(O3)。已發現,當存在有一含金屬層,增加一補充氧化氣體有利於含非金屬層的選擇性氧化,而不氧化該含金屬層。例如,選擇性氧化可在存在有一含金屬層的情況下執行,該含金屬層包括氮化鈦(TiN)、鎢矽氮化物(WSixN)、氮化鉭(TaN)、碳化鉭(TaC)、等等,而不氧化該含金屬層。
在一些實施例中,一氮化預處理可在引入一製程氣體之前的第一步驟進行,該製程氣體包含第二步驟的一含氫氣體和一含氧氣體。氮化預處理包括引入一氮化氣體,質包括上述氮化氣體之至少一者。含氫氣體和含氧氣體其每一可包括任何一種或一種以上的上述氣體。例如,在一些實施例中,該氮化氣體可包括下列至少一者:氨(NH3)、一氧化氮 (NO)、或一氧化二氮(N2O),以及該製程氣體可包括氫(H2)、氧(O2)。在一些實施例中,該氮化氣體可包括下列至少一者:氨(NH3)、一氧化氮(NO)、或一氧化二氮(N2O),以及該製程氣體可包括氫(H2)、水蒸汽(H2O)。
在一些實施例中,所提供之一製程氣體包括一含氫氣體,其包括至少氨(NH3)和一含氧氣體,其包括下列至少一者:氧氣(O2)、臭氧(O3)、一氧化氮(NO)或一氧化二氮(N2O)。該含氫氣體可額外包括氫氣(H2)。
在一些實施例中,可控制在120提供的製程氣體之混合,以利於提供一純或選擇性氧化製程。例如,在一些實施例中,一純氧化製程之進行可利用提供任何上述製程氣體組合之該含氫氣體達到所提供製程氣體之總量的約百分之七十五,或在一些實施例中,達到約百分之六十。在一些實施例中,一選擇性氧化製程之進行可利用提供任何上述製程氣體組合之該含氫氣體達到所提供製程氣體之總量的約百分之五十,或在一些實施例中,達到約百分之七十五。
在一些實施例中,可用約100-2000 sccm,或約400 sccm的總流量,來提供該製程氣體(或氣體混合物)。例如,在氧氣(O2)和氨(NH3)都有提供之實施例中,可在上述之比例範圍內以總流量介於約100-2000 sccm或約400 sccm,來提供氧氣(O2)和氨(NH3)。因此,在提供氧氣(O2)、氨(NH3)和氫氣(H2)的實施例中,可在上述比例範圍內用上文所述之相同的總流量,來提供該製程氣體。
在一些實施例中,也可提供一或多惰性載氣。如有 必要,可用介於約100-2000 sccm之總流量來提供惰性氣體,並提供具有高達約百分之五十左右的含氫氣體之一製程氣體混合物。惰性氣體的增加也可用於防止離子氧和/或氫重新結合。激發態雙原子分子通常傾向於在一電漿中與自己重組,所以增加惰性氣體(如氬、氦、氪、氖、等等)可有利於較高的氧化率。
表一,如上述,總結製程氣體組合和製程氣體混合物或比例之各種實施例,用以進行依據本發明之實施例之純或選擇性氧化。
可形成厚度約5-100埃之氧化層(例如,230,330)。製程100可提供每分鐘介於約7-50埃,或至少約25埃的氧化層增長率。與傳統的氧化製程相比,本發明之製程有利於以較低的熱預算形成如上述之氧化,從而藉由減少基板曝露至製程的時間,以限制擴散的影響。在一些實施例中,該製程可持續時間約5-300秒之間。
當形成氧化層(例如,230,330)在薄膜堆疊(例如,240,340)上達到一理想厚度時,製程100結束。如有需要,其後可進一步處理基板202,以完成在其上製備之結構。
本發明之實施例可進行於適當裝配的電漿反應器,如解耦電漿氧化(DPO)反應器,其可以獲取自應用材料公司(加利福尼亞州的聖克拉拉,或其他地方),參考圖4說明如下。也可使用其他合適的電漿反應器,包括遠端電漿氧化(RPO)反應器,或環形源電漿浸沒離子佈植反應器,如P3I,其可獲取自應用材料公司,其將參考圖5-6分別說明如下。例 如,圖4描繪一說明性電漿反應器400,其適合依據本發明之實施例進行氧化形成製程。藉由以一脈衝或連續波(CW)射頻功率產生器驅動之電感耦合電漿源電源器,電漿反應器400可提供一低能量電漿。反應器包括一腔室410,其具有一圓柱形側壁412和一頂板414,其可以是圓頂形狀(如圖所示)、平坦狀、或其他形狀。電漿源電源器包括一線圈天線416,其置於頂板414之上,並藉由一阻抗匹配網路418耦接至一射頻功率源,其包含在產生器420的輸出處之一射頻功率產生器420和一閘422,該產生器420係由一脈衝信號控制,該脈衝信號具有一選擇性的工作週期。射頻功率產生器420係配置為提供約50瓦特至2500瓦特間之電力。應理解,可以利用其他低離子能源產生電漿源電源器,如遠端射頻或微波電漿源。
電漿反應器400還包括一基板支撐座424,如一靜電夾頭或其他合適的基板的支架,用以固定一半導體基板426,例如一200或300毫米半導體晶片等等。基板支撐座424一般包括一加熱裝置,例如,位於基板支持座424頂部表面之下的一加熱器434。加熱器434可以是一單個或多個區域加熱器,例如,一雙徑區域加熱器,其在徑向上具有內部和外部加熱元件434a,434b,如圖4所示。
電漿反應器400還包括一氣體噴射系統428和一真空泵430,其耦合至腔室內部。氣體噴射系統428被提供給一或多製程氣源,例如,一氧容器432、一氫容器462、一水蒸汽容器468、或一特定應用所需之其他製程氣源。分別耦接至 氣源(例如,氧容器432、氫容器462、水蒸汽容器468等)之流量控制閥466,464,470可用於在處理製程中選擇性地提供製程氣體或製程氣體混合物至腔室內部。亦可提供用以提供額外的氣體,例如,惰性氣體(氦、氬、等等)、氣體混合物、等等之其他氣源(未顯示)。腔室壓力可藉由真空泵430之一節流閥438來控制。
可藉由控制一脈衝產生器436的工作週期,來控制在閘422之脈衝射頻功率輸出的工作週期,其中該脈衝產生器436係耦接至閘422。電漿係產生在一離子產生區域440,其對應於被線圈天線416所包圍之頂板414底下的一體積。當電漿與基板426相距一距離,而形成於腔室410之上游區域,該電漿被稱為一準遠端電漿(例如,該電漿有遠端電漿形成之優點,但形成在與基板426相同的製程腔室410。)或者,當離子產生區域440被置於腔室410之外,可以利用一遠端電漿。
在操作中,可使用電漿反應器400,以依據本發明之實施例來進行氧化製程,以使沉積的氧化層具有降低缺陷之高品質,例如,一極低的缺陷密度、一減少的鳥嘴、等等。藉由減少脈衝射頻電漿的工作週期,可減少缺陷。可在一降低的溫度中進一步執行製程,該降低的溫度中可限制擴散,這是一個能使鳥嘴最少化之顯著優點。
例如,在130,可從電漿反應器400之製程氣體產生一電漿,以(完全或選擇性地)在薄膜堆疊(例如,240,340)上形成一氧化層。藉由電感耦合來自置於頂板414之線 圈天線416之射頻能量,可在腔室410之離子產生區域440產生電漿,從而有利地提供一低離子能量(例如,低於脈衝電漿之約5Ev,和低於CW電漿的15eV)。電漿的低離子能量限制離子轟擊的損傷,並促進堆疊的側壁之氧化,同時限制在其各層間氧的擴散,從而減少鳥嘴。
在一些實施例中,可用一合適頻率提供約25至5000瓦的功率給線圈天線116,以形成一電漿(例如,在MHz或GHz的範圍內,或約13.56兆赫或更高)。可以連續波或脈衝模式,及約2至70%之工作週期提供電源。
例如,在一些實施例中,可在連續“on”時間產生電漿,和電漿的離子能量允許在“off”間隔降低。“off”間隔分開連續的“on”間隔,及“on”和“off”間隔界定一可控制的工作週期。工作週期限制基板表面處的動態離子能量,使其低於一預定的閾值能量。在一些實施例中,該預定的閾值能量是約5 eV或其之下。
例如,在脈衝射頻功率的“on”時間期間,電漿能量增加,並在“off”的時間減少。在短的“on”時間期間,產生在離子產生區域440的電漿係鬆散對應於線圈天線416所包圍之體積。在基板426上升高離子產生區域140一顯著距離LD。在“on”時間產生在頂板414附近之離子產生區域440之電漿,在“off”時間期間以平均速度VD漂移向基板426。在每一個“off”時間,最快的電子擴散至腔室壁,使電漿冷卻。最有能量的電子以比電漿離子漂移速度VD更快的速度擴散至腔室牆。因此,在“off”時期間,在離子達到基板 426之前,電漿離子能量顯著下降。在接下來的“on”時間,在離子產生區域440產生更多的電漿,並重複整個週期。結果,到達基板426的電漿離子的能量大大減少。在較低的腔室壓力範圍中,即約10 mT及其以下,脈衝射頻者的電漿能量相較於連續射頻者減少許多。
脈衝波形的“off”時間和離子產生區域440和基板426之間的距離LD必須足夠,以使在離子產生區域440產生的電漿失去其足夠的能量,以在到達基板426時產生較少或不產生離子轟擊損壞或缺陷。具體來說,“off”的時間係由介於約2和30千赫,或在約10千赫之一脈衝頻率來界定,以及“on”工作週期係由介於約5%和20%的脈衝頻率來界定。因此,在一些實施例中,“on”間隔可持續約5-50微秒,或約20微秒,以及“off”間隔可持續約50-95微秒,或大約80微秒。
在一些實施例中,離子產生區域到基板的距離LD大於約2厘米之間,或在約2-20厘米之間。在脈衝射頻功率波形的一單一“off”時間期間,離子產生區域到基板距離LD可以大致相同於(或大於)距離VD乘以電漿離子行進的“off”時間。
在連續波和脈衝模式下,產生在330的電漿有利地平衡了在腔室中的氧和氫離子的共生,和足夠接近基板,以及控制離子能量以限制離子反應的損失,以防止離子轟擊導致損害或擴散損害(例如,鳥嘴)。
在130產生的電漿(如上文參照圖1所述)可形成 於一低壓製程,從而減少污染引致缺陷的可能性。例如,在一些實施例中,腔室410可將壓力維持在約1-500 mTorr之間。此外,在這麼低的腔室壓力水平下所預計的離子轟擊引起的缺陷會受到限制,或如上所述般,藉由使用準遠端電漿源,以及選擇性地藉由脈衝電漿源電力來防止。
基板可保持在室溫(約22攝氏度),或在約攝氏20-750度之間的溫度,或低於約攝氏700度,或低於攝氏600度。製程的低溫減少了電漿成分的離子能量,從而進一步限制各層堆疊200之間的氧擴散,從而進一步減少鳥嘴。在一些實施例中,亦可利用較高的溫度,例如,在遠端電漿氧化製程中低於大約攝氏800度。
如上文所述,本發明之實施例可執行於與上文所述之解耦電漿氧化腔室不同的腔室。兩個額外的示例性電漿反應器適合執行本發明之製程,包括快速和/或遠端電漿氧化(RPO)反應器,如圖5所示,以及一環形源電漿浸沒離子佈植反應器,例如,P3I,如圖6所示。這些反應器皆可獲取自應用材料公司(加利福尼亞州聖克拉拉市)。
圖5繪示一設備或系統之一實施例,其用於從製程氣體形成一電漿,並用來在一半導體結構沉積一氧化層,例如,圖2A-B和3A-B分別繪示之200和300。該設備或系統包括一個快速熱處理(RTP)設備500,例如但不僅限於,具有一HONEYCOMB SOURCETM之應用材料公司的RTP CENTURA。這樣一個合適的RTP設備和其操作方法載於讓予本發明之受讓人之美國專利第5,155,336號,陳明於此以供參 考。其他類的熱反應器可取代RTP設備,例如,應用材料公司所製造之EPi或Poly Centura單晶圓“Cold Wall”反應器,以用於形成高溫薄膜,例如,磊晶矽、多晶矽、氧化物、和氮化物。應用材料所製造的腔室DxZ也適合。
耦接至RTP裝置500的是一電漿噴頭502,在操作中提供電漿的自由基至RTP設備500。耦合至電漿噴頭502的是一能量源504,用以產生一激態能量以產生一電漿。
在圖5所示之實施例中,RTP設備500包括一製程腔室506,其由一側壁508和一底板510所圍繞。腔室506之側壁508的上部藉由O形環密封至一個窗口組件512。一輻射能量燈管組件或照明器514係置於窗口組件512之上並與其耦接。燈管組件514包括多個鹵鎢燈516(例如,Sylvania EYT燈),例如其每一個被安裝到燈管518,其可以由不銹鋼、黃銅、鋁或其他金屬製成。
通常為碳化矽所製成之一支撐環522在一腔室506內的一邊緣上支撐一晶圓或基板520。支撐環522安裝在一旋轉石英缸524。該旋轉石英缸524在製程期間使支撐環522和晶圓或基板520旋轉。一額外的碳化矽配接環可用於處理不同直徑的晶圓或基板(例如,150毫米,200毫米或300毫米晶圓)。
RTP設備500的底板510包括,例如,一金塗層頂部表面或反射器526,用以反射能量到晶圓或基板520的背面。此外,RTP設備500包括多個穿過RTP設備500的底板510配置之光纖探針528,以在底部表面上的多個位置檢測晶 圓或基板520的溫度。
RTP設備500包括穿過側壁508形成的一進氣口(未繪示),用以注入一製程氣體至506,以在腔室506進行各種處理步驟。在側壁508上,位在進氣口對面的是一出氣口(未繪示)。出氣口是一排氣系統的一部分,且耦接至一真空源,如泵(未繪示),用以從腔室506排出製程氣體和減少腔室506的壓力。當製程氣體(包括電漿自由基)在製程期間不斷注入腔室506,排氣系統維持所需的壓力。
另一進氣口530係穿過側壁508形成,藉以將製程氣體的電漿注入到製程腔室。噴頭502耦接至進氣口530,用以注入電漿的自由基至製程腔室。
燈管組件514可包括燈516,其呈一六角形陣列或呈一「蜂窩」狀配置。燈516係經配置以充分涵蓋晶圓或基板520及支撐環522的整個表面區域。燈516被區分為區域,以獨立控制來對晶圓或基板520提供極均勻的加熱。藉由在各燈管流過一冷卻劑(如水),可冷卻燈管518。
窗口組件512包括多個短燈管241。可注入一冷卻劑(例如,水)至燈管532之間以冷卻燈管532。燈管532與照明器之燈管518共同作用。藉由從連接至燈管532之一者的一管540,其中該燈管依續連接至其他燈管,可在多個燈管532中產生一真空。
RTP設備500是一單晶圓反應室,其能夠以攝氏25-100度/秒之速度,提高晶圓或基板520的溫度。RTP設備500被稱為是一“冷壁”反應室,因為晶圓或基板520的溫度 在例如一氧化製程的期間至少有攝氏400度以上,高於腔室側壁508的溫度。可以藉由在側壁508和/或底板510循環加熱/冷卻液,以將牆壁保持在一個理想的溫度。
如上所述,電漿噴頭502被耦接到RTP設備500,以提供一電漿的自由基來源給RTP設備500。在一實施例中,藉由一入口元件542,將電漿連接到RTP設備500。電漿噴頭502還包括一進氣口544。一氣源546耦接至進氣口544,例如,一儲液槽或貯存器。藉由波導548a和548b,將電漿噴頭502耦接到能量源504。
圖5繪示一實施例,因為在RTP設備500的腔室506外產生電漿,電漿噴頭502遠離RTP設備500。藉由將電漿噴頭502設置在RTP設備500的腔室506之遠端,可選擇性產生一電漿源以限制曝露至晶圓或基板520之電漿成份主要為自由基。因此,離子、自由基和電子的電漿係產生於電漿噴頭502。然而,由於電漿噴頭502的尺寸(例如,長度和體積)或電漿噴頭502和入口元件542的合併尺寸,藉由激發製程氣體所產生以形成一電漿的全部或大部分離子已超過它們的離子壽命,並成為電中性。因此,提供給RTP設備500之進氣口的電漿成份主要是自由基。
電漿噴頭502包括例如鋁或不銹鋼之一主體503。主體503包圍一管505。該管505之一示例為由石英或藍寶石製成。該管505最好沒有任何電偏壓出現,電偏壓可能吸引帶電粒子,例如離子。主體503的一端包括進氣口544。
氣源546耦合至進氣口544。氣源546藉由一三通 閥550的一第一入口耦接至進氣口544。三通閥550的一第二入口耦合到另一個製程的氣源552,例如,儲液槽或貯存器。在一第一位置,提供閥550以控制氣源546和進氣口544之間的氣體流量,同時防止任何氣體從氣源552流至製程腔室506。在一第二位置,閥550用於控制氣源552和製程腔室506間的氣體流量,同時防止氣體從氣源546流至噴頭的進氣口544。
流量控制器554係連接至閥550,以在不同位置之間切換閥,這取決於製程的進行。流量控制器可以作為質量流量控制器並耦合至氣源546和進氣口544之間,以調節流至電漿噴頭502的氣體。流量控制器554的功能類似控制閥550和551,可從氣源315提供一適當的製程氣體流量至製程腔室。
一自由基出口562被置於進氣口544之對面側。在一實施例中,自由基出口562耦合至進氣口元件542以供應電漿564的自由基至RTP設備500的腔室506。自由基出口562通常有一直徑大於進氣口544,使能以所需的流量充份排出激態自由基,並盡量減少自由基和管505之間的接觸。電漿噴頭502排出和產生的自由基之流速主要取決於來源氣體入口流量、管505和自由基出口562、及電漿噴頭中的壓力502。
製程腔室中的壓力應小於噴頭中的壓力。製程腔室中的壓力可介於約0.50和4.0 Torr之間,而噴頭中壓力可介於約1.0和8.0 Torr之間。例如,如果噴頭中壓力約2.00 Torr, 則製程腔室中壓力應該約為1.00 Torr。
能量源入口566位在主體503的進氣口544和自由基出口562間的一位置。能量源入口566允許從能量源504引入激態能量(例如,具有一微波頻率的能量)至管505。在一微波頻率的情況下,激態能量經由管505進入電漿噴頭502的主體503,激發以垂直於能量源入口566的方向行進到電漿之氣源。
可用於本發明之系統和方法的一噴頭可獲取自業凱公司(加州森尼維耳市)。
在一實施例中,能量源504包括一磁控568、一隔離器和一假負載570,這是規定的阻抗匹配。磁控568產生激態能量,例如,電磁或電感耦合頻率。該磁控管可以產生1.5和6.0千瓦的2.54 GHZ的微波能量。一適當的磁控組件可獲取自Applied Sciences and Technology馬薩諸塞州(沃伯恩市)或Daihen America(加利福尼亞州聖克拉拉市)。
來自磁控568的激態能量穿過隔離器和假負載570,和波導548a和548b到達管505。從某種意義上來說,假負載570的作動就像是一單向閥,使能量流的流向噴頭502而不會流向磁控568。
自動調節器572位在電漿噴頭502和波導548b之間。自動調節器使反射自噴頭502的輻射返回電漿噴頭,以增加供應至電漿噴頭502的能量。自動調節器572亦使微波能量距焦至管505的中心,使能量由饋送至噴頭的氣體優先吸收。雖然自動調節器較佳,但手動調諧器亦可使用。
提供給系統控制器556一控制信號產生邏輯555,其具有例如軟體指令邏輯之形式,該軟體指令邏輯是儲存在電腦可讀媒體(例如,系統控制器556的記憶體557)的電腦程式。其中電腦程式包括指令集,其決定一特定製程的時間、氣體流速、腔室壓力、腔室溫度、射頻功率水平、能量源管理和其他參數。電腦程式係由處理器559中的系統控制器556處理。
圖6之實施例說明環形源電漿離子浸沒佈植反應器,例如但不限於,應用材料公司的P3I反應器。這樣一個合適的反應器及其運作方式已載於已讓予與本發明相同受讓人之美國第7,166,524號專利,併陳於此以供參考。
請參考圖6,一個環形源電漿浸沒離子佈植(P3I)反應器600可包括一圓筒狀真空腔室602,其由一圓柱形側壁604和一碟形頂板所界定。位於腔室地板之晶圓支撐座608支撐欲處理之半導體晶圓610。天花板606上的一氣體分佈板或蓮蓬頭612在它的氣體歧管614從一氣體分配板616接收製程氣體,該氣體分配板616的氣體輸出可以是來自一或多個別氣體供應器618的任何一種氣體或氣體混合物。一真空泵620耦接到一泵環622,其界定在晶圓支撐座608和側壁604之間。一製程區域624被界定在晶圓610和氣體分佈板612之間。
一對外部返回導管626,628建立了電漿電流經由製程區域的返回環形路徑,該環形路徑與製程區域624相交。管道626,628的每個有一對端點630,其耦接至腔室相對側。 每個導管626,628是一空心導電管。每個導管626,628具有一直流絕緣環632,以防止在導管兩端點之間形成一封閉迴路導電路徑。
每個導管626,628的環形部分係被一環形磁芯634所圍繞。圍繞磁芯634之一激發線圈636藉由一阻抗匹配裝置640耦接到一射頻功率源638。耦接至激發線圈636之個別者的二射頻功率源638可以具有兩略異之頻率。耦接自射頻功率源638的射頻功率經由個別導管626,628和經由製程區域624在一封閉環形路徑產生電漿離子電流。這些離子電流以個別的射頻功率源638的頻率振盪。藉由偏壓產生器642,經由阻抗匹配電路644,施加偏壓功率於晶圓支撐座608。
可以藉由引進製程氣體至腔室624,經由氣體分佈板612和從射頻功率源638施加足夠的電源功率至返回導管626,628,以在導管和製程區域624中建立環形電漿電流,來執行電漿的形成和隨後的氧化層形成。由射頻偏壓產生器642施加的晶圓偏壓決定接近晶圓表面的電漿通量。電漿率或通量(每平方厘米晶圓表面每秒所取樣之離子數)是由電漿密度所決定,電漿密度係由射頻功率源638所施加的射頻功率的水平所控制。在晶圓610上累積的離子劑量(離子/平方厘米)係取決於通量和維持通量的總時間。
如果晶圓支撐座608是一靜電夾頭,然後在晶圓支撐座之一絕緣板648內提供一埋電極646,及該埋電極646藉由阻抗匹配電路644耦接至偏壓功率產生器642。
在操作上,藉由把晶圓610放置在晶圓支撐座608 上,引進一或多製程氣體至腔室602,及從製程氣體激發電漿,在半導體晶圓上形成一氧化層。可調整由射頻偏壓功率產生器642所傳送之晶圓偏壓,以控制流至晶圓表面的離子通量。
因此,本文已提供用以在一基板上、或在其上之薄膜堆疊上形成氧化層之製程實施例。本發明之製程有利地提供一薄膜堆疊的全部氧化,或在一薄膜堆疊的含金屬層上之氧化層的選擇性形成。
雖然上文以一些實施例描述本發明,但在不偏離本發明基本範圍的情況下亦可思及本發明之進一步實施例。

Claims (17)

  1. 一種在一基板上形成一氧化層的方法,包括下列步驟:提供一基板,該基板包含一含金屬層和一含非金屬層;將該基板曝露至一第一電漿,該第一電漿形成自包含一含氮氣體的一第一製程氣體;以及隨後將該基板曝露至一第二電漿,以在該含非金屬層的一曝露表面上形成一氧化層,該第二電漿形成自包含一含氫氣體與一含氧氣體的一第二製程氣體。
  2. 如申請專利範圍第1項所述之方法,其中形成一氧化層之步驟進一步包括:使該含金屬層的一曝露表面氧化。
  3. 如申請專利範圍第1項所述之方法,其中該含金屬層包含下列至少一者:鎢(W)、鈦(Ti)、氮化鈦(TiN)、鎢矽氮化物(WSixN)、氮化鎢(WN)、碳化鉭(TaC)和氮化鉭(TaN)。
  4. 如申請專利範圍第1項所述之方法,其中該含非金屬層包括下列至少一者:氧化矽(SiO2),氮氧化矽(SiON),或多晶矽(poly-Si)。
  5. 如申請專利範圍第1項所述之方法,其中該含氫氣體包 含下列至少一者:氫氣(H2)或水(H2O)。
  6. 如申請專利範圍第1項所述之方法,其中該含氧氣體包含下列至少一者:氧氣(O2)或水(H2O)。
  7. 如申請專利範圍第1項所述之方法,其中形成一氧化層之步驟進一步包括:藉由曝露至該第二電漿,選擇性地使該含非金屬層的該曝露表面氧化。
  8. 如申請專利範圍第1項所述之方法,其中該第一製程氣體包含下列至少一者:氨(NH3)、一氧化氮(NO)、或一氧化二氮(N2O)。
  9. 如申請專利範圍第1項所述之方法,其中該含氫氣體包含下列至少一者:氫氣(H2)或水(H2O),而該含氧氣體包含下列至少一者:氧氣(O2)或水(H2O),且其中該含氫氣體和該含氧氣體不能皆為水(H2O)。
  10. 如申請專利範圍第1項所述之方法,其中該第二製程氣體包含高達約60%之含氫氣體。
  11. 如申請專利範圍第1項所述之方法,其中該第二製程氣體包含高達約75%之含氫氣體。
  12. 如申請專利範圍第1項所述之方法,其中該第二製程氣體包含至少約50%之含氫氣體。
  13. 如申請專利範圍第1項所述之方法,其中該第二製程氣體包含至少約75%之含氫氣體。
  14. 如申請專利範圍第1項所述之方法,其中該第二製程氣體係以約100至2000sccm之總流量來供應。
  15. 如申請專利範圍第1項所述之方法,其中該製程持續時間約為5至300秒。
  16. 如申請專利範圍第1項所述之方法,其中該氧化層係形成為約5至100Å之一厚度。
  17. 如申請專利範圍第14項所述之方法,其中該第二製程氣體進一步包含足夠量之一惰性氣體,使得該第二製程氣體可以約100至2000sccm之總流量來供應。
TW102111448A 2008-03-14 2009-03-13 用於半導體裝置之氧化的方法 TWI557799B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3659508P 2008-03-14 2008-03-14
US12/401,895 US7947561B2 (en) 2008-03-14 2009-03-11 Methods for oxidation of a semiconductor device

Publications (2)

Publication Number Publication Date
TW201330102A TW201330102A (zh) 2013-07-16
TWI557799B true TWI557799B (zh) 2016-11-11

Family

ID=41063510

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102111448A TWI557799B (zh) 2008-03-14 2009-03-13 用於半導體裝置之氧化的方法
TW098108260A TWI406337B (zh) 2008-03-14 2009-03-13 用於半導體裝置之氧化的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW098108260A TWI406337B (zh) 2008-03-14 2009-03-13 用於半導體裝置之氧化的方法

Country Status (3)

Country Link
US (2) US7947561B2 (zh)
TW (2) TWI557799B (zh)
WO (1) WO2009114617A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
KR101127236B1 (ko) * 2008-12-29 2012-03-29 주식회사 하이닉스반도체 저항성 메모리 소자의 제조 방법
US8481433B2 (en) 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
JP5595481B2 (ja) * 2009-03-31 2014-09-24 アプライド マテリアルズ インコーポレイテッド 選択的窒素化の方法
US20100297854A1 (en) * 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
JP5036849B2 (ja) * 2009-08-27 2012-09-26 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法および基板処理装置
US8609554B2 (en) * 2011-01-19 2013-12-17 Macronix International Co., Ltd. Semiconductor structure and method for manufacturing the same
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
KR20130116099A (ko) * 2012-04-13 2013-10-23 삼성전자주식회사 반도체 장치 및 그 제조 방법
US20140034632A1 (en) * 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
KR102157839B1 (ko) * 2014-01-21 2020-09-18 삼성전자주식회사 핀-전계효과 트랜지스터의 소오스/드레인 영역들을 선택적으로 성장시키는 방법
US9871198B2 (en) * 2015-08-13 2018-01-16 Macronix International Co., Ltd. Method for manufacturing a resistive random access memory device
US9484406B1 (en) * 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
TWI716441B (zh) * 2015-09-03 2021-01-21 美商應用材料股份有限公司 用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法
JP2017168626A (ja) * 2016-03-16 2017-09-21 住友電気工業株式会社 面発光半導体レーザを作製する方法
US10689757B2 (en) 2017-01-03 2020-06-23 Applied Materials, Inc. Gas injection apparatus with heating channels
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10177227B1 (en) 2017-08-28 2019-01-08 Applied Materials, Inc. Method for fabricating junctions and spacers for horizontal gate all around devices
CN112470253A (zh) 2019-05-21 2021-03-09 玛特森技术公司 提高远程等离子体产生的氧化膜的质量的表面预处理工艺
CN113284797B (zh) 2020-02-20 2022-10-18 长鑫存储技术有限公司 半导体存储器的制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5260600A (en) * 1990-06-05 1993-11-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having protective insulating film
US20050019992A1 (en) * 2003-07-26 2005-01-27 Byung-Seop Hong Method for manufacturing gate electrode for use in semiconductor device
US7029999B2 (en) * 2003-06-30 2006-04-18 Hynix Semiconductor Inc. Method for fabricating transistor with polymetal gate electrode
TW200807558A (en) * 2006-05-30 2008-02-01 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05343391A (ja) 1992-06-04 1993-12-24 Fujitsu Ltd 半導体装置の製造方法
KR100477402B1 (ko) * 1997-11-20 2005-03-22 동경 엘렉트론 주식회사 플라즈마 박막 증착 방법
US6159835A (en) * 1998-12-18 2000-12-12 Texas Instruments Incorporated Encapsulated low resistance gate structure and method for forming same
TW520453B (en) 1999-12-27 2003-02-11 Seiko Epson Corp A method to fabricate thin insulating films
US6458714B1 (en) * 2000-11-22 2002-10-01 Micron Technology, Inc. Method of selective oxidation in semiconductor manufacture
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6753506B2 (en) * 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
JP2003086569A (ja) 2001-09-12 2003-03-20 Tokyo Electron Ltd プラズマ処理方法
US20040077142A1 (en) * 2002-10-17 2004-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition and plasma treatment method for forming microelectronic capacitor structure with aluminum oxide containing dual dielectric layer
US6803275B1 (en) * 2002-12-03 2004-10-12 Fasl, Llc ONO fabrication process for reducing oxygen vacancy content in bottom oxide layer in flash memory devices
US7189652B1 (en) 2002-12-06 2007-03-13 Cypress Semiconductor Corporation Selective oxidation of gate stack
KR100497607B1 (ko) * 2003-02-17 2005-07-01 삼성전자주식회사 박막 형성 방법 및 박막 증착 장치
JP4694108B2 (ja) 2003-05-23 2011-06-08 東京エレクトロン株式会社 酸化膜形成方法、酸化膜形成装置および電子デバイス材料
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7534709B2 (en) * 2003-05-29 2009-05-19 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US6955964B2 (en) 2003-11-05 2005-10-18 Promos Technologies, Inc. Formation of a double gate structure
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
US20050101147A1 (en) * 2003-11-08 2005-05-12 Advanced Micro Devices, Inc. Method for integrating a high-k gate dielectric in a transistor fabrication process
JPWO2005083795A1 (ja) 2004-03-01 2008-01-17 東京エレクトロン株式会社 半導体装置の製造方法及びプラズマ酸化処理方法
US7497959B2 (en) * 2004-05-11 2009-03-03 International Business Machines Corporation Methods and structures for protecting one area while processing another area on a chip
US7229931B2 (en) 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
WO2006016642A1 (ja) 2004-08-13 2006-02-16 Tokyo Electron Limited 半導体装置の製造方法およびプラズマ酸化処理方法
KR100580587B1 (ko) 2004-09-07 2006-05-16 삼성전자주식회사 반도체 장치의 제조 방법
US7521316B2 (en) * 2004-09-09 2009-04-21 Samsung Electronics Co., Ltd. Methods of forming gate structures for semiconductor devices
US20060105114A1 (en) 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7214628B2 (en) 2005-02-02 2007-05-08 Applied Materials, Inc. Plasma gate oxidation process using pulsed RF source power
US7141514B2 (en) 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
KR100900073B1 (ko) * 2005-03-16 2009-05-28 가부시키가이샤 히다치 고쿠사이 덴키 기판처리방법 및 기판처리장치
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
KR100689679B1 (ko) 2005-09-22 2007-03-09 주식회사 하이닉스반도체 반도체 소자 제조 방법
US7326655B2 (en) * 2005-09-29 2008-02-05 Tokyo Electron Limited Method of forming an oxide layer
WO2007099922A1 (ja) * 2006-02-28 2007-09-07 Tokyo Electron Limited プラズマ酸化処理方法および半導体装置の製造方法
US7799637B2 (en) 2006-06-26 2010-09-21 Sandisk Corporation Scaled dielectric enabled by stack sidewall process
US7407871B2 (en) * 2006-09-05 2008-08-05 Tech Semiconductor Singapore Pte Ltd Method for passivation of plasma etch defects in DRAM devices
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5260600A (en) * 1990-06-05 1993-11-09 Mitsubishi Denki Kabushiki Kaisha Semiconductor device having protective insulating film
US7029999B2 (en) * 2003-06-30 2006-04-18 Hynix Semiconductor Inc. Method for fabricating transistor with polymetal gate electrode
US20050019992A1 (en) * 2003-07-26 2005-01-27 Byung-Seop Hong Method for manufacturing gate electrode for use in semiconductor device
TW200807558A (en) * 2006-05-30 2008-02-01 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide

Also Published As

Publication number Publication date
US20110217850A1 (en) 2011-09-08
US7947561B2 (en) 2011-05-24
US8207044B2 (en) 2012-06-26
TW200945443A (en) 2009-11-01
US20090233453A1 (en) 2009-09-17
TWI406337B (zh) 2013-08-21
WO2009114617A1 (en) 2009-09-17
TW201330102A (zh) 2013-07-16

Similar Documents

Publication Publication Date Title
TWI557799B (zh) 用於半導體裝置之氧化的方法
US7645709B2 (en) Methods for low temperature oxidation of a semiconductor device
US9431237B2 (en) Post treatment methods for oxide layers on semiconductor devices
US8492292B2 (en) Methods of forming oxide layers on substrates
US6897149B2 (en) Method of producing electronic device material
US8043981B2 (en) Dual frequency low temperature oxidation of a semiconductor device
JP5922041B2 (ja) 周期的な酸化およびエッチングのための装置と方法
JP5921448B2 (ja) 周期的な酸化およびエッチングのための装置と方法
US8546273B2 (en) Methods and apparatus for forming nitrogen-containing layers
US20110065276A1 (en) Apparatus and Methods for Cyclical Oxidation and Etching
US20110189860A1 (en) Methods for nitridation and oxidation
US8435906B2 (en) Methods for forming conformal oxide layers on semiconductor devices
TW202027198A (zh) 用於形成過渡金屬材料的群集處理系統
US20100140683A1 (en) Silicon nitride film and nonvolatile semiconductor memory device
US11569245B2 (en) Growth of thin oxide layer with amorphous silicon and oxidation
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法