JP2000503478A - 二重基板ホルダを有する基板搬送装置 - Google Patents

二重基板ホルダを有する基板搬送装置

Info

Publication number
JP2000503478A
JP2000503478A JP9526114A JP52611497A JP2000503478A JP 2000503478 A JP2000503478 A JP 2000503478A JP 9526114 A JP9526114 A JP 9526114A JP 52611497 A JP52611497 A JP 52611497A JP 2000503478 A JP2000503478 A JP 2000503478A
Authority
JP
Japan
Prior art keywords
substrate
holder
movable arm
arm assembly
holders
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9526114A
Other languages
English (en)
Other versions
JP4084417B2 (ja
Inventor
リチャード エス. ムカ
ジェームズ シー.ジュニア デーヴィス
クリストファー エイ. ホフマイスター
Original Assignee
ブルックス オートメーション インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルックス オートメーション インコーポレイテッド filed Critical ブルックス オートメーション インコーポレイテッド
Publication of JP2000503478A publication Critical patent/JP2000503478A/ja
Application granted granted Critical
Publication of JP4084417B2 publication Critical patent/JP4084417B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/06Programme-controlled manipulators characterised by multi-articulated arms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • B25J9/107Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms of the froglegs type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 基板供給装置(234、235)、基板搬送モジュール(232)、および基板処理モジュール(236)を有する基板処理装置(230)。搬送モジュール(232)は、可動アームアセンブリおよびこのアセンブリに取付けられた2つの基板ホルダ(200a、200b)を有する。基板ホルダ(200a、200b)は各々、同時に2つの基板を保持するための2つの別々の保持部域を有する。可動アームアセンブリは二対の被駆動アーム(248、249、250、251)を有する。各々の被駆動アーム対(248、249、250、251)は、可動アームアセンブリの中心との関係において半径方向径路に沿ってホルダ(200a、200b)を拡張し引込めるため、ホルダ(200a、200b)のうちの別々のものに連結されている。

Description

【発明の詳細な説明】 二重基板ホルダを有する基板搬送装置 発明の背景 1.発明の分野 本発明は、基板処理装置に関し、より詳細には、各々が同時に1つより多い基 板を搬送することのできる基板ホルダを有する基板搬送に関する。 2.従来の技術 マトソンテクノロジー社(Mattson Technology)は、2つの半導体ウェーハを 同時にプロセスチャンバ内へ、およびこのチャンバから外へ移動させる、ASP ENシステムとして知られているシステムを所有している。従来の技術では、バ ッチ式システム、枚葉式ウエーハシステムおよびクラスタ・ツールシステムも同 様に知られている。米国特許第4,951,601号は、多重処理チャンバを備 えた基板処理装置および基板搬送装置を開示している。米国特許第5,180, 276号は2つの基板ホルダを有する基板搬送装置を開示している。米国特許第 5,270,600号は、基板搬送装置の同軸駆動シャフトアセンブリを開示し ている。米国特許第4,094,722号は、4枚のウェーハを保持する回転可 能なパレットを開示している。米国特許第4,381,965号は、多重平面電 極プラズマエッチング装置を開示している。米国特許第4,675,096号は 、並んだ取込みおよび取出し位置 を有する取込み取出しチャンバを開示している。その他の関連技術としては、以 下のものが含まれる: 米国特許第1,190,215号;米国特許第2,282,608号;米国特 許第3,730,595号;米国特許第3,768,714号;米国特許第3, 823,836号;米国特許第3,874,525号;米国特許第4,062, 463号;米国特許第4,109,170号;米国特許第4,208,159号 ;米国特許第4,666,366号;米国特許第4,721,971号;米国特 許第4,730,975号;米国特許第4,907,467号;米国特許第4, 909,701号;米国特許第5,151,008号;米国特許第5,333, 986号;米国特許第5,447,409号、欧州公開公報第0423608号 、日本公開公報第2−292153号。 発明の概要 本発明の一実施例によれば、可動アームアセンブリと2つの基板ホルダを含む 基板搬送装置が提供されている。可動アームアセンブリは二対の被駆動アームを 有し、2つの基板ホルダは、少なくとも2つの離隔した基板をその上に同時に保 持するために適切なサイズおよび形状を有する第1のホルダを含んでいる。各々 の基板ホルダは、被駆動アーム対のうちの別々の一対に対し個別に連結されてい る。 本発明のもう1つの実施例によれば、基板供給装置、基 板搬送モジュールおよび基板処理モジュールを含む基板処理装置が提供されてい る。基板搬送モジュールは、基板供給装置に対して連結され、可動アームアセン ブリ、およびこのアセンブリの中心との関係における拡張および引込みのために 、このアセンブリに取付けられた2つの基板ホルダを内含している。ホルダのう ち第1のものは、2枚の基板を同時に保持するための2つの別々の保持部域を有 する。基板処理モジュールは、基板搬送モジュールに連結され、可動アームアセ ンブリおよび第1のホルダによって処理モジュール内に搬送された2枚の基板を 、同時に収容するような適切なサイズおよび形状を有する。基板搬送モジュール は、搬送モジュールの中心軸のまわりで基板ホルダを回転させることなく、2枚 以上の基板を移動させることができる。 本発明のもう1つの実施例によれば、可動アームアセンブリおよび2つの基板 ホルダを含む基板搬送装置が提供されている。可動アームアセンブリは、2本の 駆動アームと二対の被駆動アームを有する。各々の被駆動アーム対は、駆動アー ムのうちの第1のものに連結された第1の被駆動アームおよび駆動アームのうち の第2のものに連結された第2の被駆動アームを有する。2つの被駆動アーム対 は一般に、駆動アームの反対側に位置設定されている。2つの基板ホルダは、被 駆動アーム対のうちの別々のものに個別に連結されている。2つの基板ホルダは 、各々が一度に1つ 以上の基板を同時に保持するため各ホルダのための1つより多い別々の基板保持 部域を有する。 本発明のもう1つの実施例によれば、フレーム部材とマウントを含む基板ホル ダが、基板搬送装置と共に使用するために提供されている。フレーム部材は、フ レーム部材の前方端部内への2つの離隔したリセスを伴う全体的に平坦な平面形 状を有する。マウントは、フレーム部材を搬送装置に取付けるためにフレーム部 材に連結されている。 図面の詳細な説明 本発明の前述の特徴は、添付図面と合わせて取上げられる以下の記述の中で説 明されている。 すなわち、 図1は、本発明の特徴を有する基板搬送装置を含む基板処理装置の全体的上面 平面図である。 図2は、図1に示されている基板搬送装置の可動アームアセンブリのX字形の 部分の上面平面図である。 図3は、部分的に切断した部分を伴う図2に示されているX字形部分の端面図 である。 図4A〜4Eは、5つの異なる位置における基板ホルダおよび可動アームアセ ンブリを示す、図lの基板搬送装置の概略的上面平面図である。 図5は、本発明の別の実施例の部分的に切断した部分を伴う端面図である。 図6は、基板ホルダの別の実施例の上面平面図である。 図7は、図6に示されているホルダのうちの2つを用いた基板処理装置の概略 的上面平面図である。 図8は、上に4つの基板を有する、図7に示されている搬送装置の拡大上面平 面図である。 図9Aは、第1の拡張位置における図8に示されている搬送装置の概略的上面 平面図である。 図9Bは、第2の拡張位置における搬送装置を示す図9Aと同様の概略的上面 平面図である。 図10は、異なる2つのタイプの基板ホルダを有する搬送装置の別の実施例の 概略的上面平面図である。 図11は、基板ホルダのもう1つの別の実施例の斜視図である。 好ましい実施例の詳細な説明 図1を参照すると、本発明の特徴を内含する基盤搬送装置12を有する基板処 理装置10の概略的上面平面図が示されている。本発明について図面中に示され ている実施形態を参考にして以下に記述していくが、本発明が数多くの形の代替 実施形態において実施できるということを理解しておくべきである。さらに、適 切なあらゆるサイズ、形状またはタイプの材料または要素を使用することが可能 である。 基板搬送装置12に加えて、基板処理装置10には、多重基板処理チャンバ1 4およびチャンバ15に連結された基板カセット昇降機16が含まれている。搬 送装置12は ンバ14および昇降機16の間および/または中に半導体ウェーハまたはフラッ トパネル表示装置のような平面基板を搬送するように適合されている。代替実施 例においては、搬送装置12は、適当なあらゆるタイプの基板処理装置の中で使 用することができる。 同様に図2、3および4Eを参照すると、搬送装置12は一般に可動アームア センブリ18、同軸駆動シャフトアセンブリ20および2つの基板ホルダ22、 23を含んでなる。同軸駆動シャフトアセンブリ20は、第2のシャフト26の 内部に回転可能な形で位置設定された第1のシャフト24を内含している。2本 のシャフト24、26は、互いとの関係において反対方向で、そして同一方向で 互いに一斉に軸方向回転でき、矢印Zで示されているとおり互いに上下に移動可 能である。このような同軸駆動シャフトアセンブリの1つが、本書に全体が参照 として内含されている米国特許第5,270,600号の中で開示されている。 ただし、非同軸駆動アセンブリまたは2本以上の駆動シャフトを伴う同軸駆動ア センブリを内含する適切なあらゆるタイプの駆動アセンブリを使用することがで きる。 可動アームアセンブリ18は、全体にX字形の区分28と4本の遠位アーム3 0、31、32、33を含んでなる。遠位アーム30、31、32、33はX字 形区分28に対し基板ホルダ22、23を連結する。X字形の区分28は、2本 の交叉したアーム42、43の4本の近位アーム区分 38、39、40、41を形成する3つのアーム部材34、35、36を有する 。区分28は、X字形をしているものとして言及されている。しかし、2本のア ーム42、43は、駆動シャフトアセンブリ20に対するその中心連結において 互いとの関係において移動可能である。したがって、X字形区分28は、可動な または再構成可能なX字形をしている。図1および4Cに示されている1つの位 置において、X字形区分は、2本のアーム42、43が直接互いと心合せされて いることから、その全体的なX字形を失っている。しかしながら、その他のすべ ての心合せされていない位置において、区分28は全体にX字形の断面形状を有 する。したがって、区分28は、ここではそれ以上にふさわしい記述用語がない ため、X字形の区分と呼ばれている。2つの交叉したアーム42、43は全体的 にX字形を形成している。第1のアーム42は、第1および第3のアーム区分3 8、40を形成する第1のアーム部材34を含んでなる。第2のアーム43は、 第2および第4のアーム区分39、41を形成する第2および第3のアーム部材 35、36を含んでなる。 図3を見れば最もよく分かるように、第1のアーム部材34は、ネジ44によ り第1の駆動シャフト24に固定的に取付けられている。第1のアーム区分38 は、その遠位端部にピボット46を有し、第1の高さでシャフトアセンブリ20 に連結されている。第1のアーム区分38より下 にストッパ48が延びている。第3のアーム区分40は、第1のアーム区分38 と一体となっている。第3のアーム区分40は、駆動シャフトアセンブリ20が 中を通過できるようになっているアパーチャ50を有する。第3のアーム区分4 0は、アセンブリ20上の第3の高さで駆動シャフトアセンブリ20から延びて いる。第3のアーム区分40の遠位端部に位置設定されているのは、ピボット5 4をもつ上部張出し区分52を備えた上向き延長部分51である。張出し区分5 2は、X字形の中心に向かって内向きに延びている。第2のアーム区分39は、 駆動シャフトアセンブリ20が中を通過できるようになっているアパーチャ58 を有する。第2のアーム区分39は、ネジ56により第2の駆動シャフト26に 固定的に取付けられている。第2のアーム区分39は、アセンブリ20上の第2 の高さで駆動シャフトアセンブリ20から延びている。第2のアーム区分39の 遠位端部に位置設定されているのは、直立支柱62上のピボット60である。第 4のアーム区分41は、駆動シャフトアセンブリ20が中を通過できるようにな っているアパーチャ64を有する。第4のアーム区分41は、ネジ66により第 2の駆動シャフト26に固定的に取付けられている。第4のアーム区分41の遠 位端部は、ピボット70をもつ上部張出し区分68を伴う上向き延長部分69を 有する。第4のアーム区分41は、アセンブリ20上の第4の高さで駆動シャフ トアセンブリか ら延びている。このようにして、4つのアーム区分38、39、40、41は、 アセンブリ20上の異なる4つの高さにおいて駆動シャフトアセンブリ20から 延びている。第3のアーム区分40および第4のアーム区分41は、第1および 第2のアーム区分38、39の遠位端部が中を通過できるようにするため溝路7 2、74を形成する。 図4Eを見れば最もよく分かるように、第1の遠位アーム30は、第1のアー ム区分38の第1のピボット46上に旋回可能な形で取付けられた1つの端部お よび第1の基板ホルダ22に旋回可能な形で取付けられた反対側の端部を有する 。第2の遠位アーム31は、第2のアーム区分39の第2のピボット60上に旋 回可能な形で取付けられた一方の端部および第1の基板ホルダ22に旋回可能な 形で取付けられた反対側の端部を有する。このようにして、第1のホルダ22は 、X字形区分28の交叉したアーム42、43の各々から1つずつのピボット4 6、60の対に対し、旋回可能な形で取付けられている。第3の遠位アーム32 は、第3のアーム区分40の第3のピボット54上に旋回可能な形で取付けられ た一方の端部および第2の基板ホルダ23に対し旋回可能な形で取付けられた反 対側の端部を有する。第4の遠位アーム33は、第4のアーム区分41の第4の ピボット70上に旋回可能な形で取付けられた一方の端部および第2の基板ホル ダ23に旋回可能な形で取付けられた反対側の端部を有している。このように して、第2のホルダ23は、X字形区分28の交叉したアーム42、43の各々 から1つずつのピボット54、70の対に対して旋回可能な形で取付けられてい る。変形実施例においては、X字形区分28および/またはホルダ22、23に 対する遠位アームのその他のタイプの連結を具備することも可能である。同様に 、遠位アーム30、31、32、33とは異なるコネクタまたは連結用アセンブ リも具備することができる。 第1のピボット対46、60およびその対応する遠位アーム30、31は、第 1の相対的下部移動平面内に位置設定されている。第1の基板ホルダ22も同様 に、この第1の相対的下部平面内に位置設定されている。第2のピボット対54 、70およびその対応する遠位アーム32、33は、第2の相対的上部移動平面 内に位置設定されている。第2の基板ホルダ23も同様に、この第2の相対的上 部平面内に位置設定されている。好ましい一実施例においては、第1の遠位アー ム対30、31は、ホルダ22を一定の向きに保つよう動きの位置合せのためホ ルダ22においてかみ合った歯車区分を有する。第2の遠位アーム対32、33 も同様に、好ましくは、ホルダ23を一定の向きに保つよう動きの位置合せのた めホルダ23においてかみ合った歯車区分を有する。ただし、ホルダ22、23 を適切に方向づけした状態に保つためのあらゆる適切なタイプのシステムを使用 することができる。 基板ホルダ22、23は、チャンバ14および昇降機16に挿入し、そこから 取出すように適合されている。ホルダ22、23は、上に基板を保持し、かくし て、基板をチャンバ14と昇降機16の間および/またはその中で移動させるこ とができるように適合されている。チャンバまたは昇降機内に適切にまっすぐ挿 入するために、ホルダ22、23が常に外向き方向をポイントしているような形 で基板ホルダを可動アームアセンブリ18と心合せされた状態に保つための適当 な手段が具備される(図示せず)。これには、その全体が参考として本書に内含 されている「ヒンジ留め式アーム移送装置」という名称の米国特許出願第08/ 421,533号の中に記述されているような、かみ合わさった歯車の歯または S字帯継手拘束手段を有するホルダ22、23における遠位アーム30、31、 32、33の端部、が含まれ得る。代替実施例においては、任意の適切なタイプ の基板ホルダまたは方向性拘束手段を使用することができる。 ここで図4A〜4Eを参照しながら、基板移動装置12について記述する。図 4Cおよび図1は、ホームポジションにある装置12を示している。このホーム ポジションにおいて、両方の駆動シャフト24、26は共に、チャンバ14また は昇降機16のうちの選ばれた一方の前でホルダ22、23を回転させるため同 じ方向に回転され得る。このホームポジションにおいて、上部ホルダ23は、下 部 ホルダ22より上に位置設定されている。遠位アーム33が遠位アーム30上に 位置設定されている。遠位アーム32は遠位アーム31の上に位置設定されてい る。第1のアーム区分38は、張出し区分68を除いて、第4のアーム区分41 の上に位置設定されている。第2のアーム区分39は、張出し区分52を除いて 、第3のアーム区分40の上に位置設定されている。 図4Aは、下部ホルダ22が引込み位置にある状態での拡張位置にある上部ホ ルダ23を示している。図4Bは、図4Cに示されているホームポジションと図 4Aで示されている上部ホルダ拡張位置の間の装置12の中間位置を示す。これ らの2つの位置の間で移動するため、2本の駆動シャフト24、26は互いとの 関係において逆の方向で、軸方向に回転させられる。図4Eは、上部ホルダ23 が引込み位置にある状態での拡張位置にある下部ホルダ22を示す。図4Dは、 図4Cに示されたホームポジションと図4Eに示された下部ホルダ拡張位置の間 の装置の中間位置を示す。2つのホルダ22、23は、上部ホルダ23が下部ホ ルダ22より上の一平面内で移動している状態で、それらの拡張位置とホームポ ジションの間で相対して一斉に移動させられる。可動アームアセンブリ18は、 引込められているホルダがX字形の区分の中心により近いところで移動するのに 充分な余裕を与える。ストッパ48は、2本の交叉したアーム42、43の互い との関係における軸方 向回転を制限する。可動アームアセンブリ18は、各アーム42、43が約16 0°回転できるように設計されている。しかし、代替実施例においては、その他 の回転度を提供することも可能である。X字形の区分28は、図4Aおよび4E に示されている位置の間でのアーム区分38、39、40、41の妨害のない動 き、および遠位アームとホルダの2つの異なる相対的運動平面における妨害のな い動きを可能にするように設計されている。これにより、2つのホルダ22、2 3をアセンブリ18の同じ側に位置設定することが可能となる。遠位アームの2 つの対30、31および32、33は、それらのそれぞれの基板ホルダ22、2 3のための前アーム区分として機能する。代替実施例では、2つ以上の基板ホル ダを具備することができ、かつ/またはアセンブリ12の付加的側面上に位置設 定できる。駆動シャフトアセンブリ20は、意図された収容用チャンバ14また は昇降機16の開口部と拡張させるべきホルダを心合せするため、Z方向(図3 参照)にホルダ22、23を垂直に移動させる。アセンブリの同じ側に2つのホ ルダ22、23を位置づけすることによって、基板処理装置10内の処理能力を スピードアップさせることができる。装置12の同じ側へのホルダ22、23の 位置づけは、一方のホルダがもう一方のホルダの上の一平面内で移動している状 態で、実質的に平行な径路に沿ってホルダを重ねて移動させることができるよう にすることによって達成され る。 ここで図5を参照すると、本発明の代替実施例が示されている。搬送装置11 2は、2本の駆動シャフト124、126を伴う同軸駆動シャフトアセンブリ1 20を有する。可動アームアセンブリ118は4本の駆動アーム138、139 、140、141および4本の被駆動アームまたは前アーム130、131、1 32、133を有する。2つのホルダ122、123は、前アーム130、13 1、132、133の端部に取付けられている。この実施例においては、第2お よび第4の駆動アーム139および141は締め具156により互いに固定的に 連結されている(この締め具のうち1つのみが図示されている)。第4の駆動ア ーム141は(うち1つのみが図示されている)、締め具166によって外部駆 動シャフト126の上部に固定的に取付けられている。このようにして、外部駆 動シャフト126が移動すると、第2および第4の駆動アーム139、141が 移動する。第3の駆動アーム140は、締め具140bが取付けられている一区 分140aを有する。締め具140bは、同様に、第1の駆動アーム138の区 分138aにも取付けられている。こうして、第1の駆動アーム138は、第3 の駆動アーム140に固定的に取付けられることになる。第4の駆動アーム14 1は、区分140aが中を移動できるようにするポケット180を有する。第1 の駆動アーム138は(うち2つのみが示されている)、 締め具144により内部駆動シャフト124の上部に固定的に取付けられている 。第3の駆動アーム140は、それに取付けられ、かつ、その上にピボット15 4をもつ1つの延長部分151を有している。同様に、第4の駆動アーム141 は、それに取付けられ、かつ、ピボット170をもつ延長部分169を有してい る。第1および第2の駆動アーム138、139は同様に、それぞれピボット1 46、160を有している。4本の前アーム130、131、132、133は 、適当な軸受を用いてピボット146、154、160、170上に取付けられ ている。この実施例は、図3に示されているものに比べ、さらにコンパクトであ り、製造がさらに容易である。駆動アームがそのそれぞれの駆動シャフトとの関 係において移動する可能性も事実上全くない。 もう1つの代替実施例においては、2つの駆動シャフトアセンブリを使用する ことが可能である;すなわち1本の駆動シャフトはチャンバ15内へ上向きに延 び、もう1本はチャンバ15内に下向きに延びている。図1を参照すると、被駆 動アームは駆動シャフトの片側で単一の半径方向に拡張したり引込んだりできる ことから、基板ホルダは、駆動シャフトアセンブリの中心軸のまわりで基板ホル ダを回転させることなく、チャンバ14または昇降機16のうちの1つから基板 を引出し、同じチャンバ14または昇降機16の中に基板を挿入することができ る。こうして明ら かに、基板搬送時間を節約することができる。駆動シャフトアセンブリの同じ側 面に被駆動アームおよび基板ホルダを有することができるということは、本発明 の重要な特徴であり、改善点でもある。 ここで図6を参照すると、基板ホルダ200の一代替実施例が示されている。 ホルダ200は一般にフレーム202とマウント204を含んでなる。フレーム 202は、フレーム202の前方端部210内への2つの離隔したリセス206 、208を伴う全体的に平坦な平面形状を有する。フレーム202は同様に、第 1および第2のリセス206、208の間でその前方端部210内に第3のリセ ス212も有している。このようにして、前方端部210は、4本の前向きに延 びるアーム214、216、218、220を有する。フレーム202に取付け られているのは、6つの点接点222である。点接点222は、好ましくは石英 またはダイヤモンドからなり、フレーム202の上部表面より上に延びる。第1 および第2のリセス206、208の各々に3つの点接点222が備えられてい る。各アーム214、216、218、200は、その端部近くに点接点222 の1つを有する。第1および第2のリセス206、208の各々の後端部にも点 接点222が位置設定されている。ホルダ200上に置かれた基板は、フレーム 202上に直接ではなく点接点222の上に載っている。しかしながら、代替実 施例においては、ホルダ200に対 し基板を位置設定するかまたは取付けるために適切なあらゆるタイプのシステム を使用することができる。図示された実施例におけるフレームおよび点接点は、 一度に最高2枚の基板、すなわち第1のリセス206より上に1枚、第2のリセ ス208より上にもう1枚の基板を保持するように適切に構成されている。ホル ダ200は、横並びの構成で、フレーム202より上のこのフレームに平行な同 一平面内に2枚の基板を保持するように適合されている。代替実施例においては 、フレーム202は、それが何枚の基板を支持できるか、そしてそれが挿入され ることになっている処理装置および昇降機モジュールの形状に応じて、その他の 形状を有することができる。マウント204は、フレーム202の後端部224 に固定的に連結されている。代替実施例においては、マウントは、フレームに取 付けられた部材というよりはむしろ、フレームの一部をなすことができる。マウ ント204は、それに旋回可能な形で連結された2本の被駆動アーム226、2 28を有する。好ましくは、マウント204には、かみ合い歯車の歯または複式 S字帯拘束装置といったように、被駆動アーム226、228が互いに位置合せ 状態で移動するような形でこれらを拘束するための適切な手段(図示せず)が具 備されている。 ここで図7も参照すると、基板ホルダ200のうちの2つを伴う基板搬送装置 232を有する基板処理装置 230が示されている。処理装置230は、図1に示されている装置10と類似 しているが、2つの複式基板カセット昇降機234、235、4つの複式基板処 理チャンバ236、複式心合せ装置238、複式インクーラ240および2つの 複式基板搬送機構242、243を有している。第1の搬送機構242は、一度 に2枚の基板を第1の昇降機234から心合せ装置238まで搬送する。第2の 搬送機構243は、一度に2枚の基板をインクーラ240から第2の昇降機23 5内のカセットまで搬送する。 同様に図8も参照すると、4枚の基板Sを保持する基板搬送装置232が示さ れている。図示されている一実施例においては、搬送装置232は、駆動機構2 44および2本の駆動アーム246、247と4本の被駆動アーム248、24 9、250、251を伴う可動アームアセンブリを内含している。好ましくは、 駆動機構は、本書にその全体が参考として内含されている米国特許出願第08/ 434,012号の中で記述されているような同軸駆動シャフトアセンブリであ る。ただし、適切なあらゆるタイプの駆動機構を使用することが可能である。本 書にその全体が参考として内含されている米国特許第5,180,276号の中 には、類似の駆動アームアセンブリが記述されている。図8に示されている実施 例において、2セットの被駆動アーム248、249および250、251の端 部に取付けられているのは、2つの基板ホルダ 200aおよび200bである。図7および8は、ホームポジションにおける搬 送装置232を示している。図9Aおよび9Bを参照すると、搬送装置は、2つ の異なる拡張位置において示されている。図9Aに示されている第1の拡張位置 では、第1のホルダ200aは、2枚の基板S1およびS2を除去するため心合せ 装置238内に移動させられる。図9Bに示されている第2の拡張位置において は、第1のホルダ200aは心合せ装置238から引込まれており、第2のホル ダ200bは、2枚の基板S3およびS4を送り出すために処理チャンバ236内 に挿入されている。このことは、すなわち、搬送装置232およびホルダ200 が、搬送装置の中心軸のまわりでホルダ200を回転させることなく2枚以上の 基板を移動させることができるということを例示している。 以上の記述から、ホルダ200が、単一の基板ホルダの2倍の基板処理能力を 可能にすることが明白であるはずである。しかしながら、基板処理装置の予想さ れる設置面積の増加は、わずか約40%にすぎない。さらに、このタイプの基板 処理装置の製造コストの増大は、単一基板ホルダを伴う装置より約30%多くな るにすぎない。したがって、処理能力は、わずか40%の設置面積増と、わずか 30%のコスト増で100%増大できる。さらに、同側搬送装置12と組合せた 場合、複式基板ホルダ200は、チャンバから基板を取出し、新しい基板をチャ ンバ内に挿入するの に可動アームアセンブリ18を回転させる必要がないことから、さらに処理能力 を100%以上増大させることができる。 ここで図10を参照すると、本発明の一代替実施例が示されている。この実施 例では、搬送装置232は2つの異なる基板ホルダ200および201を内含す る。第2の基板ホルダ201は、単一基板を支持するためのものである。図11 を参照すると、2枚の基板を支持するための基板ホルダ260のもう1つの実施 例の斜視図が示されている。しかし、この実施例では、フレーム262は、垂直 方向にオフセットされた平行なまたは積み重ねられた構成、すなわち、1枚は底 部フレーム区分264上に、そしてもう1枚は上部フレーム区分266上のよう な形で基板を保持するように適切に構成されている。ただし、適切な任意のフレ ーム構成を使用することが可能である。 以上の記述は単に本発明を例示するものであることを理解すべきである。本発 明の精神から逸脱することなく当業者はさまざまな代替形態および変形を考案す ることができる。したがって、本発明は、添付の請求の範囲の範囲内に含まれる ようなすべての代替形態、変形および変更条件を包含するよう意図されたもので ある。
【手続補正書】特許法第184条の8第1項 【提出日】1997年7月22日(1997.7.22) 【補正内容】 請求の範囲 1.二対の被駆動アームを有する可動アームアセンブリと;前記被駆動アーム対 のうちの別々の1本のアームに各々個別に連結され、第1のホルダが少なくとも 2つの離隔した基板を同時に保持するように適切なサイズおよび形状を有してい るような2つの基板ホルダとを含む基板搬送装置であって、 2つの基板ホルダが常に、可動アームアセンブリの同じ側に位置していること を特徴とする基板搬送装置。 2.前記可動アームアセンブリに連結されている同軸駆動シャフトアセンブリを さらに含んでいることを特徴とする請求項1に記載の装置。 3.前記2つの基板ホルダは、一方のホルダが他方のホルダより上に位置してい る状態で平行な平面内に位置していることを特徴とする請求項1に記載の装置。 4.両方の基板ホルダが、少なくとも2つの離隔した基板を同時に保持するよう に適切なサイズおよび形状を有していることを特徴とする請求項1に記載の装置 。 5.前記第1の基板ホルダが、互いに隣接する形で同じ平面内に2つの平面基板 を保持するため2つの並んだ基板保持部域を有していることを特徴とする請求項 1に記載の装置。 6.前記第lの基板ホルダが、一方の基板がもう1つの基板よりも上に位置して いる状態で平行な複数の平面内に 2つの平面基板を保持するため、一方の部域がもう1つの部域よりも上に位置設 定されている2つの基板保持部域を有していることを特徴とする請求項1に記載 の装置。 7.基板供給装置と;前記基板供給装置に連結された基板搬送モジュールであっ て、可動アームアセンブリとこのアセンブリに搭載されてこのアセンブリの中心 に対する拡張および引込みをなす2つの基板ホルダとを有し、かつ、第1のホル ダが同時に2つの基板を保持するため2つの別々の保持部域を有する、基板搬送 モジュールと; 前記基板搬送モジュールに連結されて、前記可動アームアセンブリおよび前記 第1のホルダにより搬送された2つの基板を同時に収容するように適切なサイズ および形状を有する基板処理モジュールと; を含む基板処理装置であって、 前記基板搬送モジュールは、その中心軸のまわりで前記基板ホルダを回転させ ることなく2つ以上の基板を移動させることができ; 前記2つの基板ホルダは、前記可動アームアセンブリの相対する側に位置設定 されており; 前記第1の基板ホルダは、前記2つの保持部域の各々においてフレーム部材の 上部表面から延びる3点マウントを伴う全体的に平面のモノブロックフレーム部 材を有し、前記2つの保持部域は、横に並んだ構成で互いに隣接して位置設定さ れていることを特徴とする基板処理装置。 8.両方の基板ホルダの各々が2つの別々の離隔した保持部域を有することを特 徴とする請求項6に記載の装置。 9.前記可動アームアセンブリが2本の駆動アームと4本の被駆動アームを含ん でいることを特徴とする請求項6に記載の装置。 10.2本の駆動アームと二対の被駆動アームを有し、各々の被駆動アーム対が 、駆動アームのうちの第1のものに連結された第1の被駆動アームと、第2の駆 動アームに連結された第2の被駆動アームを有し、二対の被駆動アームが駆動ア ームの相対する側に位置設定されている、可動アームアセンブリと; 前記被駆動アーム対のうちの別々のものに個別に連結され、一度に複数の基板 を同時に保持するように各ホルダのための1つ以上の別々の基板保持部域を各々 有している2つの基板ホルダと; を含む基板搬送装置であって、 各基板ホルダが平行な複数の平面内で互いの上に位置設定されたそのそれぞれ の保持部域を有することを特徴とする基板搬送装置。 11.前記2つの基板ホルダの各々が2つの基板保持部域を含んでいることを特 徴とする請求項10に記載の装置。 12.可動アームアセンブリと; 前記可動アームアセンブリに連結された第1の基板ホルダと; 前記可動アームアセンブリに連結された第2の基板ホルダと; を含む基板搬送装置であって、 前記可動アームアセンブリが相対して一斉に前記第1および第2の基板ホルダ を移動させ; 前記第1の基板ホルダが、第1の最大数の基板を保持するような適切なサイズ および形状を有しており、第2の基板ホルダが異なる第2の最大数の基板を保持 するような適切なサイズおよび形状を有していることを特徴とする基板搬送装置 。 13.前記第1の基板ホルダが、その上に唯一の基板のみを保持するようなサイ ズおよび形状を有することを特徴とする請求項12に記載の装置。 14.前記第1および第2の基板ホルダが、前記可動アームアセンブリの相対す る側に位置設定されていることを特徴とする請求項12に記載の装置。 15.前記第1および第2の基板ホルダが前記可動アームアセンブリの同じ側に あることを特徴とする請求項12に記載の装置。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,DE, DK,ES,FI,FR,GB,GR,IE,IT,L U,MC,NL,PT,SE),OA(BF,BJ,CF ,CG,CI,CM,GA,GN,ML,MR,NE, SN,TD,TG),AP(KE,LS,MW,SD,S Z,UG),UA(AM,AZ,BY,KG,KZ,MD ,RU,TJ,TM),AL,AM,AT,AU,AZ ,BA,BB,BG,BR,BY,CA,CH,CN, CU,CZ,DE,DK,EE,ES,FI,GB,G E,HU,IL,IS,JP,KE,KG,KP,KR ,KZ,LC,LK,LR,LS,LT,LU,LV, MD,MG,MK,MN,MW,MX,NO,NZ,P L,PT,RO,RU,SD,SE,SG,SI,SK ,TJ,TM,TR,TT,UA,UG,UZ,VN (72)発明者 デーヴィス ジェームズ シー.ジュニア アメリカ合衆国 マサチューセッツ州 01741 カーライル ピー.オー.ボック ス 77 (72)発明者 ホフマイスター クリストファー エイ. アメリカ合衆国 ニューハンプシャー州 03841 ハムステッド ホイートライトロ ード 176

Claims (1)

  1. 【特許請求の範囲】 1.二対の被駆動アームを有する可動アームアセンブリと; 前記被駆動アーム対のうちの別々の1本のアームに各々個別に連結され、第1 のホルダが少なくとも2つの離隔した基板を上に同時に保持するように適切なサ イズおよび形状を有しているような2つの基板ホルダを含む基板搬送装置であっ て、 前記2つの基板ホルダが常に、前記可動アームアセンブリの同じ側に位置設定 されていることを特徴とする基板搬送装置。 2.前記可動アームアセンブリに連結されている同軸駆動シャフトアセンブリを さらに含んでいることを特徴とする請求項1に記載の装置。 3.前記2つの基板ホルダは、一方のホルダが他方のホルダより上に位置設定さ れた状態で平行な複数の平面内に位置設定されていることを特徴とする請求項1 に記載の装置。 4.両方の基板ホルダが、少なくとも2つの離隔した基板を上に同時に保持する ように適切なサイズおよび形状を有していることを特徴とする請求項1に記載の 装置。 5.前記第1の基板ホルダが、互いに隣接する形で同じ平面内に2つの平面基板 を保持するため2つの並んだ基板保持部域を有していることを特徴とする請求項 1に記載の装置。 6.前記第1の基板ホルダは、一方の基板がもう1つの基板よりも上に位置設定 されている状態で平行な複数の平面内に2つの平面基板を保持するため、一方の 部域がもう1つの部域よりも上に位置設定されている2つの基板保持部域を有し ていることを特徴とする請求項1に記載の装置。 7.基板供給装置と; 前記基板供給装置に連結された基板搬送モジュールであって、可動アームアセ ンブリ及びこのアセンブリに搭載されてこのアセンブリの中心に対する拡張およ び引込みをなす2つの基板ホルダを有し、かつ、第1のホルダが同時に2つの基 板を保持するため2つの別々の保持部域を有する、基板搬送モジュールと; 前記基板搬送モジュールに連結され前記可動アームアセンブリおよび前記第1 のホルダにより搬送された2つの基板を同時に収容するように適切なサイズおよ び形状を有する基板処理モジュールと; を含んでいる基板処理装置であって、 前記基板搬送モジュールは、その中心軸のまわりで前記基板ホルダを回転させ ることなく2つ以上の基板を移動させることができ; 前記2つの基板ホルダは、前記可動アームアセンブリの相対する側に位置設定 されており; 前記第1の基板ホルダは、2つの保持部域の各々においてフレーム部材の上部 表面から延びる3点マウントを伴う 全体的に平面のモノブロックフレーム部材を有し、前記2つの保持部域は、横に 並んだ構成で互いに隣接して位置設定されていることを特徴とする基板処理装置 。 8.両方の基板ホルダの各々が2つの別々の離隔した保持部域を有することを特 徴とする請求項6に記載の装置。 9.前記2つの別々のホルダが前記可動アームアセンブリの同じ側に位置設定さ れていることを特徴とする請求項6に記載の装置。 10.前記可動アームアセンブリが2本の駆動アームと4本の被駆動アームを含 んでいることを特徴とする請求項6に記載の装置。 11.2本の駆動アームと二対の被駆動アームを有し、各々の被駆動アーム対が 、駆動アームのうちの第1のものに連結された第1の被駆動アームと、第2の駆 動アームに連結された第2の被駆動アームを有し、前記二対の被駆動アームが駆 動アームの相対する側に位置設定されている、可動アームアセンブリと; 前記被駆動アーム対のうちの別々のものに個別に連結され、一度に複数の基板 を同時に保持するように各ホルダのための1つ以上の別々の基板保持部域を各々 有している2つの基板ホルダと; を含んでいる基板搬送装置であって、 前記基板ホルダの各々が平行な複数平面内で互いの上に位置設定されたそのそ れぞれの保持部域を有することを特 徴とする基板搬送装置。 12.前記2つの基板ホルダの各々が2つの基板保持部域を含んでいることを特 徴とする請求項11に記載の装置。 13.可動アームアセンブリと; 前記可動アームアセンブリに連結された第1の基板ホルダと; 前記可動アームアセンブリに連結された第2の基板ホルダを含んでいる基板搬 送装置であって、 前記可動アームアセンブリが相対して一斉に第1および第2の基板ホルダを移 動させ; 第1の基板ホルダが、第1の最大数の基板を保持するような適切なサイズおよ び形状を有しており、第2の基板ホルダが異なる第2の最大数の基板を保持する ような適切なサイズおよび形状を有していることを特徴とする基板搬送装置。 14.前記第1の基板ホルダが、その上に唯一の基板のみを保持するようなサイ ズおよび形状を有することを特徴とする請求項13に記載の装置。 15.前記第1および第2の基板ホルダが、前記可動アームアセンブリの相対す る側に位置設定されていることを特徴とする請求項13に記載の装置。 16.前記第1および第2の基板ホルダが前記可動アームアセンブリの同じ側に あることを特徴とする請求項13に記載の装置。
JP52611497A 1996-01-16 1997-01-13 二重基板ホルダを有する基板搬送装置 Expired - Lifetime JP4084417B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/587,087 1996-01-16
US08/587,087 US6299404B1 (en) 1995-10-27 1996-01-16 Substrate transport apparatus with double substrate holders
PCT/US1997/000533 WO1997026117A1 (en) 1996-01-16 1997-01-13 Substrate transport apparatus with double substrate holders

Publications (2)

Publication Number Publication Date
JP2000503478A true JP2000503478A (ja) 2000-03-21
JP4084417B2 JP4084417B2 (ja) 2008-04-30

Family

ID=24348294

Family Applications (1)

Application Number Title Priority Date Filing Date
JP52611497A Expired - Lifetime JP4084417B2 (ja) 1996-01-16 1997-01-13 二重基板ホルダを有する基板搬送装置

Country Status (6)

Country Link
US (2) US6299404B1 (ja)
EP (1) EP0883467A4 (ja)
JP (1) JP4084417B2 (ja)
KR (1) KR19990077353A (ja)
AU (1) AU1578397A (ja)
WO (1) WO1997026117A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009059741A (ja) * 2007-08-30 2009-03-19 Ulvac Japan Ltd 真空処理装置、基板搬送方法
JP2011074487A (ja) * 2009-09-02 2011-04-14 Canon Anelva Corp 真空処理装置及び基板移載方法
US7949021B2 (en) 2005-09-29 2011-05-24 Sumitomo Electric Industries, Ltd. Light source apparatus
WO2011119503A2 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Segmented substrate loading for multiple substrate processing
WO2012039274A1 (ja) * 2010-09-24 2012-03-29 日本電産サンキョー株式会社 産業用ロボット
JP2012160759A (ja) * 2012-05-15 2012-08-23 Dainippon Screen Mfg Co Ltd 基板搬送装置およびこれを備えた基板処理装置
JP5395271B2 (ja) * 2010-08-17 2014-01-22 キヤノンアネルバ株式会社 基板搬送装置、電子デバイスの製造システムおよび電子デバイスの製造方法
US11002566B2 (en) 2007-06-27 2021-05-11 Brooks Automation, Inc. Position feedback for self bearing motor

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US6364599B1 (en) 1996-03-22 2002-04-02 Komatsu Ltd. Robot for handling
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
JPH11129184A (ja) 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
JPH11176822A (ja) * 1997-12-05 1999-07-02 Hitachi Ltd 半導体処理装置
US5931626A (en) * 1998-01-16 1999-08-03 Brooks Automation Inc. Robot mounting de-coupling technique
US6450755B1 (en) 1998-07-10 2002-09-17 Equipe Technologies Dual arm substrate handling robot with a batch loader
US6464448B1 (en) 1998-09-01 2002-10-15 Brooks Automation, Inc. Substrate transport apparatus
US6281474B1 (en) * 1999-08-17 2001-08-28 Motoman, Inc. X-beam positioner
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6508883B1 (en) * 2000-04-29 2003-01-21 Advanced Technology Materials, Inc. Throughput enhancement for single wafer reactor
US6578893B2 (en) 2000-10-02 2003-06-17 Ajs Automation, Inc. Apparatus and methods for handling semiconductor wafers
JP3804780B2 (ja) * 2002-04-25 2006-08-02 ナブテスコ株式会社 ロボットアーム及びロボット
US20040070462A1 (en) * 2002-10-10 2004-04-15 Iyad Alhayek Oscillator package
KR100471088B1 (ko) * 2003-02-07 2005-03-10 삼성전자주식회사 이송장치
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
JP4515133B2 (ja) * 2004-04-02 2010-07-28 株式会社アルバック 搬送装置及びその制御方法並びに真空処理装置
KR100595135B1 (ko) * 2004-12-29 2006-06-30 동부일렉트로닉스 주식회사 두 개의 웨이퍼 이송용 모듈을 갖는 웨이퍼 이송장치
TW200640767A (en) * 2005-05-27 2006-12-01 Innolux Display Corp Apparatus for conveying substrate plates
JP5043021B2 (ja) * 2005-10-04 2012-10-10 アプライド マテリアルズ インコーポレイテッド 基板を乾燥するための方法及び装置
US7690881B2 (en) * 2006-08-30 2010-04-06 Asm Japan K.K. Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
JP4746003B2 (ja) * 2007-05-07 2011-08-10 リンテック株式会社 移載装置及び移載方法
KR101676380B1 (ko) * 2007-05-08 2016-11-15 브룩스 오토메이션 인코퍼레이티드 기판 이송 장치
US8267636B2 (en) * 2007-05-08 2012-09-18 Brooks Automation, Inc. Substrate transport apparatus
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
KR101496654B1 (ko) 2007-06-27 2015-02-27 브룩스 오토메이션 인코퍼레이티드 리프트 능력 및 감소된 코깅 특성들을 가지는 전동기 고정자
US8283813B2 (en) 2007-06-27 2012-10-09 Brooks Automation, Inc. Robot drive with magnetic spindle bearings
US9752615B2 (en) * 2007-06-27 2017-09-05 Brooks Automation, Inc. Reduced-complexity self-bearing brushless DC motor
CN102007366B (zh) 2007-06-27 2014-06-18 布鲁克斯自动化公司 多维位置传感器
KR20100056468A (ko) 2007-07-17 2010-05-27 브룩스 오토메이션 인코퍼레이티드 챔버 벽들에 일체화된 모터들을 갖는 기판 처리 장치
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI465599B (zh) 2008-12-29 2014-12-21 K C Tech Co Ltd 原子層沉積裝置
KR101135853B1 (ko) * 2009-05-29 2012-04-16 주식회사 케이씨텍 원자층 증착장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8698104B2 (en) * 2009-11-09 2014-04-15 Varian Semiconductor Equipment Associates, Inc. System and method for handling multiple workpieces for matrix configuration processing
US8731718B2 (en) * 2010-10-22 2014-05-20 Lam Research Corporation Dual sensing end effector with single sensor
JP2012109536A (ja) * 2010-10-28 2012-06-07 Canon Anelva Corp 基板搬送装置、電子デバイスの製造システムおよび電子デバイスの製造方法
US9368381B2 (en) * 2010-12-24 2016-06-14 Kawasaki Jukogyo Kabushiki Kaisha Transfer robot, its substrate transfer method and substrate transfer relay device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8413980B2 (en) 2011-06-30 2013-04-09 Hewlett-Packard Indigo B.V. Sheet holder for a printer
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101829397B1 (ko) * 2011-09-16 2018-02-19 퍼시몬 테크놀로지스 코포레이션 낮은 가변성을 가진 로봇
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130108406A1 (en) * 2011-11-02 2013-05-02 Varian Semiconductor Equipment Associates, Inc. High-throughput workpiece handling
US10363665B2 (en) * 2012-07-10 2019-07-30 Persimmon Technologies Corporation Linear robot arm with multiple end effectors
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9669552B2 (en) * 2013-05-20 2017-06-06 Varian Semiconductor Equipment Associates, Inc. System and method for quick-swap of multiple substrates
WO2014197537A1 (en) 2013-06-05 2014-12-11 Persimmon Technologies, Corp. Robot and adaptive placement system and method
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6378595B2 (ja) * 2014-09-19 2018-08-22 東京エレクトロン株式会社 基板搬送装置
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR101999838B1 (ko) 2015-08-11 2019-07-15 삼성디스플레이 주식회사 기판 처리 시스템
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD793352S1 (en) * 2016-07-11 2017-08-01 Asm Ip Holding B.V. Getter plate
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP6677366B1 (ja) * 2019-03-12 2020-04-08 日本精工株式会社 ワークチェンジャ、ワーク搬送装置、加工装置、及び、リング軸受の製造方法、機械の製造方法、車両の製造方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1190215A (en) 1913-06-17 1916-07-04 Joseph Becker Linkage.
US2282608A (en) 1940-04-17 1942-05-12 Sun Rubber Co Hoist
US3272350A (en) * 1964-09-25 1966-09-13 Westinghouse Electric Corp Method and apparatus for semiconductor wafer handling
US3768714A (en) 1969-10-06 1973-10-30 Memorex Corp Microfilm printer
US3730595A (en) 1971-11-30 1973-05-01 Ibm Linear carrier sender and receiver
US3823836A (en) 1973-05-22 1974-07-16 Plat General Inc Vacuum apparatus for handling sheets
US3874525A (en) 1973-06-29 1975-04-01 Ibm Method and apparatus for handling workpieces
JPS5920267B2 (ja) 1975-10-22 1984-05-11 株式会社日立製作所 周波数発電機付電動機
JPS5291650A (en) 1976-01-29 1977-08-02 Toshiba Corp Continuous gas plasma etching apparatus
US4062463A (en) 1976-05-11 1977-12-13 Machine Technology, Inc. Automated single cassette load mechanism for scrubber
US4208159A (en) 1977-07-18 1980-06-17 Tokyo Ohka Kogyo Kabushiki Kaisha Apparatus for the treatment of a wafer by plasma reaction
US4284301A (en) * 1979-04-09 1981-08-18 The Dow Chemical Company Bag transfer device
US4381965A (en) 1982-01-06 1983-05-03 Drytek, Inc. Multi-planar electrode plasma etching
US4909701A (en) 1983-02-14 1990-03-20 Brooks Automation Inc. Articulated arm transfer device
US4666366A (en) 1983-02-14 1987-05-19 Canon Kabushiki Kaisha Articulated arm transfer device
JPS6052574A (ja) 1983-09-02 1985-03-25 Hitachi Ltd 連続スパツタ装置
JPS61244475A (ja) 1985-04-22 1986-10-30 株式会社東芝 産業用ロボツト
US4721971A (en) 1986-04-07 1988-01-26 Scott Joel E Photograph logging apparatus and method
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63162176A (ja) 1986-12-24 1988-07-05 フアナツク株式会社 水平関節型ロボツトの第1ア−ム構造
JP2531261B2 (ja) 1988-07-08 1996-09-04 富士電機株式会社 搬送装置
US5046909A (en) * 1989-06-29 1991-09-10 Applied Materials, Inc. Method and apparatus for handling semiconductor wafers
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
DE69032945T2 (de) 1989-10-20 1999-09-16 Applied Materials, Inc. Robotereinrichtung
FR2656599B1 (fr) * 1989-12-29 1992-03-27 Commissariat Energie Atomique Dispositif de rangement d'objets plats dans une cassette avec rayonnages intermediaires.
JP2808826B2 (ja) * 1990-05-25 1998-10-08 松下電器産業株式会社 基板の移し換え装置
JP2889657B2 (ja) * 1990-05-28 1999-05-10 東京エレクトロン株式会社 板状体搬送装置
US5180276A (en) * 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
EP0512516B1 (en) 1991-05-08 1995-12-20 Koyo Seiko Co., Ltd. Magnetic drive device
JP3030667B2 (ja) 1991-07-29 2000-04-10 東京エレクトロン株式会社 搬送装置
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
JPH06132380A (ja) * 1992-09-04 1994-05-13 Fujitsu Ltd 搬送装置
JPH07227777A (ja) * 1994-02-22 1995-08-29 Toshiba Corp 被処理物の搬送装置および処理装置
US5647724A (en) * 1995-10-27 1997-07-15 Brooks Automation Inc. Substrate transport apparatus with dual substrate holders
US5789878A (en) * 1996-07-15 1998-08-04 Applied Materials, Inc. Dual plane robot

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7949021B2 (en) 2005-09-29 2011-05-24 Sumitomo Electric Industries, Ltd. Light source apparatus
US11002566B2 (en) 2007-06-27 2021-05-11 Brooks Automation, Inc. Position feedback for self bearing motor
JP2009059741A (ja) * 2007-08-30 2009-03-19 Ulvac Japan Ltd 真空処理装置、基板搬送方法
JP4684268B2 (ja) * 2007-08-30 2011-05-18 株式会社アルバック 真空処理装置、基板搬送方法
JP2011074487A (ja) * 2009-09-02 2011-04-14 Canon Anelva Corp 真空処理装置及び基板移載方法
WO2011119503A2 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Segmented substrate loading for multiple substrate processing
WO2011119503A3 (en) * 2010-03-25 2012-01-19 Applied Materials, Inc. Segmented substrate loading for multiple substrate processing
JP5395271B2 (ja) * 2010-08-17 2014-01-22 キヤノンアネルバ株式会社 基板搬送装置、電子デバイスの製造システムおよび電子デバイスの製造方法
US9346171B2 (en) 2010-08-17 2016-05-24 Canon Anelva Corporation Substrate transport apparatus, and system and method for manufacturing electronic device
JP2012066342A (ja) * 2010-09-24 2012-04-05 Nidec Sankyo Corp 産業用ロボット
US8888435B2 (en) 2010-09-24 2014-11-18 Nidec Sankyo Corporation Industrial robot with overlapping first hand and second hand during time of linear transport
WO2012039274A1 (ja) * 2010-09-24 2012-03-29 日本電産サンキョー株式会社 産業用ロボット
JP2012160759A (ja) * 2012-05-15 2012-08-23 Dainippon Screen Mfg Co Ltd 基板搬送装置およびこれを備えた基板処理装置

Also Published As

Publication number Publication date
EP0883467A1 (en) 1998-12-16
US6158941A (en) 2000-12-12
WO1997026117A1 (en) 1997-07-24
AU1578397A (en) 1997-08-11
KR19990077353A (ko) 1999-10-25
JP4084417B2 (ja) 2008-04-30
US6299404B1 (en) 2001-10-09
EP0883467A4 (en) 2000-05-31

Similar Documents

Publication Publication Date Title
JP2000503478A (ja) 二重基板ホルダを有する基板搬送装置
US5647724A (en) Substrate transport apparatus with dual substrate holders
JP2002514001A (ja) 同軸駆動軸を備えた二重アーム装置
US6481956B1 (en) Method of transferring substrates with two different substrate holding end effectors
US6132165A (en) Single drive, dual plane robot
JP2002507846A5 (ja)
JP2622046B2 (ja) 基板搬送装置
US20070183868A1 (en) Wafer transfer robot and semiconductor device manufacturing equipment comprising the same
TW200845275A (en) Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
JP2003503843A (ja) ウェハ搬送ロボットがネスト化されている大気雰囲気ウェハ搬送モジュールおよびその実現方法
JP2008512855A (ja) 高さを減じた基板キャリア
KR100505960B1 (ko) 관절 아암을 구비한 기판이송장치
US20010033788A1 (en) Dual multitran robot arm
JP3437812B2 (ja) 基板搬送装置
KR20230031352A (ko) 로봇 장치 및 시스템들, 및 전자 디바이스 제조에서 기판들을 운송하기 위한 방법들
JPH09508758A (ja) ロードロックのロードアーム
US6884019B2 (en) Conveying arm
US20020150456A1 (en) Method and apparatus for transferring a wafer
JP4027526B2 (ja) 基板搬送方法及び基板搬送装置並びに基板搬送アーム
JPH10107114A (ja) 基板搬送装置
JP2003100841A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070306

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070423

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070611

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080215

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110222

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120222

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130222

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140222

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term