CN111032927A - 用于在电镀期间流动隔离和聚焦的方法和装置 - Google Patents

用于在电镀期间流动隔离和聚焦的方法和装置 Download PDF

Info

Publication number
CN111032927A
CN111032927A CN201880054244.4A CN201880054244A CN111032927A CN 111032927 A CN111032927 A CN 111032927A CN 201880054244 A CN201880054244 A CN 201880054244A CN 111032927 A CN111032927 A CN 111032927A
Authority
CN
China
Prior art keywords
membrane
resistive element
substrate
electroplating
electrolyte
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880054244.4A
Other languages
English (en)
Other versions
CN111032927B (zh
Inventor
斯蒂芬·J·巴尼克二世
布莱恩·L·巴卡柳
亚伦·贝尔克
詹姆斯·艾萨克·福特纳
贾斯廷·奥伯斯特
史蒂芬·T·迈耶
罗伯特·拉什
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202211101940.0A priority Critical patent/CN115613104A/zh
Publication of CN111032927A publication Critical patent/CN111032927A/zh
Application granted granted Critical
Publication of CN111032927B publication Critical patent/CN111032927B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/004Sealing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/005Contacting devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/10Agitating of electrolytes; Moving of racks
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

本文描述的各种实施方案涉及用于将材料电镀到半导体衬底上的方法和装置。在某些情况下,可以设置一个或多个膜与离子阻性元件接触,以使电镀过程中电解液从横流歧管向后流过离子阻性元件并进入离子阻性元件歧管的程度最小化。在一些实施方案中,可以将膜设计成以期望的方式引导电解液。在这些或其他情况下,可在离子阻性元件歧管中提供一个或多个折流板,以减少电解液流回通过离子阻性元件并流过离子阻性元件歧管内的电镀池而绕过横流歧管的程度。这些技术可用于改善电镀结果的均匀性。

Description

用于在电镀期间流动隔离和聚焦的方法和装置
相关申请的交叉引用
本申请要求于2017年8月21日提交的并且名称为“METHODS AND APPARTUS FORFLOW ISOLATION AND FOCUSING DURING ELECTROPLATING,”的美国专利申请序列No.62/548,116的利益,并且还要求于2018年8月10日提交的并且名称为“METHODS AND APPARTUSFOR FLOW ISOLATION AND FOCUSING DURING ELECTROPLATING,”的美国专利申请No.16/101,291的权益,在此通过引用将其全部内容并出于所有目的并入本文。
技术领域
本文的实施方案涉及用于将材料电镀到衬底上的方法和装置。衬底通常是半导体衬底,并且材料通常是金属。
背景技术
本发明的实施方式涉及用于在电镀期间控制电解液流体动力学的方法和装置。更具体地,在本发明中描述的方法和装置对于在半导体晶片衬底上镀敷金属特别有用,例如,具有小于例如约50μm的宽度的小的微凸起特征(例如,铜、镍、锡和锡合金焊料)以及铜穿硅通孔(TSV)特征的贯穿抗蚀剂镀敷(through resist plating)。
电化学沉积现在正准备满足对复杂的封装和多芯片互连技术的商业需求,公知的复杂的封装和多芯片互连技术通常并通俗地称为晶片级封装(WLP)以及穿硅通孔(TSV)电气连接技术。部分由于通常较大的特征尺寸(相比于前端制程(FEOL)互连)和高深宽比,这些技术提出对它们自身的非常显著的挑战。
根据封装特征的类型和应用(例如,通过芯片连接TSV,互连再分配布线,或芯片到板或芯片焊接,例如倒装芯片柱),在目前的技术中的经镀敷的特征通常大于约2μm,并且在典型地其主要尺寸为约5-100μm(例如,铜柱可以是约50μm)。对于诸如电源总线之类的一些芯片上结构,待镀特征可以大于100μm。WLP特征的高宽比通常为约1:1(高度比宽度)或更低,但是其范围可能高达2:1左右,而TSV结构可具有非常高的深宽比(例如,在约20:1附近)。
发明内容
本文的某些实施方案涉及用于电镀衬底的方法和装置。衬底是基本上平坦的,并且可以是半导体衬底。
在本文的实施方案的一个方面中,提供了一种电镀装置,该电镀装置包括:(a)电镀室,其被配置为在将金属电镀到衬底上时容纳电解液和阳极,所述衬底基本上是平坦的;(b)衬底保持器,其被配置成支撑所述衬底,使得在镀敷期间将所述衬底的镀敷面浸入所述电解液中并与所述阳极分离;(c)离子阻性元件,其适于在电镀期间提供通过所述离子阻性元件的离子传输,其中所述离子阻性元件是包括多个通孔的板;(d)横流歧管,当所述衬底存在于所述衬底保持器中时,所述横流歧管定位于所述离子阻性元件上方且在所述衬底的所述镀敷面下方;并且(e)与所述离子阻性元件物理接触的膜,其中所述膜适于在电镀期间提供通过所述膜的离子传输,并且其中所述膜适于减少电镀期间穿过所述离子阻性元件的电解液的流动。
在各个实施方案中,膜是平坦的,并且定位于平行于离子阻性元件的平面内。在某些情况下,膜覆盖离子阻性元件中的多个通孔的全部。在另一些情况下,膜包括一个或多个切口区域,使得膜仅覆盖离子阻性元件中的多个通孔中的一些通孔。在一个示例中,膜包括位于离子阻性元件的中心附近的第一切口区域。在这些或其他实施方案中,膜可包括第二切口区域,该第二切口区域位于横流歧管的侧入口附近。在某些实现方式中,切口区域在方位角上是不均匀的。在一个示例中,切口区域在侧入口和离子阻性元件的中心之间延伸。
在一些实施方案中,膜位于离子阻性元件下方。在其他实施方案中,膜位于离子阻性元件上方。在一个特定的实施方案中,膜位于离子阻性元件下方,而第二膜位于离子阻性元件上方,与离子阻性元件接触。
在某些实现方式中,该装置还包括膜框架,该膜框架配置成使膜定位成与离子阻性元件物理接触。在特定示例中,膜位于离子阻性元件上方,膜框架位于膜上方,并且膜框架包括第一组肋,所述第一组肋是线性的并且彼此平行,并且沿与横流歧管内横向流动的电解液的方向垂直的方向延伸。在一些这样的情况下,膜框架还包括第二组肋,其沿垂直于第一组肋的方向延伸。膜框架是其中具有多个开口的板。开口可以是圆形的。开口也可以是另一种形状(例如,卵形、多边形等)。在一些示例中,膜框架是环形的。环形膜框架可在其外围(或其一部分)支撑膜。
在公开的实施方案的另一方面,提供了一种电镀装置,该装置包括:(a)电镀室,其被配置为在将金属电镀到衬底上时容纳电解液和阳极,所述衬底基本上是平坦的;(b)衬底保持器,其被配置成支撑所述衬底,使得在镀敷期间将所述衬底的镀敷面浸入所述电解液中并与所述阳极分离;(c)离子阻性元件,其适于在电镀期间提供通过所述离子阻性元件的离子传输,其中所述离子阻性元件是包括多个通孔的板;(d)横流歧管,当所述衬底存在于所述衬底保持器中时,所述横流歧管定位于所述离子阻性元件上方且在所述衬底的所述镀敷面下方;(e)用于将电解液引入横流歧管的侧入口;(f)用于接收在横流歧管中流动的电解液的侧出口,其中侧入口和侧出口在电镀期间位于衬底的镀敷面上在方位角上相对的周边位置附近,并且其中侧入口和侧出口适于在电镀过程中在横流歧管中产生横流电解液;(g)定位于离子阻性元件下方的阳极室膜框架;以及(h)定位于离子阻性元件下方和阳极室膜框架上方的离子阻性元件歧管,其中离子阻性元件歧管包括多个折流板区域,所述多个折流板区域通过位于离子阻性元件下方的竖直定位的折流板彼此部分地隔开,其中每个折流板从离子阻性元件附近的第一区域延伸到阳极室膜框架附近的第二区域,其中折流板不与阳极室膜框架物理接触,并且其中在电镀过程中电解液从(i)多个电解液源区域行进通过离子阻性元件,进入横流歧管,并且流出侧出口;(ii)从侧入口行进通过横流歧管,并且流出侧出口,以及(iii)在折流板下方从一个折流板区域行进到另一个折流板区域。
在公开的实施方案的另一方面,提供一种电镀装置,该电镀装置包括:(a)电镀室,其被配置为在将金属电镀到衬底上时容纳电解液和阳极,所述衬底基本上是平坦的;(b)衬底保持器,其被配置成支撑所述衬底,使得在镀敷期间将所述衬底的镀敷面浸入所述电解液中并与所述阳极分离;(c)离子阻性元件,其适于在电镀期间提供通过所述离子阻性元件的离子传输,其中所述离子阻性元件是包括多个通孔的板;(d)横流歧管,当所述衬底存在于所述衬底保持器中时,所述横流歧管定位于所述离子阻性元件上方且在所述衬底的所述镀敷面下方;(e)位于所述离子阻性元件下方的阳极室膜框架,所述阳极室膜框架被配置成与阳极室膜配合;并且(f)离子阻性元件歧管,其在所述阳极室膜存在时,定位于所述离子阻性元件下方和所述阳极室膜上方,其中,所述离子阻性元件歧管包括多个折流板区域,所述折流板区域至少部分地通过竖直定位的折流板彼此隔开,其中每个折流板从靠近所述离子阻性元件的第一区域延伸到靠近所述阳极室膜的第二区域。
在一些实施方案中,所述折流板沿与在侧入口和侧出口之间的方向垂直的方向线性延伸跨越所述离子阻性元件歧管,其中,所述侧入口和所述侧出口适于在电镀过程中在所述横流歧管中产生横向流动电解液。在一些情况下,所述装置还包括与所述阳极室膜框架接触的所述阳极室膜,其中所述阳极室膜在电镀期间将所述阳极与所述衬底分离。在多种实施方案中,每个折流板的上部区域可以与所述离子阻性元件或位于所述离子阻性元件附近的框架物理接触。在这些或者其他实施方案中,在电镀期间,所述折流板可以用于减少从所述横流歧管行进穿过所述离子阻性元件,并进入所述离子阻性元件歧管的电解液的量。在一些情况下,所述阳极室膜框架可以包括所述折流板。在某些实现方式中,所述装置还包括定位于所述离子阻性元件和所述阳极室膜框架之间的后侧插入件,其中所述后侧插入件包括定位为平行于所述折流板并被配置为与所述折流板配合的多个突起。在一些情况下,所述折流板没有一直延伸到所述阳极室膜框架。在一些情形下,所述离子阻性元件包括所述折流板。在这些以及其他情况下,所述装置还可以包括位于所述离子阻性元件和所述阳极室膜框架之间的后侧插入件,并且所述后侧插入件可以包括所述折流板。在某些其他情况下,所述折流板是可移除的部件,其与所述离子阻性元件、所述阳极室膜框架或者后侧插入件都不是一体的。在一些这样的情况下,所述折流板配合至在所述离子阻性元件、所述阳极室膜框架和所述后侧插入件中的至少一个中的凹部中。
在公开的实施方案的另一方面,提供了一种电镀方法,该方法包括在本文所述的任何电镀装置中电镀衬底。
这些和其他特征将在下面参考相关附图进行描述。
附图说明
图1A示出了一种电镀装置,该电镀装置在电镀期间利用在衬底表面上的横流和冲击流的组合。
图1B示出了通过图1A所示的电镀装置的电解液的流动。
图1C描绘了在使用图1A和1B所示的装置进行电镀时在某些情况下可能出现的流动旁路问题。
图2A示出了电镀装置,其包括在离子阻性元件正下方的膜,图2B示出了电镀装置,该电镀装置包括在离子阻性元件正上方的膜,并且图2C示出了电镀装置,该电镀装置包括夹在离子阻性元件的两个部分之间的膜。
图3A示出了电镀装置,该电镀装置包括在离子阻性元件正下方的膜和膜框架,并且图3B示出了电镀装置,该电镀装置包括在离子阻性元件正上方的膜和膜框架。
图3C-3H描绘了根据实施方式的各种膜框架。
图3I描绘了具有位于离子阻性元件正上方的膜和膜框架的电镀装置,其中膜框架在其上表面上包括一系列线性肋。
图3J和3K示出了在其上表面上具有两组垂直定向的线性肋的膜框架。
图4A示出了具有位于离子阻性元件正下方的膜和膜框架的电镀装置,其中膜包括被设计为以期望的方式引导电解液的切口。
图4B至图4J示出了根据各种实施方式的具有切口的多个膜。
图4K示出了离子阻性元件上方的膜,其中该膜包括入口切口,当电解液被输送到侧入口时,电解液可以流过该入口切口。
图4L描绘了形成在离子阻性元件中的入口歧管的特写视图。
图5A示出了电镀装置,该电镀装置包括在离子阻性元件歧管中的一系列折流板。
图5B示出了根据某些实施方式的包括一系列折流板的后侧插入件。
图5C描绘了图5B的后侧插入件,其安装在离子阻性元件下方和限定阳极室的膜框架上方。
图5D示出了限定阳极室的膜框架,其中膜框架包括用于容纳折流板的边缘的凹部。
图5E示出了根据某些实施方案的实现为独立件的多个折流板。
图5F示出了类似于图5A中所示的电镀装置,其中增加了带凹槽的入口,该凹槽将电解液输送到每个折流板区域。
图5G示出了与图5A中所示的电镀装置类似的电镀装置,其中折流板没有一直延伸到膜框架,使得电解液可以在折流板下面行进以冲洗(irrigate)限定阳极室的膜。
图5H示出了在离子阻性元件歧管中设置折流板的实施方案,其中折流板形成为阳极室膜框架的一部分,该阳极室膜框架也称为流聚焦膜框架。
图5I描绘了根据一个实施方案的包括折流板的阳极室膜框架的视图。
图5J和5K描绘了根据某些实施方案的具有被构造成与折流板的边缘配合的突起的后侧插入件。
图5L示出了根据某些实施方案的与阳极室膜框架配合的后侧插入件。
图6A和6B示出了在图1A中所示的电镀装置中镀敷的特征。
图7A-7D示出了在如本文所述的各种电镀装置中处理的衬底上获得的静态压印结果。
图8呈现了描述在本文所述的各种电镀装置中处理的衬底的特征内不均匀性的实验数据。
图9示出了其中具有许多不同的电镀单元和模块的电镀装置。
具体实施方式
本文描述了用于将一种或多种金属电镀到衬底上的装置和方法。总体上描述了实施方案,其中衬底是半导体晶片。然而,实施方案不限于此。
图1A和1B示出了电镀装置的简化截面图。图1B包括示出了在各个实施方案中在电镀期间电解液的流动的箭头。图1A描绘了电镀池101,其中衬底102位于衬底保持器103中。衬底保持器103通常被称为杯,并且其可以在其外围支撑衬底102。阳极104位于电镀池101的底部附近。阳极104通过由膜框架106支撑的膜105与衬底102分离。膜框架106有时被称为阳极室膜框架。此外,阳极104通过离子阻性元件107与衬底102分离。离子阻性元件107包括开口,该开口允许电解液行进通过离子阻性元件107以撞击在衬底102上。放置前侧插入件108在离子阻性元件107的上方,靠近衬底102的外围。前侧插入件108可以是环形的,并且在方位角上可以是不均匀的,如图所示。前侧插入件108有时也称为横流限制环。阳极室112在膜105下方,并且是在阳极104所在的位置。离子阻性元件歧管111在膜105上方且在离子阻性元件107下方。横流歧管110在离子阻性元件107上方且在衬底102下方。横流歧管的高度被认为是在衬底102和离子阻性元件107的平面之间的距离(不包括离子阻性元件107的上表面上的肋,如果肋存在的话)。在某些情况下,横流歧管的高度可能在约1毫米至4毫米之间,或者在约0.5毫米至15毫米之间。横流歧管110在其侧面上由前侧插入件108限定,前侧插入件108用于将横流电解液容纳在横流歧管110内。横流歧管110的侧入口113在方位角上与横流歧管110的侧出口114相对地设置。侧入口113和侧出口114可以至少部分地由前侧插入件108形成。如在图1B中的箭头所示,电解液行进通过侧入口113,进入横流歧管110,并流出侧出口114。此外,电解液可以行进通过一个或多个入口116到达离子阻性元件歧管111,进入离子阻性元件歧管111,通过离子阻性元件107中的开口,进入横流歧管110,并从侧面出口114流出。尽管入口116被显示为与导管流体连接,该导管同时为离子阻性元件歧管111和侧入口113/横流歧管110进料,但应当理解,在某些情况下,流向这些区域的流量可以是分开的并且是可独立控制的。电解液在通过侧出口114之后,溢出堰壁109。电解液可以被回收和再循环。
在某些实施方案中,离子阻性元件107使得在衬底(阴极)附近的电流源近似于几乎恒定且均匀,并且因此可以被称为高电阻虚拟阳极(HRVA)或在某些情况下称为离子通道电阻元件(CIRP)。通常,将离子阻性元件107相对于晶片紧邻放置。相反,与衬底有相同的紧邻程度的阳极将明显不大可能向晶片提供几乎恒定的电流,而将仅在阳极金属表面上支撑恒定的电位平面,从而使得在从阳极平面到端点(例如,到晶片上的外围接触点)的净电阻较小的情况下电流最大。因此,尽管将离子阻性元件107称为高电阻虚拟阳极(HRVA),但这并不意味着两者在电化学上是可互换的。在某些操作条件下,离子阻性元件107将更紧邻虚拟均匀电流源,并且可能更好地描述为虚拟均匀电流源,而几乎恒定的电流从离子阻性元件107的整个上表面提供。
离子阻性元件107包含在空间上和离子上彼此隔离的微尺寸(通常小于0.04英寸)通孔。在某些情况下,通孔不会在离子阻性元件的主体内形成互连通道。这样的通孔通常被称为非连通或一维通孔。它们通常沿一维延伸,通常但不一定垂直于晶片的电镀表面(在一些实施方案中,非连通孔相对于晶片成一定角度,该晶片通常平行于离子阻性元件的前表面)。通常,不连通的通孔彼此平行。通常,不连通的通孔以正方形阵列布置。其他时候,布局采用偏移螺旋图案。这些不连通的通孔与3-D多孔网络(其中的通道在三个维度上延伸并形成相互连接的孔结构)不同,因为不连通的通孔可重组平行于其中的表面的离子电流和(在某些情况下)流体流,并使电流和流体流向晶片表面的路径变直。然而,在某些实施方案中,具有互连的孔网络的这种多孔板可以用作离子阻性元件。如本文所使用的,除非另有说明,否则术语“通孔”意指涵盖不连通的通孔和互连的孔网络。当从板的顶表面到晶片的距离较小时(例如,间隙大约为晶片半径的大小的1/10,例如小于约5mm),电流和流体的发散都受到离子阻性元件通道局部限制、被赋予离子阻性元件通道并与离子阻性元件通道对齐。
一个示例性离子阻性元件107是由固体、无孔的具有离子阻性和电阻性的介电材料制成的圆盘。该材料在使用的电镀液中也是化学稳定的。在某些情况下,离子阻性元件107由陶瓷材料(例如,氧化铝、氧化锡、氧化钛或金属氧化物的混合物)或塑料(例如,聚乙烯、聚丙烯、聚偏二氟乙烯(PVDF)、聚四氟乙烯、聚砜、聚氯乙烯(PVC)、聚碳酸酯等)制成,具有介于约6,000-12,000之间的不连通的通孔。在许多实施方案中,离子阻性元件107与晶片基本上共延伸(例如,当与300mm晶片一起使用时,离子阻性元件107具有约300mm的直径),并且紧邻晶片(例如,在晶片面朝下的电镀装置中的晶片正下方)放置。优选地,晶片的经镀敷表面位于最接近的离子阻性元件表面的约10mm之内,更优选地在约5mm之内。为此,离子阻性元件107的顶表面可以是平坦的或基本平坦的。离子阻性元件107的顶表面和底表面通常都是平坦的或基本平坦的。然而,在许多实施方案中,离子阻性元件107的顶表面包括一系列线性肋,如下文进一步描述的。
如上所述,板107的总离子和流动阻力取决于板的厚度以及总孔隙率(可用于流过板的面积的比例)和孔的尺寸/直径。孔隙率较低的板将具有较高的撞击流速和离子电阻。比较具有相同孔隙率的板时,具有较小直径的1-D孔(因而有更多数量的1-D孔)的板将使得在晶片上具有更微级均匀的电流分布,因为存在更多的单独电流源,其充当可以散布在同一间隙上的更多的点源,并且总压降也更高(高粘性流阻)。穿过离子阻性元件107的电解液的流动也可能受到与离子阻性元件107平行并与之物理接触设置的膜的存在的影响,如下文进一步讨论的。
在一些情况下,离子阻性元件107的约1-10%是离子电流可以通过的开口区域(如果没有其他元件阻塞开口,则是电解液可以通过的开口区域)。在特定实施方案中,约2-5%的离子阻性元件107是开口区域。在特定示例中,离子阻性元件107的开口区域为约3.2%,有效的总开口截面面积为约23cm2。在一些实施方案中,在离子阻性元件107中形成的非连通孔具有约0.01至0.08英寸的直径。在某些情况下,孔的直径为约0.02至0.03英寸,或在约0.03-0.06英寸之间。在各种实施方案中,孔的直径最大为离子阻性元件107与晶片之间的间隙距离的约0.2倍。这些孔的横截面通常是圆形的,但不是必须的。此外,为了简化构造,离子阻性元件107中的所有孔可以具有相同的直径。然而,不必是这种情况,并且孔的单个尺寸和局部密度都可以在离子阻性元件的整个表面上变化,这具体取决于特定的要求。
图1A和1B所示的离子阻性元件107包括延伸到页面内/延伸出页面的一系列线性肋115。肋115有时被称为突起。肋115定位于离子阻性元件107的顶表面上,并且其定位成使得其长度(例如,它们的最长尺寸)垂直于横流电解液的方向。肋115影响横流歧管110内的流体流动和电流分布。例如,电解液的横流主要被限制在肋115的顶表面上方的区域,从而产生高速率的电解液横流。在相邻的肋115之间的区域中,通过离子阻性元件107向上传送的电流在被传送到衬底表面之前被重新分配,变得更加均匀。
在图1A和1B中,横向流动的电解液的方向是从左到右(例如,从侧入口113到侧出口114),并且肋115被设置成使得它们的长度延伸到页面内/页面外。在某些实施方案中,肋115的宽度(在图1A中从左至右测量)可以在约0.5mm至1.5mm之间,在某些情况下可以在约0.25mm至10mm之间。肋115的高度(在图1A中按上下方向测量)可以在约1.5mm至3.0mm之间,在某些情况下在约0.25mm至7.0mm之间。肋115的高度与宽度的高宽比(高度/宽度)可以在约5/1至2/1之间,在某些情况下在约7/1至1/7之间。肋115的节距可以在约10mm至30mm之间,在某些情况下可以在约5mm至150mm之间。肋115可以具有可变的长度(进/出在图1A的页面的方向进行测量),该可变的长度延伸跨越离子阻性元件107的表面。肋115的上表面与衬底102的表面之间的距离可以是介于约1毫米至4毫米之间,或介于约0.5毫米至15毫米之间。肋115可以设置在与衬底约共同延伸的区域上,如图1A和1B所示。离子阻性元件107中的通道/开口可以位于相邻的肋115之间,或者它们可以延伸穿过肋115(换句话说,肋115可以被导通或可以不导通)。在一些其他实施方案中,离子阻性元件107可以具有平坦的上表面(例如,不包括肋115)。图1A和1B所示的包括在其上具有肋的离子阻性元件的电镀装置在名称为“ENHANCEMENT OF ELECTROLYTE HYDRODYNAMICS FOR EFFICIENT MASS TRANSFERDURING ELECTROPLATING,”的美国专利No.9,523,155进一步讨论,在此全文引入作为参考。
该装置可以包括特定应用所需的各种附加元件。在一些情况下,可以在横流歧管内靠近衬底的外围设置边缘流动元件。边缘流动元件的形状和位置可以被设置成促进在衬底的边缘附近的高度的电解液流动(例如,横流)。在某些实施方案中,边缘流动元件可以是环形或弧形的,并且可以是方位角上均匀的或不均匀的。边缘流动元件在2015年10月27日提交的名称为“EDGE FLOW ELEMENT FOR ELECTROPLATING APPARATUS,”的美国专利申请No.14/924,124中进一步讨论,其通过引用整体并入本文。
在某些情况下,该装置可以包括用于临时密封横流歧管的密封构件。密封构件可以是环形或弧形的,并且可以被定位成靠近横流歧管的边缘。环形密封构件可密封整个横流歧管,而弧形密封构件可对横流歧管的一部分进行密封(在某些情况下,侧出口保持打开状态)。在电镀期间,密封构件可以重复地接合和分离,以密封和拆封横流歧管。可以通过移动衬底保持器、离子阻性元件、前侧插入件或装置的与密封件接合的其他部分来接合和脱开密封件。在以下美国专利申请中进一步讨论了密封构件和调节横流的方法:2016年8月1日提交的名称为“DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURINGELECTROPLATING”的美国专利申请No.15/225,716;和2016年5月20日提交名称为“DYNAMICMODULATION OF CROSS FLOW MANIFOLD DURING ELECTROPLATING”的美国专利申请No.15/161,081,在此通过引用将其全部内容合并于此。
在各种实施方案中,可提供一个或多个电解液射流以将额外的电解液输送到离子阻性元件上方。电解液射流可在接近衬底的外围或在更靠近衬底的中心的位置处或两者上输送电解液。电解液射流可以定位在任何位置,并且可以输送横流的电解液、撞击的电解液或其组合。电解液射流在2017年3月9日提交的名称为“ELECTROPLATING APPARATUS ANDMETHODS UTILIZING INDEPENDENT CONTROL OF IMPINGING ELECTROLYTE,”的美国专利申请No.15/455,011中进一步描述,该专利申请通过引用整体并入本文。
图1C示出了当使用图1A-1B所示的装置进行电镀时可能出现的问题。在某些实施方式中,在横流歧管110(其由于大量的电解液通过侧入口113流动而处于较高压力)与离子阻性元件歧管111(其处于较低压力)之间存在压差。在某些情况下,压差可以为至少约3000Pa,或至少约1200Pa。这些区域由离子阻性元件107隔开。由于该压差,通过侧入口113输送的一些电解液行进通过离子阻性元件107中的开口向下/向后进入离子阻性元件歧管111。当电解液在侧面出口114附近时,电解液通过离子阻性元件107向后移动。换句话说,准备在横流歧管中剪切通过衬底的电解液流过离子阻性元件歧管而绕过了横流歧管。这种不需要的电解液流在图1C中以虚线箭头示出。向下流过离子阻性元件107的电解液流是不希望有的,因为通过侧入口113输送的电解液准备用于在横流歧管110内剪切通过衬底102的镀敷表面。向下流过离子阻性元件107的任何电解液不再如所希望的剪切通过衬底102的镀敷表面。结果是在衬底的镀敷面上总体上低于期望的对流,以及在衬底的不同部分上的不均匀对流。在某些情况下,这些问题可能会导致镀敷的显著不均匀。
本文的各种实施方案涉及用于降低和/或控制输送到横流歧管的电解液能够如关于图1C所描述的绕过横流歧管的程度的方法和装置。在一些实现方式中,在离子阻性元件附近设置膜。膜降低了电解液能够流过离子阻性元件的程度。在某些情况下,膜可以是均匀的,并且可以覆盖离子阻性元件中的所有或基本上所有的开口。在另一些情况下,膜可以包括一个或多个切口,该切口被设计为以期望的方式引导电解液。在一些其他实现方式中,可在离子阻性元件歧管中提供一个或多个折流板,其中折流板用于减小电解液在离子阻性元件歧管内能够(例如,沿横向流动电解液的方向)穿过电镀池行进的程度。这些实施方案中的每一个将进一步讨论。
接近离子阻性元件的膜
在许多情况下,可以在离子阻性元件附近设置一个或多个膜。膜可以设置在与离子阻性元件平行的平面中,并与该元件物理接触。可以设置膜以减小电解液能够从横流歧管向后流过离子阻性元件并向下进入离子阻性元件歧管的程度。膜可以类似地降低电解液能够沿相反的方向从离子阻性元件歧管流过离子阻性元件并向上进入横流歧管的程度。除了将阳极与衬底分隔开的膜之外(例如,图1A-1C中的膜105),可以提供这种膜,并且可以出于不同的目的而提供。例如,参考图1A,膜105的功能是在(a)阳极104/阳极室112和(b)衬底102/离子阻性元件歧管111之间分离并提供阳离子交换。相比之下,如本文所述,主要提供设置在离子阻性元件107附近的膜以防止电解液短路。
尽管这样的膜会降低电解液(例如,在通过离子阻性元件的孔喷射之后)撞击到衬底表面的程度,但这种影响可以通过与在横流歧管(特别是在衬底的中心附近)内的较高的横流、镀敷的不均匀性的改善、以及在某些情况下,有目的地将电解液输送到衬底表面的特定部分相关的益处抵消。
膜的位置
膜可以位于离子阻性元件上方、离子阻性元件下方或离子阻性元件内。图2A描绘了其中在离子阻性元件107下方设置膜120的示例。图2B示出了其中在离子阻性元件107上方设置膜120的示例。图2C描绘了在离子阻性元件107a/107b内设置膜120的示例。在图2A的实施方案中,离子阻性元件107在其上表面上包括一系列线性肋115,并且膜120被定位成与离子阻性元件107的底表面接触。在图2B的实施方案中,省略了线性肋115,并且离子阻性元件107包括与膜120配合的平坦上表面。在图2C的实施方案中,离子阻性元件由上部107a和下部107b以及夹在中间的膜120形成。上部107a包括一系列线性肋115,但是在某些情况下可以将其省略。
在图2A-2C中的每一个中,膜120平行于衬底102定位,衬底102也平行于离子阻性元件107(例如,不包括任何肋115)。膜120与离子阻性元件107的至少一个表面接触。由于这种接触,膜120阻塞了离子阻性元件107中的开口,使得电解液更难行进通过离子阻性元件107。结果,从侧入口113输送到横流歧管110的更大比例的电解液将被保持在横流歧管110内,而不是通过向下流过离子阻性元件107并进入离子阻性元件歧管111中而绕过横流歧管110。换句话说,尽管在横流歧管110和离子阻性元件歧管111之间存在压差,但膜120仍可操作以在横流歧管110内维持高度的横流。
膜的材料和厚度
膜可以由多种材料制成。通常,用于膜105的任何材料也可以用于膜120。在以下美国专利中进一步描述了膜105,每个美国专利通过引用整体并入本文:名称为“MEMBRANEDESIGN FOR REDUCING DEFECTS IN ELECTROPLATING SYSTEMS”的美国专利No.9,677,190;名称为“COPPER ELECTROPLATING METHOD AND APPARATUS”的美国专利No.6,527,920;名称为“ANODE AND ANODE CHAMBER FOR COPPER ELECTROPLATING”的美国专利No.6,821,407;名称为“PLATING METHOD AND APPARATUS WITH MULTIPLE INTERNALLY IRRIGATEDCHAMBERS”的美国专利No.8,262,871。
膜材料使得电流能容易地通过膜,同时降低流体能够通过膜的程度。在各种情况下,膜材料具有相对较高的流阻系数。例如,该膜在约25℃下可表现出约1-2.5GFD/PSI之间的纯水通量。
膜的示例材料包括但不限于亚微米过滤器材料、纳米孔过滤器材料、离子交换材料(例如阳离子交换材料)等。这些的商业示例包括Dupont Nafion N324、Ion PowerVanadion 20-L和Koch膜HFK-328(PE/PES)。这些材料提供了相当大的流动阻力,同时在电动势的影响下允许离子迁移通过膜。
膜应足够厚以在机械上稳定并提供相对较高的流动阻力。膜应足够薄,以使离子电流能易于通过。在一些实施方案中,膜的厚度(在图2A-2C中上下方向测量)可以在约0.1mm至0.5mm之间。
膜框架
在多个实施方案中,可以提供膜框架以将膜固定至离子阻性元件上。膜框架可以由与用于形成支撑膜105的阳极室膜框架106的材料相同的任何材料制成。用于制造膜框架的材料应耐电镀期间使用的化学物质。示例材料包括但不限于聚乙烯、聚对苯二甲酸乙二醇酯、聚碳酸酯、聚丙烯、聚氯乙烯、聚苯硫醚等。在某些情况下,膜框架可以使用3D打印技术制造。
膜框架的形状应使得其将膜支撑在离子阻性元件上,同时基本上使得电流能通过膜。许多不同的设计是可能的,下面结合图3C-3H进一步讨论。
图3A示出了类似于图2A所示的电镀装置(其中膜120位于离子阻性元件107下方),在膜120下方增加膜框架121。图3B描绘了与图2B所示的电镀装置类似的电镀装置(其中膜120位于离子阻性元件107上方),在膜120上方增加了膜框架121。尽管图3A和3B将膜框架描述为实心材料件,但是应当理解,膜包括离子电流能够通过的开口。
图3C-3H描绘了可以在各种实施方案中使用的膜框架121的俯视图。在图3C中,膜框架121包括形成在板上的圆形开口150的图案。可以使用任何数量、尺寸、形状和布局的开口150,只要能够使足够的电流通过开口即可。在图3D中,膜框架121包括具有三个彼此重叠的线性肋115的外围环。每个肋115横穿膜框架121的中心,从而形成大的大致三角形的开口150,电流可以通过该开口150。可以使用任何数量、尺寸、形状和布局的肋115/开口150。在图3E中,膜框架121包括具有七个彼此平行定位的线性肋115的外围环。在相邻的肋115之间形成开口150。可以使用任何数量、尺寸、形状和布局/方位的肋115/开口150。在图3F中,膜框架121包括形成在板上的正方形开口150的图案。该实施方案类似于图3C所示的实施方案,不同的是,开口150的形状。在图3G中,膜框架121是在膜的外围支撑膜的简单环。可以使用任何尺寸的环。在图3H中,膜框架121包括彼此平行定位的第一组肋115a和彼此平行定位的第二组肋115b,其中第一组肋115a和第二组肋115b彼此垂直地定位。在各种实施方案中,膜框架121可具有介于约10-40%之间或介于约5-75%之间的开口面积。
当实现本文的实施方案时,可以使用相对于图3C-3H示出或描述的任何膜框架121。在一个示例中,图3A的装置可以包括相对于图3C-3H示出或描述的膜框架121之一。在另一个示例中,图3B的装置可以包括相对于图3C-3H示出或描述的膜框架121之一。
在将膜框架设置在离子阻性元件上方的情况下,可以将膜框架设计为促进横流歧管内的期望的流动模式。例如,参考图3A,离子阻性元件107的上表面包括线性肋115,该线性肋115促进横流歧管110内的高横流速率。在图3B的装置中,省略了这些肋115,使得膜120平坦地抵靠在离子阻性元件107上。线性肋115可以替代地被设置为膜框架121的一部分,如图3I-3K所示。图3I示出了电镀装置的剖视图,图3J示出了位于膜框架121上方(在膜120上方,其未标记)的横流限制环108的视图,并且图3K示出了在膜120上方的膜框架121的特写视图。图3I-3K所示的膜框架121与图3H所示的膜框架121相似。在该示例中,膜框架121包括两组线性肋,这两组线性肋包括:(i)第一组线性肋115a,其被定位成使得其长度垂直于横流歧管内的横向流动的电解液的方向;以及(ii)第二组线性肋115b,其被定位成使得其长度平行于横流歧管内的横向流动的电解液的方向。在各种实施方案中,第一组线性肋115a可以在第二组线性肋115b之上、之下或与之平齐。在一些情况下,如图3I和3K所示,(垂直于横向流动的电解液定位的)第一组肋115a完全或部分地定位于(平行于横向流动的电解液定位的)第二组肋115b上方是有益的。第一组线性肋115a可促进横流歧管110内的期望的流动模式,而第二组肋115b可用于向第一组肋115a提供结构刚性。第一组肋115a和第二组肋115b可以具有相同或不同的尺寸(例如,一组肋可以较宽、较高等),并且它们之间可以具有相同或不同的间隔(例如,一组肋之间的距离可能较远)。
膜切口
在一些实施方式中,膜包括一个或多个切口,所述切口被设计为根据需要使电解液通过横流歧管和离子阻性元件歧管。在某些情况下,可以这样做以提供更均匀的电镀结果。例如,如果衬底的一个区域经历的镀敷少于所需的镀敷,则电解液可被引导至该区域以促进更高程度的镀敷,从而导致总体上更均匀的镀敷速率。在某些情况下,可能是由于局部光致抗蚀剂太厚而导致的局部镀敷速率低于期望的局部镀敷速率。在这些或其他情况下,由于电镀期间电解液的流动模式,局部镀敷速率可能低于期望的速率。例如,在某些情况下,与靠近衬底边缘的特征相比,靠近衬底中心的特征经历的对流较少,导致靠近衬底中心的特征为弯曲/圆顶状,而靠近衬底边缘的特征平坦/陡峭。这种不均匀性(例如,通常被称为晶片内不均匀性)是不希望有的。无论原因如何,都可以通过在离子阻性元件附近的膜中包括一个或多个切口来减轻不均匀性,其中切口以所需的方式引导电解液。
图4A描绘了具有膜120的电镀装置,该膜120具有第一切口125和第二切口126。在一些实施方案中,第一切口125和第二切口126可以如图4H和4I所示地实现。第一切口125位于侧入口附近,第二切口126位于衬底的中心附近。在电镀期间,通过侧入口113输送的一些电解液向下行进,穿过离子阻性元件107,穿过膜120中的第一切口125,穿过膜框架125,并且进入离子阻性元件歧管111。然后,电解液向上穿过膜框架125,穿过膜120中的第二切口126,穿过离子阻性元件107,并返回到横流歧管110中。结果是,本应通过侧出口114附近的离子阻性元件107的电解液(例如,如果省略了膜120的话)被替代地引导返回向上穿过靠近衬底中心的离子阻性元件107,从而为靠近衬底中心的衬底的镀敷面提供了额外的对流。该技术在其中在电镀期间衬底的中心比衬底的边缘经历相对较少的对流的实施方案中特别有利。该技术对于抵抗局部较厚的光致抗蚀剂也是有利的。例如,可以设计切口,以使电解液在靠近衬底上的光致抗蚀剂局部较厚的区域的位置被引导向上通过膜120/离子阻性元件107(例如,比衬底上其他位置更厚)。增加的局部对流可消除电镀不均匀性,否则会由不均匀的光致抗蚀剂沉积引起电镀不均匀性。
图4B-4J示出了可以在各种实施方案中使用的膜的俯视图,其中每个膜包括一个或多个切口。切口的形状和位置根据需要将电解液从横流歧管引导至离子阻性元件歧管,反之亦然。膜以虚线背景显示,切口以白色显示。在图4B-4J中,膜的靠近侧入口的部分标记为“i”,而膜的靠近侧出口的部分标记为“o”。在使用单个切口的情况下,切口的一个区域(例如,在侧入口附近)可用于将电解液从横流歧管向下引导到离子阻性元件歧管,而切口的第二区域(例如,距离侧入口更远)可用于将电解液从离子阻性元件歧管向上引导到横流歧管。在使用多个切口的情况下,可以使用一个或多个切口(例如,在侧入口附近)将电解液从横流歧管向下引导至离子阻性元件歧管,并可以使用一个或多个其他切口(例如,远离侧入口,在某些情况下,靠近膜的中心或侧出口附近)将电解液从离子阻性元件歧管向上引导至横流歧管。由于电解液的流动和压力差,自然会导致通过膜的上下流动。
在图4B中,该膜包括单个切口,该切口从侧入口附近的区域延伸到衬底/膜的中心处或附近的区域。在图4C中,该膜包括接近/对准侧入口的半圆形切口,并且在图4D中,该膜包括靠近侧出口/与侧出口对准的半圆形切口。在图4E和4F中,膜是月牙形的,或者与侧出口接近/对准(图4E),或者与侧入口接近/对准(图4F)。在图4G中,该膜包括靠近衬底/膜的中心的单个圆形切口。在图4H和图4I中,该膜包括靠近侧入口的第一切口和靠近衬底/膜的中心的第二切口。在图4J中,膜在侧入口附近包括多个圆形切口,并且在衬底/膜的中心附近包括单个圆形切口。各种膜切口设计可根据需要用于将电解液引导至衬底表面的期望部分。
除了提供用于在横流歧管和离子阻性元件歧管之间引导电解液的切口(例如,如相对于图4A-4J所描述的)之外,此处所描述的任何膜、膜框架和离子阻性元件可以包括与侧入口对齐的入口开口,以确保这些部件不会阻止电解液进入/通过侧入口。图4K和4L示出了具有入口切口127的膜120的不同视图。入口切口127的形状和位置被设置成与侧入口113对齐。在该实施方案中,离子阻性元件107、膜框架121和膜120每个都包括开口/通道,当电解液被输送到侧入口113时,电解液可以流过该开口/通道。在其他附图中,示出了类似的开口/通道,例如,垂直轴/开口,当电解液朝着侧入口113(例如,参见图1B)行进时,电解液流过该垂直轴/开口。返回图4L,侧入口歧管128主要形成为离子阻性元件107中的腔。侧入口歧管128的顶表面包括喷头129,该喷头129具有多个孔,电解液流过所述孔。膜框架121位于膜120的顶部和喷头129的顶部。喷头129位于膜120的入口切口127处。
下面讨论的实验结果表明,本文所述的膜对于改善电镀结果非常有用,例如产生更理想的电解液流量和更高质量、更均匀的电镀结果。
折流板
在一些实施方案中,可以在离子阻性元件歧管中提供一个或多个折流板,以降低如上所述的电解液不希望地绕过横流歧管的程度。折流板可以形成为离子阻性元件的一部分、靠近离子阻性元件的膜框架、靠近阳极室的膜框架、背面插件或单独的硬件。折流板可以作为单个单元一起提供,或者可以单独提供。通常,折流板定位成垂直于横流歧管内的横流电解液的方向。在离子阻性元件或膜框架包括一系列线性肋的情况下,线性肋和折流板可以定位成使得它们的长度彼此平行。折流板也可以称为壁。
图5A示出了电镀装置,该电镀装置在离子阻性元件歧管111中包括一系列折流板130。折流板130将离子阻性元件歧管111划分成几个折流板区域139。在该示例中,折流板130由离子阻性元件107形成。折流板130从离子阻性元件107的主体竖直向下延伸,并且也延伸到页面内/延伸到页面外。在图5A中,折流板130的形状和间隔被设置成与离子阻性元件107的上表面上的肋150相对应,但并非总是如此。折流板130可以与阳极室膜框架106配合。在电镀期间,折流板130防止电解液流过离子阻性元件歧管111内的电镀单元(例如,图5A中的从左到右)。结果是,输送到侧入口113的电解液的大部分被保持在横流歧管110内,而不是通过离子阻性元件107泄漏到离子阻性元件歧管111中(如果不存在折流板的话会发生)。
在某些情况下,仅使用单个折流板。折流板可以位于侧入口附近、衬底中心附近或侧面出口附近。在其他情况下,可以使用两个、三个、四个、五个、六个或更多个折流板。折流板的间距可以均匀或不均匀。在某些情况下,相邻折流板之间的距离在约10毫米至30毫米之间,或在约5毫米至150毫米之间。每个折流板的宽度(在图5A中从左到右测量)可以在约0.5毫米至1.5毫米之间,或者在约0.25毫米至3毫米之间。折流板可以具有不同的尺寸,例如使得每个折流板与在其所在位置处的离子阻性元件歧管的形状匹配。在某些情况下,折流板一直延伸到离子阻性元件(或膜或膜框架,如果其直接存在于离子阻性元件下方的话)的边缘,一直延伸到限定阳极室的膜框架边缘,并一直贯穿电镀池。这种折流板提供了非常高的流动阻力,因为没有空间使电解液在折流板周围挤压。
在其他情况下,折流板的宽度可能较小。例如,它们可能不会一直延伸到限定阳极室的膜框架,和/或它们可能不会一直延伸到电镀室的边缘。在这些情况下,导流板可抵抗电解液流动,但不如前面的示例那么大。在一些实施方案中,期望在阳极室附近的膜上提供增加的对流/冲洗。图5G描绘了类似于图5A中所示的电镀装置,不同的是折流板130没有到达阳极室膜框架106。当在每个折流板130的边缘与阳极室膜框架106之间提供间隙时,电解液渗透该间隙以从一个折流板区域139移动到另一个折流板区域139,如弯曲的箭头所示。因为每个间隙位于膜105附近,所以行进通过每个间隙的电解液用于在膜105从一个折流板区域139行进到另一折流板区域时冲洗膜105。该技术可以改善电镀结果,并且可以延长每个膜105的使用寿命。
图5B和5C示出了包括一系列折流板130的后侧插入件135。图5B示出了从下面看的后侧插入件135,而图5C示出了从上方看的后侧插入件135,其中后侧插入件135安装在离子阻性元件107下方和阳极室膜框架106上方。术语“后侧插入件”是指安装在离子阻性元件的背面附近(例如,(下侧/底侧)的硬件部件。后侧插入件可以被夹在阳极室膜框架106和离子阻性元件107之间。
在某些实现方式中,支撑限定阳极室的膜的膜框架可以被修改以与折流板配合。图5D描绘了阳极室膜框架106,该阳极室膜框架106具有形成在其中的一系列凹部137。凹部137的形状和大小均设置成容纳折流板130的边缘。图5E描绘了实施为单独的独立部件的示例性折流板130。这些折流板130(或其他)可以由阳极室膜框架106中的凹部137支撑。类似的凹部137可以设置在离子阻性元件的下表面上或膜框架的下表面上(例如,膜框架121(如图3A或4A所示)以支撑折流板130的上边缘。
图5F描绘了与图5A所示的电镀装置类似的电镀装置,其中增加了与入口116相连的槽形入口140,槽形入口140向每个折流板区域139提供电解液。槽形入口140可以朝着离子阻性元件107向上、朝着膜105向下、朝着折流板130以一定角度向上输送电解液、或其某种组合。在一些情况下,通过槽形入口140输送的电解液用于冲洗阳极室112附近的膜105。槽形入口140还用于增加离子阻性元件歧管111的各个折流板区域139中的对流/循环。
在一些实施方案中,可将离子阻性元件歧管中的折流板设置为阳极室膜框架的一部分。在这种情况下,阳极室膜框架可被称为流聚焦膜框架。
图5H描绘了电镀装置101的一部分,其中,流聚焦膜框架145适于包括折流板130。折流板130在离子阻性元件歧管111内、在离子阻性元件107和定位在流聚焦膜框架145正下方的膜105之间竖直延伸。如上所述,折流板130通常被定位成使得其长度垂直于横流歧管中的横向流动的电解液的方向。尽管为了清楚起见在图5H中未具体标记,但应当理解的是,横流歧管位于衬底102下方和离子阻性元件107上方。
在图5H中,相邻的折流板130通过支撑构件彼此连接。在该示例中,支撑构件一直向下延伸到膜105,但是没有一直延伸到离子阻性元件107。在其他情况下,支撑构件可以一直向上延伸到离子阻性元件107,和/或可能不会一直向下延伸到膜105。在图5H中,膜105定位成圆锥形,其中圆锥体的尖端在膜105的中心指向下方。折流板130和支撑构件的底表面倾斜,使得它们与膜105的形状匹配。
在流聚焦膜框架145中在相邻的折流板130和支撑构件之间限定开口141。根据特定应用的需要,开口141可以具有各种形状和尺寸。在图5H的实施方案中,当从上方观察时,开口141是矩形的。
图5H还描绘了位于阳极室112中的阳极104和位于衬底保持器103上的衬底102。衬底保持器103被示出为处于镀敷位置,但是可以向上升高以装载/卸载衬底。如图所示,当处于镀敷位置时,衬底保持器103靠近前侧插入件108。如图所示,前侧插入件108可以至少部分地位于衬底保持器103的径向外侧。在该示例中,后侧插入件135是环形的,并且与衬底保持器103大致共同延伸,其直径大约等于离子阻性元件歧管111的直径。后侧插入件135位于离子阻性元件107下方、位于流聚焦膜框架145的上部的径向内部。后侧插入件135可用于电流屏蔽。
图5I示出了与图5H所示的流聚焦膜框架145类似的流聚焦膜框架145。在该示例中,流聚焦膜框架145中的开口141是圆形的,并且以蜂窝图案定位。折流板130成形为从离子阻性元件107竖直延伸到膜105,如图5H所示。图5I还描绘了在流聚焦膜框架145的周边区域中的两个弧形开口142。在某些情况下,弧形开口142可用于引导电解液。
在某些情况下,流聚焦膜框架的折流板不会一直沿离子阻性元件歧管的整个宽度延伸。这种配置的一个好处是,可以使用单个流聚焦膜框架与不同后侧插入件来电镀具不同衬底。例如,后侧插入件可以被设计成具有用于特定应用的特定几何特征(例如,内径)。不同的应用可以利用不同尺寸的后侧插入件。可以将流聚焦膜框架设计成与各种后侧插入件互换地配合,以最大化流聚焦膜框架的实用性。
图5J和5K示出了根据某些实现方式的后侧插入件135的不同视图。后侧插入件135包括一系列突出部143。突出部143被定位成与流聚焦膜框架145的折流板130的边缘配合,如图5L所示。对于不同尺寸的后侧插入件135,突起143的长度可以是不同的,从而允许每个后侧插入件135与单个流聚焦膜框架145接合,以增加灵活性并降低装置成本。为了确保不同的后侧插入件135可以与流聚焦膜框架145可互换地配合,折流板130的上边缘可以延伸到小于离子阻性元件歧管的整个宽度,如图5L所示。然后可以将后侧插入件135上的突起143定位在折流板130的上边缘附近,从而确保折流板130有效地在离子阻性元件歧管的整个宽度上延伸。
在某些实施方案中(未示出),该装置可以包括(i)与离子阻性元件物理接触的膜(例如,如参照图2A-4L中的任何一个所描述的),以及(ii)一个或多个折流板(例如,如参照图5A-5G所描述的)。
电镀系统
本文描述的方法可以由任何合适的系统/装置执行。合适的装置包括根据本实施方案的用于完成工艺操作的硬件和具有用于控制工艺操作的指令的系统控制器。例如,在一些实施方案中,硬件可以包括工艺工具中包括的一个或多个处理站
电沉积装置900的一个实施方案在图9中示意性地示出。在该实施方案中,电沉积装置900具有成组的电镀单元907,每个电镀单元907包含成对或多个“二重”配置的电镀浴。除了电镀本身之外,电沉积装置900还可以执行各种其他电镀相关工艺和子步骤,诸如,例如旋转漂洗、旋转干燥、金属和硅湿法蚀刻、无电沉积、预润湿和预化学处理、还原、退火、电蚀刻和/或电抛光、光致抗蚀剂剥离和表面预活化。在图9中示意性地示出了电沉积装置900的俯视图,并且在图中仅露出单个层或“底板”,但是本领域普通技术人员容易理解这样的装置,例如,Lam SabreTM 3D工具可以具有两个或更多个上下“堆叠”的阶层,每个阶层可能具有相同或不同类型的处理站。
再次参照图9,将待电镀的衬底906通常通过前端装载FOUP 901供给到电沉积装置900,并且在该示例中,通过前端机械手902从FOUP带到电沉积装置900的主衬底处理区域,该机械手可以将由主轴903沿多个维度驱动的衬底906从一个站缩回以及移动到另一个可访问站,在该示例中示出了两个前端可访问站904以及两个前端可访问站908。前端可访问站904和908可包括例如预处理站和旋转漂洗干燥(SRD)站。利用自动(robot)轨道902a完成前端机械手902从一侧到另一侧的横向移动。每个衬底906可以由杯/锥组件(未示出)保持,该杯/锥组件由连接到马达(未示出)的主轴903驱动,并且马达可以附接到安装支架909上。在该示例中还示出了四“对”(“duets”)电镀单元907,总共八个电镀单元907。系统控制器(未示出)可以耦合到电沉积装置900以控制电沉积装置900的一些或全部性能。该系统控制器可以被编程或以其他方式配置成根据本文前面描述的过程执行指令。
系统控制器
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室上的工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
附加的实施方案
上述的各种硬件和方法实施方案可以与光刻图案化工具或过程结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/处理将在共同的制造设施中一起使用或操作。
膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件(即,上面形成有氮化硅膜的衬底)上涂覆光致抗蚀剂;(2)使用热板或加热炉或其他合适的固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或x-射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式工作台或喷雾显影剂之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。在一些实施方案中,可在施加光致抗蚀剂之前沉积可灰化的硬掩模层(例如非晶碳层)和另一合适的硬掩模(例如抗反射层)。
在本申请中,术语“半导体衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员应理解,术语“部分制造的集成电路”可以指的是在其上的集成电路制造的许多阶段中的任何阶段过程中的硅晶片。半导体器件工业中使用的晶片或衬底的直径通常为200毫米、或300毫米或450毫米。此外,术语“电解液”、“镀浴”、“浴”和“镀液”可互换使用。详细的描述假定实施方案在晶片上实现。然而,实施方案并不受此限制。工件可以具有各种形状、尺寸和材料。除了半导体晶片以外,可利用本公开的实施方案的其他工件包括各种物品,如印刷电路板、磁记录介质、磁记录传感器、镜子、光学元件、微机械设备等。
在以上的描述中,阐述了许多具体细节,以便提供对所呈现的实施方式的透彻理解。所公开的实施方式可以在没有这些具体细节中的某些或所有的情况下实施。在其它示例中,公知的处理操作未被详细描述,以避免不必要地使本方明的实施方式不清楚。虽然本发明的实施方式将结合特定实施方式进行描述,但应理解它并不意在限制本发明的实施方式。
除非对特定参数另有定义,否则本文所用的术语“约”和“大约”旨在表示相对于相关值相差±10%。
应当理解,本发明中描述的配置和/或方法在本质上是示例性的,并且这些具体实施方式或实施例不以限制性意义来考虑,因为许多变化方案是可行的。本发明描述的特定例程或方法可以代表任意数量的处理方案中的一个或多个。因此,所示的各种操作可以以示出的序列执行,以其它序列执行,并行地执行或在某些情况下省略。同样,上述方法的顺序可以改变。某些参考文献已通过引用并入本文。应当理解,在这些参考文献中做出的任何免责声明或否认不一定适用于本文所述的实施方案。类似地,在本文的实施例方案中可以省略在这些参考文献中根据需要描述的任何特征。
本公开内容的主题包括本发明以上所述的各种方法、系统和配置的所有新颖的和非显而易见的组合和子组合,以及其它特征、功能、操作、和/或特性,以及它们的任何和所有等同方案。
实验
图6A和6B描绘了在如图1A-1C所示的装置中镀敷的特征。具体地,图6A示出了镀敷在衬底边缘附近的特征,而图6B示出了在衬底中心附近镀敷的特征。图6A中的特征比图6B中的特征明显更平坦/陡峭,图6B的特征更呈圆顶形。不希望受理论或作用机理的束缚,相信图6B中居中定位的特征是圆顶形的,因为其与图6A的边缘定位的特征相比,图6B的特征在电镀期间经历了相对低的对流。
通过对在上面具有铜的籽晶层的非图案衬底进行静态压印来测试本文描述的多个实施方案。为了进行静态压印,将衬底装载到填充有酸性富氧溶液的电镀装置中。该溶液以与电镀期间电解液流过该装置的方式相同的方式流过该装置。溶液在某种程度上溶解了铜籽晶层,并且经历较高对流的区域显示出较高程度的蚀刻度。在静态压印期间,没有电流或电势施加到衬底上。在静态压印过程中,衬底不旋转。
图7A示出了在图1A-1C所示的电镀装置上形成的静态压印。与衬底的其余部分相比,椭圆形的衬底区域被蚀刻得明显更多。这些结果表明,通过侧入口113输送的溶液的一部分通过替代地流过离子阻性元件进入离子阻性元件歧管111而绕过横流歧管110的大部分。如图1C所示,溶液在侧出口114附近的区域向上返回行进穿过离子阻性元件107进入横流歧管110。向上返回行进穿过离子阻性元件107的溶液撞击在衬底表面上,导致与衬底的其他区域相比,在椭圆形区域中引起了的蚀刻更多。
图7B示出了在图3A所示的电镀装置上获得的静态压印。该装置包括位于离子阻性元件107正下方并与其物理接触的膜120,以及环形的并且在外围支撑膜120的膜框架121。在该示例中,没有证据表明溶液通过侧出口114附近的离子阻性元件107向上喷射。相反,与衬底的边缘相比,衬底的中心(带圆圈)显示出相对更大的蚀刻,表明横流在衬底的中心得到了改善。这些结果表明,在离子阻性元件附近使用膜可以基本上防止本文所述的流动旁路问题,并且可以显著改善衬底中心附近的横流。
图7C示出了使用图4H所示的膜120(该膜包括在侧入口113附近的第一开口和在衬底/膜120的中心附近的第二开口)在图4A所示的电镀装置上获得的静态压印。在该示例中,没有证据表明溶液通过侧出口114附近的离子阻性元件107向上喷射。结果的确显示出在衬底102的(以圆圈出的)中心附近的溶液的大量喷射,这是由于溶液被向下引导通过膜120中的第一开口(靠近侧入口113的开口),然后通过膜120中的第二开口(靠近衬底/膜120的中心的开口)返回。这些结果表明,本文所述的膜切口可用于将电解液引导至衬底的期望区域,例如在对流本应相对较低的衬底中心附近。
图7D描绘了使用图4B所示的膜120在图4A所示的电镀装置上获得的静态压印(该膜包括从侧入口113附近延伸到衬底/膜120的中心附近的单个开口)。没有证据表明溶液通过侧出口114附近的离子阻性元件107向上喷射。有一些证据表明流体通过衬底/膜120的(以圆圈出的)中心附近的离子阻性元件107向上喷射。喷射不像图7C中那样明显。这些结果表明,具有单个开口的膜可用于根据需要引导电解液,从而改善衬底中心附近的横流。
图8呈现了实验结果,其描述了在本文所述的各种装置中镀敷的衬底的特征内不均匀性。具体地,案例A涉及如图1A-1C所示的装置(例如,不包括折流板,也不包括与离子阻性元件107接触的膜的装置)。案例B涉及如图4A所示的具有图4B所示的膜120的装置。案例C涉及如图5A所示的具有在离子阻性元件歧管111中的一系列折流板130的装置。在案例A中,在离子阻性元件附近未设置折流板或膜的情况下,特征内的不均匀性非常高(例如,高达60μm)且变化相当大的。在案例B中,当膜设置成与离子阻性元件接触时,特征内的不均匀性非常低(例如,低于约13μm),并且具有非常低的可变性。类似地,在案例C中,在离子阻性元件歧管中设置折流板,特征内的不均匀性相当低(例如,低于约15μm),并且具有非常低的可变性。案例B表现出最好的结果(最低和最小的不均匀性),但案例C的结果也非常好。这些结果表明,可以成功地实施本文所述的技术以改善电镀结果,特别是改善特征内的不均匀性。

Claims (22)

1.一种电镀装置,其包括:
(a)电镀室,其被配置为在将金属电镀到衬底上时容纳电解液和阳极,所述衬底基本上是平坦的;
(b)衬底保持器,其被配置成支撑所述衬底,使得在镀敷期间将所述衬底的镀敷面浸入所述电解液中并与所述阳极分离;
(c)离子阻性元件,其适于在电镀期间提供通过所述离子阻性元件的离子传输,其中所述离子阻性元件是包括多个通孔的板;
(d)横流歧管,当所述衬底存在于所述衬底保持器中时,所述横流歧管定位于所述离子阻性元件上方且在所述衬底的所述镀敷面下方;
(e)位于所述离子阻性元件下方的阳极室膜框架,所述阳极室膜框架被配置成与阳极室膜配合;并且
(f)离子阻性元件歧管,其在所述阳极室膜存在时,定位于所述离子阻性元件下方和所述阳极室膜上方,其中,所述离子阻性元件歧管包括多个折流板区域,所述折流板区域至少部分地通过竖直定位的折流板彼此隔开,其中每个折流板从靠近所述离子阻性元件的第一区域延伸到靠近所述阳极室膜的第二区域。
2.根据权利要求1所述的电镀装置,其中,所述折流板沿与在侧入口和侧出口之间的方向垂直的方向线性延伸跨越所述离子阻性元件歧管,其中,所述侧入口和所述侧出口适于在电镀过程中在所述横流歧管中产生横向流动电解液。
3.根据权利要求1或2所述的电镀装置,其还包括与所述阳极室膜框架接触的所述阳极室膜,其中所述阳极室膜在电镀期间将所述阳极与所述衬底分离。
4.根据权利要求3所述的电镀装置,其中,每个折流板的上部区域与所述离子阻性元件或位于所述离子阻性元件附近的框架物理接触。
5.根据权利要求1-4中任一项所述的电镀装置,其中,在电镀期间,所述折流板用于减少从所述横流歧管行进穿过所述离子阻性元件,并进入所述离子阻性元件歧管的电解液的量。
6.根据权利要求1-5中任一项所述的电镀装置,其中,所述阳极室膜框架包括所述折流板。
7.根据权利要求6所述的电镀装置,其还包括定位于所述离子阻性元件和所述阳极室膜框架之间的后侧插入件,其中所述后侧插入件包括定位为平行于所述折流板并被配置为与所述折流板配合的多个突起。
8.根据权利要求1-5中任一项所述的电镀装置,其中,所述折流板没有一直延伸到所述阳极室膜框架。
9.根据权利要求1-5或8中任一项所述的电镀装置,其中,所述离子阻性元件包括所述折流板。
10.根据权利要求1-5或8中任一项所述的电镀装置,其还包括位于所述离子阻性元件和所述阳极室膜框架之间的后侧插入件,其中所述后侧插入件包括所述折流板。
11.根据权利要求1-5或8中任一项所述的电镀装置,其中,所述折流板是可移除的部件,其与所述离子阻性元件、所述阳极室膜框架或者后侧插入件都不是一体的,并且其中,所述折流板配合至在所述离子阻性元件、所述阳极室膜框架和所述后侧插入件中的至少一个中的凹部中。
12.一种电镀装置,其包括:
(a)电镀室,其被配置为在将金属电镀到衬底上时容纳电解液和阳极,所述衬底基本上是平坦的;
(b)衬底保持器,其被配置成支撑所述衬底,使得在镀敷期间将所述衬底的镀敷面浸入所述电解液中并与所述阳极分离;
(c)离子阻性元件,其适于在电镀期间提供通过所述离子阻性元件的离子传输,其中所述离子阻性元件是包括多个通孔的板;
(d)横流歧管,当所述衬底存在于所述衬底保持器中时,所述横流歧管定位于所述离子阻性元件上方且在所述衬底的所述镀敷面下方;
(e)与所述离子阻性元件物理接触的膜,其中所述膜适于在电镀期间提供通过所述膜的离子传输,并且其中所述膜适于减少电镀期间穿过所述离子阻性元件的电解液的流动。
13.根据权利要求12所述的电镀装置,其中,所述膜是平坦的,并且位于与所述离子阻性元件平行的平面内。
14.根据权利要求12或13所述的电镀装置,其中,所述膜覆盖所述离子阻性元件中所述多个通孔的全部。
15.根据权利要求12或13所述的电镀装置,其中,所述膜包括一个或多个切口区域,使得所述膜仅覆盖所述离子阻性元件中的所述多个通孔中的一些。
16.根据权利要求15所述的电镀装置,其中,所述膜包括位于所述离子阻性元件的中心附近的第一切口区域。
17.根据权利要求16所述的电镀装置,其中,所述膜包括位于所述横流歧管的侧入口附近的第二切口区域。
18.根据权利要求15至17中任一项所述的电镀装置,其中,所述切口区域是方位角不均匀的。
19.根据权利要求12至18中任一项所述的电镀装置,其中,所述膜位于所述离子阻性元件下方。
20.根据权利要求12至18中任一项所述的电镀装置,其中,所述膜位于所述离子阻性元件上方。
21.根据权利要求12至20中任一项所述的电镀装置,其还包括膜框架,所述膜框架被配置为将所述膜定位为与所述离子阻性元件物理接触。
22.根据权利要求21所述的电镀装置,其中,所述膜位于所述离子阻性元件上方,其中所述膜框架位于所述膜上方,并且其中所述膜框架包括第一组肋,所述第一组肋是线性的并且彼此平行,并沿与在所述横流歧管内的横向流动的电解液的方向垂直的方向延伸。
CN201880054244.4A 2017-08-21 2018-08-20 用于在电镀期间流动隔离和聚焦的方法和装置 Active CN111032927B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211101940.0A CN115613104A (zh) 2017-08-21 2018-08-20 用于在电镀期间流动隔离和聚焦的方法和装置

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762548116P 2017-08-21 2017-08-21
US62/548,116 2017-08-21
US16/101,291 2018-08-10
US16/101,291 US11001934B2 (en) 2017-08-21 2018-08-10 Methods and apparatus for flow isolation and focusing during electroplating
PCT/US2018/000362 WO2019040111A1 (en) 2017-08-21 2018-08-20 METHODS AND APPARATUS FOR ISOLATING AND FOCUSING FLOWS DURING ELECTROPLACING

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202211101940.0A Division CN115613104A (zh) 2017-08-21 2018-08-20 用于在电镀期间流动隔离和聚焦的方法和装置

Publications (2)

Publication Number Publication Date
CN111032927A true CN111032927A (zh) 2020-04-17
CN111032927B CN111032927B (zh) 2022-09-30

Family

ID=65360343

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201880054244.4A Active CN111032927B (zh) 2017-08-21 2018-08-20 用于在电镀期间流动隔离和聚焦的方法和装置
CN202211101940.0A Pending CN115613104A (zh) 2017-08-21 2018-08-20 用于在电镀期间流动隔离和聚焦的方法和装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202211101940.0A Pending CN115613104A (zh) 2017-08-21 2018-08-20 用于在电镀期间流动隔离和聚焦的方法和装置

Country Status (7)

Country Link
US (1) US11001934B2 (zh)
JP (1) JP7194724B2 (zh)
KR (2) KR102652962B1 (zh)
CN (2) CN111032927B (zh)
SG (1) SG11202001325QA (zh)
TW (2) TW202321523A (zh)
WO (1) WO2019040111A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
JP7102865B2 (ja) * 2018-03-30 2022-07-20 ブラザー工業株式会社 定着器および画像形成装置
WO2020106590A1 (en) 2018-11-19 2020-05-28 Lam Research Corporation Cross flow conduit for foaming prevention in high convection plating cells
CN109621260B (zh) * 2018-11-27 2021-03-30 北京建筑大学 一种灭火车的控制系统
US20220298667A1 (en) * 2019-09-03 2022-09-22 Lam Research Corporation Low angle membrane frame for an electroplating cell
EP3868923A1 (en) * 2020-02-19 2021-08-25 Semsysco GmbH Electrochemical deposition system for a chemical and/or electrolytic surface treatment of a substrate
US11401624B2 (en) * 2020-07-22 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Plating apparatus and method for electroplating wafer
US11795566B2 (en) * 2020-10-15 2023-10-24 Applied Materials, Inc. Paddle chamber with anti-splashing baffles
WO2022164695A1 (en) * 2021-02-01 2022-08-04 Lam Research Corporation Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating
CN115335555B (zh) * 2021-03-10 2023-09-19 株式会社荏原制作所 镀覆装置、以及镀覆方法
EP4219799A1 (en) * 2022-01-27 2023-08-02 Semsysco GmbH System for a chemical and/or electrolytic surface treatment of a substrate
KR102597424B1 (ko) * 2022-10-20 2023-11-02 최일규 전해동박 제박기용 도금액 유출시스템

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US20120138471A1 (en) * 2010-12-01 2012-06-07 Mayer Steven T Electroplating apparatus and process for wafer level packaging
US20130137242A1 (en) * 2006-08-16 2013-05-30 Zhian He Dynamic current distribution control apparatus and method for wafer electroplating
US20130313123A1 (en) * 2010-07-02 2013-11-28 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
CN105821457A (zh) * 2015-01-22 2016-08-03 朗姆研究公司 使用远程电流动态控制电镀均匀性的装置和方法
US20170191180A1 (en) * 2016-01-06 2017-07-06 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition

Family Cites Families (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
DE3108358C2 (de) 1981-03-05 1985-08-29 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum partiellen Galvanisieren von zu elektrisch leitenden Bändern, Streifen oder dgl. zusammengefaßten Teilen im Durchlaufverfahren
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298A (ja) 1983-03-07 1984-09-13 Kawasaki Steel Corp 金属ストリツプの高電流密度めつき方法
US4738272A (en) 1984-05-21 1988-04-19 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4856544A (en) 1984-05-21 1989-08-15 Cfm Technologies, Inc. Vessel and system for treating wafers with fluids
US4633893A (en) 1984-05-21 1987-01-06 Cfm Technologies Limited Partnership Apparatus for treating semiconductor wafers
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
DE3585797D1 (de) 1985-06-24 1992-05-07 Cfm Technologies Inc Behandlung von halbleiterscheiben mit einer fluessigkeitsstroemung.
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
EP0283681B1 (de) 1987-02-23 1992-05-06 Siemens Aktiengesellschaft Galvanisiereinrichtung zur Erzeugung von Höckern auf Chip-Bauelementen
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
AU7403694A (en) 1994-07-19 1996-02-16 American Plating Systems, Inc. Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5626736A (en) 1996-01-19 1997-05-06 Shipley Company, L.L.C. Electroplating process
US20020066464A1 (en) 1997-05-09 2002-06-06 Semitool, Inc. Processing a workpiece using ozone and sonic energy
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
KR20010024368A (ko) 1997-09-30 2001-03-26 세미툴 인코포레이티드 접촉식 세정 작업을 위한 주 반응 챔버 외측에 보조전극을 구비하는 전기도금 시스템
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
AU2233399A (en) 1998-02-12 1999-08-30 Acm Research, Inc. Plating apparatus and method
US6261433B1 (en) 1998-04-21 2001-07-17 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6022465A (en) 1998-06-01 2000-02-08 Cutek Research, Inc. Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
JP2000087299A (ja) 1998-09-08 2000-03-28 Ebara Corp 基板メッキ装置
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7204924B2 (en) 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
US6454918B1 (en) 1999-03-23 2002-09-24 Electroplating Engineers Of Japan Limited Cup type plating apparatus
JP3331332B2 (ja) 1999-08-25 2002-10-07 日本エレクトロプレイテイング・エンジニヤース株式会社 カップ式めっき装置
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
JP4288010B2 (ja) 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
TW499329B (en) 1999-09-17 2002-08-21 Product System Inc Chemically inert megasonic transducer system
JP3635217B2 (ja) 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6562204B1 (en) 2000-02-29 2003-05-13 Novellus Systems, Inc. Apparatus for potential controlled electroplating of fine patterns on semiconductor wafers
KR100804714B1 (ko) 2000-03-17 2008-02-18 가부시키가이샤 에바라 세이사꾸쇼 도금장치 및 방법
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US7273535B2 (en) 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US7456113B2 (en) 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US20020062839A1 (en) 2000-06-26 2002-05-30 Steven Verhaverbeke Method and apparatus for frontside and backside wet processing of a wafer
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US6610189B2 (en) 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
JP2002289568A (ja) 2001-03-23 2002-10-04 Dainippon Screen Mfg Co Ltd 基板洗浄装置およびそれに用いる超音波振動エレメント
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6605525B2 (en) 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP4043234B2 (ja) 2001-06-18 2008-02-06 株式会社荏原製作所 電解加工装置及び基板処理装置
US7608356B2 (en) 2001-07-13 2009-10-27 William M. Risen, Jr. Ion conducting polymer membranes
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US6881318B2 (en) 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
CA2456919A1 (en) 2001-08-22 2003-03-06 Optical Forming Corporation Electroforming apparatus and electroforming method
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
TWI224531B (en) 2001-09-11 2004-12-01 Ebara Corp Substrate processing apparatus and method
JP3681670B2 (ja) 2001-09-25 2005-08-10 シャープ株式会社 半導体集積回路の製造装置および製造方法
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
TWI261875B (en) 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US8002962B2 (en) 2002-03-05 2011-08-23 Enthone Inc. Copper electrodeposition in microelectronics
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
DE10229001B4 (de) 2002-06-28 2007-02-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Steuern der Ionenverteilung während des galvanischen Auftragens eines Metalls auf eine Werkstückoberfläche
US7077585B2 (en) 2002-07-22 2006-07-18 Yoshitake Ito Developing method and apparatus for performing development processing properly and a solution processing method enabling enhanced uniformity in the processing
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040118694A1 (en) 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
JP2004068158A (ja) 2002-08-08 2004-03-04 Texas Instruments Inc Ecd反応器内の電流密度改善および機構充填制御方法並びに装置
US20040084318A1 (en) 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
US7374646B2 (en) 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
JP2004250785A (ja) 2003-01-31 2004-09-09 Ebara Corp 電解処理装置及び基板処理装置
WO2004112093A2 (en) 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US8261757B2 (en) 2003-06-24 2012-09-11 Lam Research Ag Device and method for wet treating disc-like substrates
JP2005133160A (ja) 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP4681221B2 (ja) 2003-12-02 2011-05-11 ミライアル株式会社 薄板支持容器
US7553401B2 (en) 2004-03-19 2009-06-30 Faraday Technology, Inc. Electroplating cell with hydrodynamics facilitating more uniform deposition across a workpiece during plating
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
JP4583811B2 (ja) 2004-05-31 2010-11-17 吉田 英夫 めっき処理方法
CN101120433B (zh) 2004-06-04 2010-12-08 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7563348B2 (en) 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
TW200633038A (en) 2004-11-19 2006-09-16 Asm Nutool Inc Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7935240B2 (en) 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
US7255970B2 (en) 2005-07-12 2007-08-14 Az Electronic Materials Usa Corp. Photoresist composition for imaging thick films
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
KR100657600B1 (ko) 2005-10-24 2006-12-19 (주)씨-넷 엘씨디모듈의 백라이트 유니트용 커넥터
JP2009536450A (ja) 2006-05-05 2009-10-08 ラム・リサーチ・アクチエンゲゼルシヤフト プレート状基材を湿式処理するための装置と方法
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
CN101220500A (zh) 2007-08-29 2008-07-16 中国电子科技集团公司第二研究所 晶圆凸点制造挂具
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
JP2010040849A (ja) 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
JP4902821B1 (ja) 2010-04-28 2012-03-21 パナソニック株式会社 抵抗変化型不揮発性記憶装置及びその製造方法
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
KR20120036030A (ko) 2010-10-07 2012-04-17 동우 화인켐 주식회사 네가티브 포토레지스트 잔류물 제거용 조성물 및 이를 이용한 세정방법
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
WO2012174732A1 (en) 2011-06-24 2012-12-27 Acm Research (Shanghai) Inc. Methods and apparatus for uniformly metallization on substrates
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
CN102719865B (zh) 2012-07-13 2016-02-24 曲悦峰 一种镀膜模具
KR102214898B1 (ko) * 2012-12-12 2021-02-10 노벨러스 시스템즈, 인코포레이티드 전기도금 동안 효율적인 대량 전달을 위한 전해질 유체역학의 향상
TW201439008A (zh) 2013-03-15 2014-10-16 Hydronovation Inc 電化學水軟化系統
US20140299476A1 (en) 2013-04-09 2014-10-09 Ebara Corporation Electroplating method
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US9988733B2 (en) * 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US20170342590A1 (en) 2016-05-24 2017-11-30 Lam Research Corporation Modulation of applied current during sealed rotational electroplating
US20180258546A1 (en) 2017-03-09 2018-09-13 Lam Research Corporation Electroplating apparatus and methods utilizing independent control of impinging electrolyte
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US20130137242A1 (en) * 2006-08-16 2013-05-30 Zhian He Dynamic current distribution control apparatus and method for wafer electroplating
US20130313123A1 (en) * 2010-07-02 2013-11-28 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US20120138471A1 (en) * 2010-12-01 2012-06-07 Mayer Steven T Electroplating apparatus and process for wafer level packaging
CN105821457A (zh) * 2015-01-22 2016-08-03 朗姆研究公司 使用远程电流动态控制电镀均匀性的装置和方法
US20170191180A1 (en) * 2016-01-06 2017-07-06 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition

Also Published As

Publication number Publication date
JP2020531684A (ja) 2020-11-05
WO2019040111A1 (en) 2019-02-28
KR20200035318A (ko) 2020-04-02
KR102652962B1 (ko) 2024-04-01
KR20240046284A (ko) 2024-04-08
JP7194724B2 (ja) 2022-12-22
US20190055665A1 (en) 2019-02-21
TWI794273B (zh) 2023-03-01
SG11202001325QA (en) 2020-03-30
CN111032927B (zh) 2022-09-30
CN115613104A (zh) 2023-01-17
US11001934B2 (en) 2021-05-11
TW202321523A (zh) 2023-06-01
TW201920778A (zh) 2019-06-01

Similar Documents

Publication Publication Date Title
CN111032927B (zh) 用于在电镀期间流动隔离和聚焦的方法和装置
KR102533812B1 (ko) 균일한 전기도금을 위한 전해액 플로우 역학의 제어
KR102566478B1 (ko) 전기도금 동안 교차 플로우 및 충돌하는 전해질의 전달을 제어하기 위한 방법들 및 장치
KR100707121B1 (ko) 마이크로전자 피가공물을 전기화학적으로 처리하기 위한 장치 및 마이크로전자 피가공물 상에 재료를 전기도금하기 위한 방법
CN111492096B (zh) 混合特征电镀的对流优化
TWI662160B (zh) 非等向性高電阻離子電流源
WO2020014423A1 (en) Method and apparatus for synchronized pressure regulation of separated anode chamber
KR20210081441A (ko) 고대류 (high convection) 도금 셀들에서 거품을 방지하기 위한 교차 플로우 (cross flow) 도관
US10975489B2 (en) One-piece anode for tuning electroplating at an edge of a substrate
TW202248466A (zh) 用於電鍍期間之特定流體動力學的空間及尺寸上非均勻之通道板
CN115768928A (zh) 使用空间上定制为管芯级图案的离子阻性离子可渗透元件或屏蔽件在衬底上电沉积金属

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant