KR20010024368A - 접촉식 세정 작업을 위한 주 반응 챔버 외측에 보조전극을 구비하는 전기도금 시스템 - Google Patents

접촉식 세정 작업을 위한 주 반응 챔버 외측에 보조전극을 구비하는 전기도금 시스템 Download PDF

Info

Publication number
KR20010024368A
KR20010024368A KR1020007003482A KR20007003482A KR20010024368A KR 20010024368 A KR20010024368 A KR 20010024368A KR 1020007003482 A KR1020007003482 A KR 1020007003482A KR 20007003482 A KR20007003482 A KR 20007003482A KR 20010024368 A KR20010024368 A KR 20010024368A
Authority
KR
South Korea
Prior art keywords
cup
anode
electrode
semiconductor wafer
fluid
Prior art date
Application number
KR1020007003482A
Other languages
English (en)
Inventor
린던 더블유. 그레이험
카일 한슨
토마스 엘. 리쯔돌프
제프리 아이. 터너
Original Assignee
세미툴 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/940,670 external-priority patent/US6599412B1/en
Priority claimed from US08/940,930 external-priority patent/US6099712A/en
Application filed by 세미툴 인코포레이티드 filed Critical 세미툴 인코포레이티드
Publication of KR20010024368A publication Critical patent/KR20010024368A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating

Abstract

본 발명은 반도체 웨이퍼(55)와 전기 접촉 상태인 제 1 전극과 제 2 전극을 포함하는 반도체 웨이퍼를 전기도금하기 위한 시스템에 관한 것이다. 제 1 전극 및 반도체 웨이퍼(55)는 음극을 형성한다. 제 2 전극은 양극(100)을 형성한다. 반응 컵(205)은 처리 챔버(75)를 한정한다. 외측 전극(235)은 반응 컵의 외측에 배치되고 반응 컵에서 배출되는 접촉 용액에 대해 위치된다. 전원 공급 시스템(255)은 제 1 및 제 2 전극으로 공급하도록 연결되고 제 1 전극은 양극이 되게 하고 외측 전극은 음극이 되도록 부가로 연결된다.

Description

접촉식 세정 작업을 위한 주 반응 챔버 외측에 보조 전극을 구비하는 전기도금 시스템 {ELECTROPLATING SYSTEM HAVING AUXILIARY ELECTRODE EXTERIOR TO MAIN REACTOR CHAMBER FOR CONTACT CLEANING OPERATIONS}
관련된 출원의 상호-참조
본 출원은, 전체가 참조로 도입되는, 1997년 9월 30일에 모두 출원된, 미국 특허 출원 제 08/940,670 호(변리사 서류 번호 제 SE10-0126 호, 미국 우편 속달물 라벨 번호 제 EM025335107 호), 및 제 08/940,930 호(변리사 서류 번호 제 SE10-0141 호, 미국 우편 속달물 라벨 번호 제 EM025335138 호)의 일부 계속 출원이다.
반도체 웨이퍼(wafer)로부터 반도체 집적 회로 및 기타 반도체 소자의 제조시에, 집적 회로상의 다양한 장치들을 서로 전기적으로 연결하는 배선 금속화로 사용되는 웨이퍼상의 다중 금속 층의 제공이 종종 요구된다. 통상적으로, 알루미늄이 상기 배선을 위해 사용되었지만, 구리 금속화가 바람직할 수 있다는 것이 현재 인지된다.
반도체 웨이퍼상의 구리의 적용은, 특히, 큰 기술적 변화인 것이 입증되었다. 여기에서 구리 금속화는 신뢰 가능하고 비용이 효율적인 방식으로 반도체 장치상의 구리층을 형성하는 것의 실제적인 문제점 때문에 영리적 실현이 충분히 실행되지 않았다.
당 산업은 구리 패턴이 바람직한 좀더 통상적으로는 비아(via)로 호칭되는, 구멍, 트렌치(trench) 및 기타 오목부(recess)가 사용되는 다마스크(damask) 전기도금 처리를 사용함으로써 반도체 웨이퍼상의 구리 도금을 모색하였다. 다마스크 처리시에, 웨이퍼에는 수반되는 금속 전기도금 단계중에 전류를 전도시키도록 사용되는 금속 종자(seed)층이 우선 제공된다. 종자층은 하나 이상의 여러가지 공정들을 사용하여 도포될 수 있는 매우 얇은 금속층이다. 예를 들면, 금속 종자층은 1000Å 두께 수준으로 층을 형성하도록 물리 기상 증착이나 화학 기상 증착 공정을 사용하여 증착될 수 있다. 종자층은 구리, 금, 니켈, 팔라듐(palladium), 및 대부분의 또는 모든 기타 금속들로 유익하게 형성될 수 있다. 종자층은 비아, 트렌치, 또는 오목한 기타 장치의 특징부가 존재함으로써 혼재된 표면상에 형성된다.
다마스크 처리시에, 종자층상에 전기도금되는 구리층은 블랭킷(blanket)층 형태이다. 블랭킷층은 트렌치 및 비아에 가득차고 특정양의 상기 특징부를 연장시키는 구리층을 완전히 제공하려는 목적을 지니고, 오버라잉(overlying)층을 형성하는 범위까지 도금된다. 상기 블랭킷층은 10,000-15,000Å(1-1.5 미크론(micron)) 수준의 두께로 전형적으로 형성된다.
블랭킷층이 반도체 웨이퍼상에서 전기도금된 후, 비아, 트렌치 또는 기타 오목부의 외측에 존재하는 과잉 금속 물질은 제거된다. 상기 금속은 형성되는 반도체 집적 회로에서 결과적인 패턴 가공된 금속층을 제공하도록 제거된다. 과잉의 도금된 물질은, 예를 들면, 화학 기계적 평탄화를 이용하여 제거될 수 있다. 화학 기계적 평탄화는 전기도금 단계에서 도포되는 금속층의 바람직하지 않은 부분을 제거하도록 노출된 금속면을 마멸시키는 화학적 제거제 및 연마재의 합동 작용을 사용하는 공정 단계이다.
구리 전기도금 공정의 자동화는 실현이 난해하였고, 균일한 반도체 소자상에 구리층을 형성할 수 있고 효과적이고 비용이 효율적인 방식으로 제조될 수 있는 개선된 반도체 도금 시스템에 대한 기술이 요구된다. 좀더 자세하게는, 효율적이고 신뢰가능하게 자동화된 구리 도금 시스템을 제공하려는 실질적인 필요성이 존재한다.
반도체 웨이퍼의 전기도금시에, 양극은 도금 탱크에 배치되고 웨이퍼상에 종자층을 가지는 웨이퍼는 도금 탱크의 상면과 접촉하여 도금되는 웨이퍼 표면을 가지는 음극으로서 사용된다. 반도체 웨이퍼는 필요한 음극 퍼텐셜(potential)을 웨이퍼로 또한 제공하는 지지 시스템에 의해 고정 지지된다. 지지 시스템은 웨이퍼를 제자리에 고정시키고 또한 도금 작업을 위해 전류를 전도시키도록 웨이퍼와 접촉하는 전도성 핑거(finger)들을 포함할 수 있다.
전기도금 공정중에, 반도체 웨이퍼뿐만 아니라 전도성 핑거는, 구리와 같은, 도금 금속으로 도금된다. 상기 공정에서 발생하는 하나의 잠재적인 문제는 전도성 핑거상의 금속 증착물의 도금을 형성하는 것이다. 이러한 증착물은: 1) 웨이퍼면과 전도성 핑거의 분리시에, 도금되는 표면의 일부가 찢어져 분리될 수 있고 편린으로 떨어져 이탈하게 하는 웨이퍼와 접촉중인 전도성 핑거의 의도되지 않은 접착을 발생시킬 수 있고; 2) 도금되는 표면과 접촉을 통해 그리고 결국 상기 표면을 가로질러서 전도되는 전류 변화를 발생시킬 수 있으며; 3) 전도성 핑거상의 증착물이나 도금 탱크에 유입될 수 있고, 결국 도금중에 웨이퍼면상에 직접 수용될 수 있거나 그 결과로서 도금되는 웨이퍼를 오염시킬 수 있는 웨이퍼를 파괴하는 소형 편린을 형성시킬 수 있다. 이들의 결과는 각각 독립적으로 또는 공동으로 도금되는 표면의 불규칙성이 형성될 수 있거나 웨이퍼의 기타 결함이 발생될 수 있다는 것이다. 추가적으로, 이들 결과는 또한 웨이퍼 균일성에 대하여 웨이퍼가 감소되게 할 수 있다.
도금이 전극 핑거로부터 제거될 수 있는 한가지 방식은 특정 수준의 도금이나 증착물이 핑거 접촉면상에 형성된 경우 세정을 위해 전도성 전극 핑거를 수동으로 제거하는 것이다. 그러나, 이것은 전기도금 공정, 특히 연속적인 웨이퍼 도금 작업에서, 상당한 고장 시간(down time)을 발생시키므로 바람직하지 않다. 웨이퍼 처리량의 상당한 손실 및 높은 공정 비용이 이러한 과정의 작용과 관련된다. 전극의 증착물을 세정시키고 동시에 제조 공정의 고장시간을 최소화하면서 도금 공정으로부터 결과적인 편린의 분리를 위한 방법을 개발하는 것이 좀더 바람직하다.
발명의 간단한 요약
반도체 웨이퍼를 전기도금하기 위한 시스템이 기술된다. 본 시스템은 반도체 웨이퍼와 전기 접촉 상태인 제 1 전극 및 제 2 전극을 포함한다. 제 1 전극 및 반도체 웨이퍼는 반도체 웨이퍼의 전기도금중에 음극을 형성한다. 제 2 전극은 반도체 웨이퍼의 전기도금중에 양극을 형성한다. 반응 챔버를 한정하는 반응 컨테이너도 사용된다. 반응 챔버는 전도성 도금 용액을 포함한다. 제 1 전극 각각의 적어도 일부분, 제 2 전극, 및 반도체 웨이퍼는 반도체 웨이퍼의 전기도금중에 도금 용액과 접촉한다. 보조 전극은 반응 챔버 외측에 배치되고 보조 전극 및 제 1 전극 사이의 전도성 경로를 제공하도록 제 1 전극의 세정중에 반응 챔버에서 배출되는 도금 용액과 접촉하기 위해 위치된다. 전원 공급 시스템은 반도체 웨이퍼의 전기도금중에 제 1 및 제 2 전극으로 도금 전력을 공급하도록 연결되고 부가로 제 1 전극의 세정중에 제 1 전극은 양극이 되게 하고 보조 전극은 음극이 되도록 연결된다.
여러가지 도면의 간단한 설명
도 1은 본 발명에 따른 보조 전극을 사용할 수 있는 전기도금 시스템의 개략적 블럭 다이어그램(block diagram),
도 2는 유체 출구 튜브에 보조 전극을 포함하는 본 발명의 한가지 실시예의 개략적 블럭 다이어그램,
도 3은 반응 챔버 외측의 저장 컨테이너에 보조 전극을 포함하는 본 발명의 한가지 실시예의 개략적 블럭 다이어그램,
도 4는 반응 컵의 상부 외측 림(rim) 둘레에 배치된 보조 전극을 포함하는 본 발명의 한가지 실시예의 개략적 블럭 다이어그램,
도 5A, 5B 및 5C는 도 4에 도시된 본 발명의 실시예를 실행하는데 사용될 수 있는 처리 용기 조립체를 도시,
도 6은 도 4에 도시된 본 발명의 실시예를 실행하는데 사용될 수 있는 처리 용기 조립체의 다른 실시예를 도시,
도 7은 기술된 전기도금 시스템의 실행에 사용될 수 있는 반응로 조립체의 한가지 실시예를 도시,
도 8은 기술된 전기도금 시스템의 실행에 사용될 수 있는 반응로 조립체의 다른 실시예를 도시,
도 9는 전기도금 시스템의 실행에 사용될 수 있는 웨이퍼 지지/회전 조립체의 한가지 실시예를 도시.
도 1은, 예를 들면, 반도체 웨이퍼(55)상의, 패턴 가공된 구리 금속층과 같은, 금속층을 전기도금하기 위한, 통상 50으로 표시되는, 도금 시스템의 개략적 블럭 다이어그램이다. 도시된 시스템은 통상적으로 주 전기도금 제어 시스템(65)과 교통하는 비젼 시스템(vision system)(60)을 포함한다. 비젼 시스템(60)은 반도체 웨이퍼가 전기도금 장치(70)에 위치되기 전에 반도체 웨이퍼(55)상에 형성되는 특정 산출물을 확인하는데 사용된다. 비젼 시스템(60)에 의해 제공되는 정보로, 주 전기도금 제어 시스템(65)은 웨이퍼(55)상의 금속층을 전기도금하는 전기도금 장치(70)에 이용되는 여러가지 변수들을 설정할 수 있다.
도시된 시스템에서, 전기도금 장치(70)는 통상적으로 전기도금 챔버(75), 회전자 조립체(80), 및 고정자 조립체(85)로 구성된다. 회전자 조립체(80)는 반도체 웨이퍼(55), 전류 제어 시스템(90), 및 전류 시프(thief) 조립체(35)를 지지한다. 회전자 조립체(80), 전류 제어 시스템(90), 및 전류 시프 조립체(95)는 고정자 조립체(85)에 관하여 공동-회전하도록 배치된다. 챔버(75)는 양극 조립체(100)를 수용하고 반도체 웨이퍼(55)를 전기도금하는데 사용되는 용액(105)을 포함한다.
고정자 조립체(85)는 회전자 조립체(80)를 지지하고 상기 조립체는 구성품에 조합된다. 고정자 제어 시스템(110)은 고정자 조립체(85)와 부착 관계로 배치될 수 있다. 고정자 제어 시스템(110)은 주 전기도금 제어 시스템(65)과 교통할 수 있고 반도체 웨이퍼(55)상에 조립되는 특정 유형의 반도체 장치의 확인에 관련하는 정보를 수용할 수 있다. 고정자 제어 시스템(110)은 전류 시프 조립체(95)의 개별부에서 전류 (및 이에 따른 전류 밀도)를 제어하는 전류 제어 시스템(90)에 의해 사용되는 전류 제어 시스템(90)의 상응하는 전자기적 방사 교통 링크(link)(120)로 정보를 전달하도록 바람직하게 사용되는 전자기적 방사 교통 링크(115)를 더 포함한다. 전류 시프 조립체(95)의 특정 구조, 회전자 조립체(80), 고정자 제어 시스템(110), 및 전류 제어 시스템(90)은 하기에 더 상세하게 기술된다.
작업시에, 탐침(120)은 반도체 웨이퍼(55)와 전기 접촉 상태를 형성한다. 반도체 웨이퍼(55)는 그 후 반도체 웨이퍼(55)의 하부면이 용액(105)과 최초의 접촉을 이룰때까지, 예를 들면, 스텝 모터(stepper motor) 등에 의해 분단위로 용액(105)에 하강된다. 상기 최초의 접촉은, 예를 들면, 반도체 웨이퍼(55)를 통해 측정되는 용액(105)을 통하는 전류를 탐지함으로써 감지될 수 있다. 상기 탐지는 고정자 제어 시스템(110), 주 전기도금 제어 시스템(65), 또는 전류 제어 시스템(90)에 의해 실행될 수 있다. 그러나, 탐지는 고정자 제어 시스템(110)으로 실행됨이 바람직하다.
일단 최초의 접촉이 용액(105)의 표면과 반도체 웨이퍼(55)의 하부면 사이에서 이루어지면, 웨이퍼(55)는 약간의 거리만큼 용액(105)으로부터 바람직하게 상승된다. 용액(105)의 표면 장력은 도금되는 반도체 웨이퍼(55)의 하부면과 접촉하는 메니스커스(meniscus)를 생성시킨다. 메니스커스의 특성을 활용함으로써, 웨이퍼(55) 측면부의 도금은 억제된다.
일단 바람직한 메니스커스가 도금 표면에 형성되면, 웨이퍼의 전기도금이 개시될 수 있다. 실제 전기도금 작업의 명확히 상세한 설명은 본 발명의 이용이나 설계에 자세히 관련되지 않으므로 생략된다.
도 2는 제위치에서 접촉부(120)의 세정을 용이하게 한 반도체 웨이퍼 전기도금 시스템의 한가지 실시예를 도시한다. 도시된 바와 같이, 통상적으로 200으로 표시된, 시스템은 처리 챔버(75)를 한정하는 반응 컵(205)을 포함한다. 양극(100)는 반응 컵(205)의 하부면에 배치되는 반면 음극으로서 작용하는 반도체 웨이퍼(55)는 반응 컵(205)의 상부에 배치된다. 상기된 바와 같이, 웨이퍼(55)는 웨이퍼의 하단면만이 도금 용액과 접촉하도록 지지된다. 임의로, 디퓨저(diffuser) 조립체(210)가 양극(100)과 반도체 웨이퍼(55) 사이에 배치된다.
도금 용액은 레버(lever) 처리 컵(205)의 하단부에 개방된 유체 입구(215)를 통해 처리 챔버(75)에 공급되는데, 도금 용액은 챔버(75)에 가득차고 양극(100)과 반도체 웨이퍼(55) 사이에 전도 경로를 제공함으로써 완전한 전기도금 회로를 형성한다. 챔버(75)로 도금 용액의 연속적 유동이 바람직하다. 상기와 같이, 처리 용액은 그 용액의 입구(215)를 통한 공급과 동일 비율로 처리 챔버(75)로부터 제거되어야 한다. 이러한 목적을 위해, 처리 컵(205)은 저장 용기(220)내에 배치된다. 도금 용액은 입구(215)를 통과하여 챔버(75)에 가득차고 반응 컵(205)으로부터 넘쳐 흐른다. 넘쳐 흐른 유체는 컵(205)의 상부 림 위로 그리고 컵(205)의 외주와 저장 용기(220)의 내주 사이의 간격 영역으로 유동한다. 처리 용액은 유체 출구 조립체(225)를 통해 저장 용기(220)로부터 배출된다. 유체 출구 조립체(225)는 출구(230), 외측 전극(235), 및 저장 용기(220)와 외측 전극(235) 사이의 유체 경로에 배치되는 제어 밸브(240)로 구성됨이 바람직하다.
정상적 웨이퍼 도금 작업중에, 스위치(245)는 닫히는 반면 스위치(250)는 열린다. 이것은 공급부(255)가 반도체 웨이퍼(55)의 도금 작업을 수행하는 필수 도금 전력을 공급하게 한다.
전기도금 공정이 완료된 후에, 반도체 웨이퍼(55)는 제거되고 제위치에서 접촉 전극(120)의 세정이 수행될 수 있다. 이러한 목적을 위해, 스위치(245)는 열리는 반면 스위치(250)는 닫힘으로써 공급부(280)를 접촉 전극(120) 및 외측 전극(235)에 연결시킨다. 이것은 양극과 같은 전극 접촉부(120)의 기능 및 음극과 같은 외측 전극(235)의 기능을 효과적으로 형성한다. 저장 용기(220)로부터의 처리 용액 유동은 전극(120) 및 외측 전극(235) 사이의 도금 용액을 통해 전기 접촉 상태를 유지하는 수준으로 저장 용기(220)에서 처리 용액의 수준을 유지시키는 제어 밸브(240)에 의해 제어된다. 결과적인 역전류는 보조 전극과 웨이퍼 접촉 전극 사이에서 약 0.1-100 V 범위의, 이와는 달리 약 0.1-20 V 범위의, 또는 이와는 달리 약 1-10 V 범위의 전압 퍼텐셜로 공급될 수 있다. 전압 퍼텐셜은 정상 작업 주기, 등을 통해 처리되는 반도체 작업편 수량에 따라 변할 수 있다.
본문에 도시된 2개의 공급 구성은 단순히 도시적 목적을 위한 것이라는 점이 인지되어야 한다. 도금 및 세정 전력 모두를 공급할 수 있는 단일 공급부는 소정의 적절한 스위칭(switching) 구성을 지니고 사용될 수 있다.
연결된 공급부(280)로, 웨이퍼(55)상을 전기도금하는 동안 전극(120)으로 도금되는, 구리와 같은, 금속은 부분적으로 또는 완전히 제거될 수 있다. 이러한 도금 작업이 처리 챔버(75) 외측의 전기 회로에서 발생되기 때문에, 세정 작업으로부터 발생되는 소정 부산물은 챔버(75) 외부로 유동함으로써 챔버를 상대적으로 위생적인 상태로 유지시킨다.
앞서 기술한 세정 작업은 여러번 발생할 수 있다. 예를 들면, 세정 작업은 제조 공정중에 하나의 반도체 웨이퍼, 5개의 반도체 웨이퍼, 10개의 반도체 웨이퍼, 등을 전기도금한 후에 발생될 수 있다. 소수의 웨이퍼가 선택된다면, 상기 세정은 제조 작업을 일시 중단시키지 않고 발생할 수 있다. 그러나, 통상적으로, 50개 이상의 반도체 웨이퍼가 처리되는 경우, 세정 작업의 지속은 과도해질 수 있으므로 상기 세정 작업이 전형적 반도체 웨이퍼 제조 작업중에 발생되는 것을 방해한다. 세정 주기 사이에 각각의 웨이퍼상에 도금되는 구리량은 얼마의 구리가 접촉 전극(120)상에 도금되는가를 효과적으로 결정하여서 제위치에서 세정 작업의 지속을 결정함이 인지된다.
제거된 증착물은 출구 튜브(230)를 경유하여 도금 탱크 외부로 유동할 수 있고 미립자 필터에 수집되거나 적절한 폐기물 제거 및 취급 작업으로 위치될 수 있다. 미립자 필터를 관통한 후에, 여과된 용액은 도금 탱크로 재유입될 수 있다. 이것은 경제적 및 폐기물 취급의 관점 모두로부터 바람직하다.
미립자 필터가 사용되면, 상기 필터는 불순물, 특히 세정된 전극 접촉부로부터 제거된 증착물을 포함하는 물질을 여과시키거나 채집할 수 있는 소정 물질을 포함할 수 있다. 또한 미립자 필터는 개념적으로 상기 필터를 관통하는 도금 탱크 용액과 함께 이온이 통과하게 한다. 이러한 경우에, 여과된 도금 탱크 용액은 상기된 관련 이익을 지니고 도금 탱크로 재유입될 수 있다. 미립자 필터에 사용하기에 적합한 물질은 용융된 유리와 같은 물질을 포함한다.
제위치에서 세정하기 위한 외측 전극의 다른 배치가 도 3에 도시된다. 이러한 실시예에서, 외측 전극(270)은 저장 용기(220)의 하부면에 배치되고 입구 튜브(215) 둘레에 배치되어 전체가 환형 전극 형태이다.
외측 전극의 또한 다른 배치가 도 4에 도시된다. 도시된 실시예에서, 외측 전극(280)은 처리 컵(205)의 상부 외주 둘레에 배치된다. 처리 컵(205)의 상부 외주에 외측 전극(280)의 배치는 세정 작업중에 유체를 통한 적절한 전기적 연결 가능성을 증가시킨다. 부가로, 외측 전극(280)은 고속의 처리 용액 유동을 가지는 영역에 배치되므로, 전극 세정 작업을 방해할 수 있는 소정 잔여 미립자는 처리 용액에 의해 전극(280)으로부터 제거될 수 있다.
도 3 및 도 4에 도시된 각각의 실시예에서, 전기도금 및 세정 작업중에 장치의 작동은 실질적으로 도 2의 실시예와 연관되어 기술된 것과 유사하다.
앞서 말한 장치 및 관련 방법은 상기 세정 작업이 없는 시스템과 비교하여 전기도금 공정에서 특정 시간 간격에 제조되는 웨이퍼 수량을 증가시키는데 적합하다. 세정 주기가 신속 용이하게 발생될 수 있으므로, 시퀀스(sequence)를 작동시키는 표준 전기도금 공정의 소정 실시예에서, 전극 접촉부는 상기 세정 없는 전극과 비교하여 장주기의 시간을 위한 클리너(cleaner)가 된다. 이것은 더많은 웨이퍼가 동일 주기의 시간에 걸쳐 동일 특성 변수내에서 처리되도록 한다. 또한 상기 방법을 사용하는 시스템은 전도성 핑거 전극을 수동으로 교체/세정하는 전기도금 시스템의 정지와 연관되는 긴 고장시간을 회피시킴으로써 웨이퍼 공정 처리량을 증가시킨다.
또한 본 장치 및 관련 방법은 상기 세정 작업이 없는 시스템과 비교하여 표면 도금의 균일성을 강화시킨다. 전기도금된 표면, 특히 반도체 웨이퍼상에서는 불균일성이 개념적으로 효력이 없다. 바람직한 균일성은, 부분적으로, 전기도금중에 웨이퍼면을 가로지르는 전류 밀도의 함수이다. 전도성 전극 접촉면과 웨이퍼면 사이의 세정 접촉부는 균일성을 실행하는데 중요하다. 따라서 클리너 전극 접촉부는, 웨이퍼면을 가로지르는 개선된 표면 균일성을 발생시키고 상기 세정 작업이 없는 방법과 비교하여 웨이퍼 균일성(즉, 동일 공정에서 결과로서 도금되는 웨이퍼와 비교한 웨이퍼의 특성)에 대하여 더 양호한 웨이퍼를 공급하도록, 전기도금중에 웨이퍼면상의 균일한 전류 밀도를 향상시킨다.
보조 전극의 사용과 배치는 도금 탱크 용액내 불순물 및 오염물이 반응 시스템으로부터 분리되고 제거되게 하여서, 불순물과 오염물이 후속하여 처리되는 웨이퍼상에 수용됨으로써 상기 표면상에 불균일성을 생성시킴을 방지한다. 또한 보조 전극 및 여과 구성은 핑거 전극을 세정하기 위한 편리한 수단 및 핑거 전극의 수동 교환과 비교하여 반응 시스템으로 최소한의 침입을 가지는 도금 용액을 공급한다.
도 5A, 5B, 및 5C는 도 4에 일반적 형태로 도시된 장치의 좀더 자세한 실시예를 도시한다. 도시된 바와 같이, 본 장치는 전극 링(305)을 지지하는 보조 전극 지지체(300)를 포함한다. 전극 링(305)은 양극 조립체(100)상에 위치되는, 림(310) 및 디퓨저(210) 사이에 위치된다. 결합된 조립체는, 차례로, 저장 용기 용접체(220)에 배치되는 처리 컵 용접체(205)내에 위치된다. 보조 전극 링(305)은 전극 링(305)이 도금 용액 메니스커스 하부와 도금 탱크의 외측에 위치하도록 지지체(300)에 고정된다. 상기 위치에서 탱크로부터 유동하는 과류액과 접촉이 가능하다.
다른 실시예에서, 직접 상기된 본 시스템은 미립자 필터를 임의로 포함할 수 있다. 미립자 필터는 도금 탱크 벽과 외측 챔버 벽 사이 또는 상기 공간에 부착된 출구 튜브의 공간에서와 같이, 도금 탱크 용액이 상기 필터를 관통하는 미립자 물질을 포함하게 하는 소정의 적합한 위치에 배치될 수 있다. 상기 구성에서, 미립자 필터는 불순물, 특히 세정된 전극 접촉부로부터 제거된 증착물을 포함하는 물질을 여과시키거나 채집할 수 있는 소정 물질을 포함한다. 또한 미립자 필터는 개념적으로 상기 필터를 관통하는 도금 탱크 용액과 함께 이온이 통과되게 한다. 이러한 경우에, 여과된 도금 탱크 용액은 상기된 관련 이익을 가지고 도금 탱크로 재유입될 수 있다. 미립자 필터에 사용하기에 적합한 물질은 용융된 유리와 같은 물질을 포함한다.
또한 다양한 기타 반응 장치 구성은 상기된 1개 이상의 외측 전극 구성과 사용하기에 적합하다. 1개의 상기 반응로가 도 6 및 도 7에 도시된다.
도 6 및 도 7에 도시된 반응로 실시예에서, 처리 용기나 도금 챔버(616)는 용기 측면(617) 및 용기 하부면(619)을 갖는다. 처리 용기는 수평 단면이 원형이고 처리 용기는 또한 테이퍼(taper)질 수 있지만 형태가 통상적으로 원통형이 바람직하다.
컵 조립체(620)는 처리 용기(616)내에 배치된다. 컵 조립체(620)는 컵 측면(622) 및 컵 하부면(623)을 가지는 유체 컵(621)을 포함한다. 처리 용기와 같이, 유체 컵(621)은 수평 단면이 원형이고, 테이퍼진 컵이 테이퍼진 처리 용기와 사용될 수 있지만, 형태가 원통형이 바람직하다.
처리 용액은 유입구 라인(625)을 통해 처리 용기(616)로 공급된다. 유입구 라인은 용기 하부면 개구(627) 및 컵 유입구(624)를 통과해서 상승되고 입구 라인 종점(631)에서 끝난다. 유출구(628)는 컵 유입구(624) 및 유체 라인 종점(631) 사이의 영역에서 유입구 라인(625)내에 배치된다. 이러한 방식으로, 유체는 입구 플리넘(plenum)(629)에 의해 유입구 라인(625)으로부터 컵(621)으로 유동할 수 있다.
컵 조립체(620)는 유입구상에 배치되고 내측 컵 벽(622) 및 유입구 라인(625) 사이에 견고하게 합치되어 유체는 컵(621)의 상부에 유입되기 전에 필터를 관통해야 하는 컵 필터(630)를 포함하는 것이 바람직하다.
컵 조립체(620)에는 금속성 양극(634)이 제공된다. 양극(634)은 유입 라인의 종점(631)에 상기 양극을 부착시킴으로써 컵 조립체내에 고정된다. 결과적으로 양극(634)은 유입구(628)뿐만 아니라 컵 필터(630)상에 배치된다. 양극(634)은 형태에서 그리고 컵(621)의 내경보다 더 작은 직경의 원형이 바람직하다. 양극(634)은 내측 컵 벽(622) 및 양극(634)의 에지(edge) 사이의 환형 갭(gap) 또는 공간(635)을 생성시키는 컵(621)내에서 양극(634)을 중심에 위치시키도록 유입 라인(625)의 종점(631)에 고정된다. 양극(634)은 양극의 환형 개구의 원주 전체에 걸쳐 일정 폭인 양극의 환형 개구(635)를 형성하도록 위치되어야 한다.
외측 컵 벽(636)은 용기(616)의 내경보다 더 작은 직경을 갖는다. 컵 조립체(620)는 제 1 환형 공간이나 처리 용액 과류 공간(632)이 용기 측면(617)과 컵 외측 벽(636) 사이에 형성되도록 용기(616)내에 위치된다. 컵 조립체는 환형 유체 과류 공간(632)이 상기 공간의 원주 전체에 걸쳐 일정 폭이 되도록 위치됨이 좀더 바람직하다. 또한 컵 조립체(620)는 컵 상부 에지(633)가 용기 상부 에지(637) 하부에 위치하도록 용기(616)내에 위치된다. 컵(621)은, 좀더 충분히 하기된 바와 같이, 용기 상부 에지(637)에 관하여 높이-조절가능함이 바람직하다.
용기 하부면(619)은 개방 영역을 통과하는 유체가 자유 전달되게 하는 대형 개방 영역을 갖도록 형성된다. 바람직한 실시예에서, 이것은, 처리 용기 하부면(619)이 유체 귀환 개구(638)를 생성시키는 용기 하부면 중심 플레이트(plate)(639)에서 교차시키는 크로스바(crossbar)(626)로 구성되는, 도 6에 도시된 구조에 의해 실행된다. 용기 하부면 중심 플레이트(639)에는 유입구 라인(625)이 용기 하부면 개구를 관통하게 하는 용기 하부면 개구(627)가 제공된다. 도시된 실시예에서, 저장소 상단(618) 하부의 용기 측면(617)은 저장소 상단(618) 하부의 용기 측면이 직사각형 부분에서 교차하는 용기 하부면 중심 플레이트(639)를 향해 내부에서 회전하는 4개의 직사각형 부분으로 구성되도록 또한 유사하게 형성된다. 상기 형상은 고등급의 유체 유동이 저장소(604)내에 배치되는 용기 하부를 관통하게 한다. 결과적으로, 작업시에, 처리 용액은 처리 용액 입구 라인(625)을 통해 제공되고 컵 조립체(620)의 하부의 유출구(628)를 통해 방출된다. 컵 필터(620)에 의하여, 유체 입구 플리넘(629)에 유입되는 유체는 플리넘을 가로질러 분산되어서 양극(634)의 하부면으로 필터(630)를 통과하여 상승 유동한다.
필터(630)의 상면으로부터, 처리 용액은 처리 입구 라인(625)을 통한 처리 용액의 연속적 공급에 의해 상방으로 계속해서 유동한다. 처리 용액은 양극(634) 및 내측 컵 벽(622) 사이의 환형 갭(635) 둘레를 유동한다. 처리 용액이 컵(621)내에서 계속해서 충분히 상승함에 따라, 상기 유체는 결국 상부 컵 에지(633)에 도달하고 외측 컵 벽(636) 및 용기(616)의 내측 벽 사이의 과류 환형 갭(632)으로 넘쳐 흐른다.
과류액은 과류 갭(632)으로부터 갭을 통과해 하방으로 유동하고 상기 유체가 재사용, 재생, 또는 처리를 위해 수집되는 저장소(604)로 귀환한다. 이러한 방식으로, 어떠한 처리 용액 귀환 라인도 요구되지 않고 어떠한 복잡한 유체 수집 시스템도 공정으로부터 과잉 유체를 수집할 필요가 없다.
부가적 장점으로서, 컵(621)내 컵 필터(630)와 양극(634)의 위치는 컵으로 유입되는 유체의 균등한 분산을 제공한다. 균등한 분산은 유익하게 컵(621)의 상단에서 정지 유체 표면의 제공을 지원한다. 유사한 방식으로, 컵의 외측 벽(636)과 과류 갭(632)을 제공하는 용기(616)의 내측 벽 사이에서 일정 거리를 유지시키는 것은 컵(621) 외측과 저장소(604)로 유체의 균등한 유동의 공급을 지원한다. 또한 이것은 유익하게 컵(621)의 상단에서 처리 용액의 바람직한 정지 상태의 제공을 지원한다.
컵(620) 필터를 위한 원료 선택은 공정 및 기타 작업 요구에 의해 지정된다. 전형적으로, 필터는 0.1 미크론만큼 작은 불순물을 여과시키는 능력을 갖는다. 마찬가지로, 양극(634)을 위한 원료의 선택은 작업편상에 전기도금되는 바람직한 금속에 의해 지정된다. 예를 들면, 구리가 우선 포함되는 양극은 반도체 웨이퍼상의 전기도금용 구리를 위해 사용될 수 있다.
반응로가 전기도금 공정에 대하여 자세하게 게시됨과 동시에, 유체 유동은 요구되나 어떠한 양극도 요구되지 않는 공정을 위해 컵 조립체(603)로부터 양극(634)의 제거는 공정을 위한 액체가 정지된 풀(pool)을 제공한다는 것이 게시될 수 있다. 상기 배치에서, 유입구 라인(625)의 종점(631)은 다소 양극(634)에 의한 것보다 캡(cap)이나 플러그(plug)에 의해 덮이거나 막힌다.
확실하게 처리 용액이 균등하게 환형 갭(632)으로 과류하도록 지원하기 위해, 컵 상부 에지(633)는 유체가 타측상에서보다 더 빠르게 컵(621)의 일측 외부로 유동하지 않도록 수평으로 놓인다. 이러한 목적을 달성하도록, 레벨러(leveler)에는 처리 용기 조립체(603)가 제공됨이 바람직하다.
이제 도 7을 보면, 보조 전극(1015)을 포함하는 전체 전기도금 조립체를 도시하도록 대표적 작업편 지지부(401)와 함께 대표적 처리 용기 조립체가 단면도로 도시된다. 도금 챔버 조립체(603)는 도금 챔버 조립체가 저장소(618)의 상단에 상대적으로 수평되게 하는 (1개만이 본 도면에 도시된) 레벨러(640)가 제공됨이 바람직하다. 레벨러는 모듈 데크 플레이트(module deck plate)(666)의 에지내에 그리고 처리 모듈(20)에 상대적으로 처리 용기 조립체(603)를 상승시키도록 처리 모듈 프레임(frame)(606)과 접촉하는 나사 가공된 잭 볼트(jack screw)를 포함할 수 있다. 처리 용기 조립체(603)는 용기 외주 둘레에 분포되는 3개의 상기 용기 레벨러가 제공됨이 바람직하다. 이것은 X 및 Y 축 모두의 수평 맞추기나 "좌우 수평 맞추기 및 전후방 수평 맞추기"와 같이 일반적으로 기술될 수 있는 것에 대해 허용된다.
처리 용기 조립체(603)는 유체 저장소(604)에 관한 이동이 자유롭기 때문에, 처리 용기 조립체(603)가 도 6에 도시된 바와 같은 유체 저장소(604)내에 근접하게 합치되는 경우, 처리 용기/유체 저장소 접합부는 저장소 내측 벽(609)에 관하여 처리 용기(616)가 이동하도록 상기 접합부 사이에 배치되는 순응성 용기 밀봉부(665)를 구비함이 바람직하다. 또한 순응성 밀봉부(665)는 처리 용액이 처리 용기 및 저장소 벽 사이의 개구의 관통을 방지한다.
컵 조립체(620)에는 컵 높이 조절기(641)가 제공됨이 바람직하다. 본문에 도시되고 기술된 컵 높이 조절기는 입구 라인(625)의 외측부 둘레에 위치되는 컵 높이 조절 잭(643)으로 구성된다. 컵(621)은 컵 잠금 너트(642)를 지니는 컵 높이 조절 잭(643)에 고정된다. 컵 잠금 너트(642)는 조절 이후의 상기 컵의 높이에 컵(621)을 고정시키는데 사용된다. 컵 높이 조절 잭(641)의 상단에는 하부측보다는 다소 용기 상단으로부터 컵 높이가 조절되게 하는 조절 수단 접근구(667)가 제공된다. 컵 높이 조절기(641)에는 조절 잭(643)과 컵 하부면(623) 사이에 형성되는 환형 공간내에 배치되는 (도시되지 않은) o-링과 같은 유체 밀봉체가 추가적으로 제공될 수 있다.
처리 용기 조립체(602)에는 양극(634)을 위한 부가적 높이 조절기가 제공됨이 좀더 바람직하다. 양극 높이 조절기(646)는 나사 가공된 양극 포스트(post)(664)상에 양극(634)을 장착시킴으로써 형성된다. 나사 가공된 양극 조절 슬리브(sleeve)(663)는 입구 라인(625)의 나사 가공된 상단과 연결되는데 사용된다. 양극 조절 슬리브(663)에는 유체가 유출구(628)로부터 입구 플리넘(629)으로 통과되게 하는 슬리브구(668)가 제공된다. 양극 포스트(664)의 하부면과 유입구 라인(625)의 상단 사이, 및 양극 조절 슬리브(663)로 한정되는, 공간은 유출구 챔버(662)를 한정한다. 유출구 챔버는 양극 포스트(664)가 양극(634)의 높이 조절로 상하로 이동됨에 따라 가변 체적이 된다.
상기된 용기 레벨러(640) 및 높이 조절기(641 및 646)상에서, 일단 장치(즉, 용기, 컵, 또는 양극)의 바람직한 위치결정이 실행되면, 상기 위치는 조절기를 고정시킴으로써 유지될 수 있어서 상기 조절기가 진동이나 기타 물리 현상의 결과로서 조절된 위치에서 이탈하여 이동하지 않도록 잠금 기구가 상기 조절기에 제공되는 것이 부가적으로 바람직하다.
용기에 관한 컵 및 양극 각각의 독립적 높이 조절의 허용은 공정의 광범위한 선택을 수용하는 처리 용기 조립체(603)의 조절에서 고등급의 융통성을 제공한다.
웨이퍼 전극 접촉부의 제위치에서 세정을 위한 1개 이상의 외측 전극을 사용할 수 있는 다른 전기도금 처리 스테이션(station)이 도 8에 도시된다. 처리 스테이션(900)의 2개의 주요부는, 통상 906으로 표시된, 웨이퍼 회전자 조립체 및 전기도금 용기 조립체(303)이다.
도 8은 전기도금 용기 조립체(303)를 도시한다. 용기 조립체는 외측 용기 측벽(317), 용기 하부면(319), 및 용기 림 조립체(917)를 가지는 처리 용기 또는 도금 용기(316)를 포함한다. 처리 용기는 수평 단면이 원형이 바람직하고 기타 형태가 가능할 수 있으나 형태가 원통형이 일반적이다.
용기 조립체(303)는 처리 용기(317)내에 배치되는 컵 조립체(320)를 포함한다. 컵 조립체(320)는 전기도금 공정을 위해 화학물질을 함유하는 유체 컵 부분(321)을 포함한다. 또한 컵 조립체는 컵 하단면(323) 하부로 연장되는 종속되는 스커트(skirt)(371)를 가지고 챔버 하부가 액체로 충만됨에 따라 수집될 수 있는 소정 가스 유체 전달 및 배출을 위해 상기 하부면을 통해 개방된 플룻(flute)을 구비할 수 있다. 컵은 폴리프로필렌(polypropylene) 또는 기타 적합한 물질로부터 형성됨이 바람직하다.
컵 조립체(320)의 하단 벽의 하부 개구는 나사 가공된 연결부에 의해 라이저(riser) 튜브로 상대적 높이 조절이 가능하도록 폴리프로필렌 라이저 튜브(330)에 연결된다. 라이저 튜브(330)의 제 1 단부는 양극(334)을 지지하는 양극 보호체(393)의 후부에 고정된다. 유입구 라인(325)은 라이저 튜브(330)내에 배치된다. 라이저 튜브(330)와 유입구 라인 모두는 피팅(fitting)부(362)에 의해 처리 용기 조립체(303)에 고정된다. 피팅부(362)는 라이저 튜브 및 라인(325) 모두의 높이 조절을 수용할 수 있다. 상기와 같이, 피팅부(362)와 라이저 튜브(330) 사이의 연결부는 양극 위치의 수직한 조절을 용이하게 한다. 입구 라인(325)은, 티타늄과 같은, 전도성 재료로 형성됨이 바람직하고 컵으로 유체를 공급할 뿐만 아니라, 양극(324)으로 전류를 전도시키는데 사용된다.
처리 용액은 유입구 라인(325)을 통해 컵으로 공급되고 유입구(324)를 통해 상기 라인으로부터 전진한다. 그 후 도금 용액은 도금 용액 펌프 (도시되지 않음) 또는 기타 적절한 공급기에 의해 공급됨에 따라 개구(324)를 통과해 챔버(904)에 충만된다.
컵 측벽(322)의 상부 에지는 컵 내부에서 전기도금 용액의 수준을 제한하는 위어(weir)를 형성한다. 이러한 수준은 웨이퍼(W)의 하단면만 전기도금 용액에 의해 접촉되도록 설정된다. 과잉액은 과류 챔버(345)로 이러한 상단 에지면을 넘어 유동한다. 챔버(345)의 유체 수준은 적합한 센서 및 액츄에이터(actuator)로 유체 수준을 모니터링(monitoring)함으로써 작업의 안정을 위한 바람직한 범위내에서 유지됨이 바람직하다. 이것은 몇가지 다른 유출 구성을 사용하여 수행될 수 있다. 바람직한 구성은 적합한 센서를 사용하여 고수준의 상태를 감지한 후 제어 밸브로 제어되는 배수 라인을 통하여 유체를 배수시키는 것이다. 또한 (도시되지 않은) 직립관 배관을 사용하는 것이 가능하고, 상기 배관은 바람직한 도금 스테이션의 최종 과류 방지 장치로서 사용된다. 더욱 복잡한 수준의 제어가 또한 가능하다.
챔버(345)로부터의 유출액은 적절한 저장소로 귀환됨이 바람직하다. 그런 후 액체는 부가적 도금 화학물질이나 도금의 기타 조성물과 함께 또는 기타 공정액으로 취급되고 재사용될 수 있다.
전기도금을 위한 장치의 바람직한 사용시에, 양극(334)은 반도체 재료상으로 구리나 기타 금속의 도금부와 연결되어 사용되는 소모적 양극이다. 특정 양극은 도금되는 금속 및 사용되는 도금 용액의 기타 특성에 따라서 변화한다. 영리적으로 사용가능한 다수의 다른 소모적 양극은 양극(334)으로서 사용될 수 있다.
또한 도 8은 웨이퍼(W)를 가로지르는 도금 탱크 용액의 더욱 균등한 분산을 제공하기 위하여 양극(334)상에 제공되는 디퓨전 플레이트(diffusion plate)(375)를 도시한다. 유체 통과부는 상기 통과부를 통해 유체가 전달되도록 디퓨전 플레이트(375)의 전체 또는 일부에 걸쳐 제공된다. 디퓨전 플레이트의 높이는 디퓨저 높이 조절 기구(386)을 사용하여 조절가능하다.
양극 보호체(393)는 용액이 처리 챔버(904)로 유동함에 따라 도금 용액에 의한 직접적 침입을 방지하도록 양극 보호체 조임구(fastener)(394)를 사용하여 소모적 양극(334)의 하부측에 고정된다. 양극 보호체(393) 및 양극 보호체 조임구(394)는 폴리비닐리덴 플루오르화물(polyvinylidene fluoride) 또는 폴리프로필렌과 같은, 유전체 재료로 형성됨이 바람직하다. 양극 보호체는 약 2-5 mm 두께가 유리하고, 약 3 mm 두께가 좀더 바람직하다.
양극 보호체는 양극의 후방측을 전기 절연시키고 물리적으로 보호하도록 작용한다. 또한 상기 보호체는 유기적 도금 용액 첨가제의 소비를 감소시킨다. 정확한 매커니즘(mechanism)이 본 발명에서 공지될 수 없더라도, 양극 보호체는 양극의 후방측상에서 시간을 초과하여 발달하는 특정 물질의 분열을 방지함이 인지된다. 양극이 보호되지 않은채 방치된다면, 유기 화학적 도금 첨가제는 현저하게 큰 비율로 소모된다. 적재적소의 보호체로, 이러한 첨가제는 신속하게 소모되지 않는다.
웨이퍼 회전자 조립체(906)는 처리 챔버(904)내에서 회전하기 위해 웨이퍼(W)를 고정 지지한다. 웨이퍼 회전자 조립체(906)는 회전자의 형상에 대하여 웨이퍼를 고정 지지시키는 다수의 웨이퍼-구속용 핑거(979)를 가지는 회전자 조립체(984)를 포함한다. 핑거(979)는 웨이퍼와 도금 전력 공급기 사이에서 전류를 전도시키도록 적용됨이 바람직하고 전류 시프로서 작용하도록 다양한 구성에 따라서 형성될 수 있다.
회전자 조립체(984)를 회전시키도록 사용되는 여러가지 구성품은 고정된 하우징(housing)(970)에 배치된다. 고정된 하우징은, 차례로, 수직 연장되는 암(arm)에 연결되는 수평 연장되는 암(909)에 연결된다. 공동으로, 암(908 및 909)은 조립체(906)가 용기 조립체와의 결합으로부터 상승되고 회전됨으로써 웨이퍼를 이후의 처리 스테이션으로 전달하기 위한 웨이퍼 운반용 조립체(60)로 전달되게 한다.
작업편 지지 처리용 헤드(head)는 처리 챔버(904)내에서 회전하기 위한 웨이퍼(W)를 고정 지지한다. 회전자 조립체(984)는 회전자의 형상에 대하여 웨이퍼를 고정 지지하는 다수의 작업편-구속용 핑거(979)를 구비한다. 또한 핑거(979)는 웨이퍼와 도금 전력 공급기(도시되지 않음) 사이에서 전류를 전도시키도록 적용됨이 바람직하다.
또한 도 8은 컵 측벽(322) 주위에 환형으로 배치되는 보조 전극(1015)을 도시한다. 세정 작업시에, 도금 용액은 컵 측벽(322)에 의해 형성되는 위어를 넘어 그리고 보조 전극(1015)을 넘어 벽(317 및 322) 사이의 영역으로 ("FLOW"로 표시된 대로) 유동한다.
작업편 지지 조립체(901)는 헤드 작동기(907)에 의해 지지되는 처리 헤드(906)를 포함한다. 헤드 작동기(907)는 처리 헤드의 높이가 조절되도록 높이 조절가능한 상부(908)를 포함한다. 또한 헤드 작동기(907)는 수평 피벗(pivot) 축(910) 둘레를 피벗하도록 작동가능한 헤드 연결 샤프트(shaft)(909)를 구비한다. 작동기(907)를 사용한 처리 헤드의 피벗 작용은 처리 헤드가 웨이퍼(W)의 적재 및 하역을 위한 (도시되지 않은) 개방 또는 표면을 위로 한 위치에 놓이게 한다. 도 7은 처리를 위한 준비로 표면을 아래로 한 위치로 피벗된 처리 헤드를 도시한다.]
수직 및 수평 피벗 작용 모두를 제공하는 갖가지 적합한 헤드 작동기가 본 시스템에 사용되기 위해 가능하다. 또한 바람직한 작동기는 수평 헤드 피벗 축(910) 둘레에 피벗됨에 따라 처리 헤드의 높이와 상기 헤드의 각 위치 모두를 표시하는 (도시되지 않은) 위치 인코더(encoder)를 지니고 장착된다.
도 8 및 도 9는 처리 헤드(906)의 바람직한 구조의 추가적 상세한 실시예를 도시한다. 처리 헤드는 함께 운동하고 피벗 축(909)에 관하여 상대적으로 고정된 주요부를 포함한다. 주요부는 하기에 더 상세하게 기술될 회전 조립체를 지지한다.
주요부는 처리 헤드 하우징(970) 및 처리 헤드 프레임(982)을 포함한다. 처리 헤드 프레임(982)은 도어 플레이트(983)를 포함한다. 도어 링 부재(984)는 처리 헤드가 용기와 합치되는 경우 처리 용기의 상부 개구를 막는 주요부로서 작용하도록 도어 조립체를 제공하는 적절한 조임구를 사용하여 플레이트(983)에 결합된다.
또한 처리 헤드 프레임은 처리 헤드 피벗 축(909)을 수용하고 상기 축과 고정되게 연결되는 2개의 장착용 링을 포함하는 프레임-피벗 샤프트 연결부(985)를 포함한다. 도 9는 피벗 샤프트 연결부 장착용 링이 두 부분으로 형성되고 (도시되지 않은) 조임구로 고정됨을 도시한다. 피벗 샤프트 연결부 기초(935)는 조임구를 사용하여 도어 플레이트(983)에 고정된다.
처리 헤드(906)는 통상적으로 평면도로 볼 경우 라운드(round)형이다. 처리 헤드 주요부는 제 1 하우징부(971) 및 제 2 하우징부 또는 하우징 캡(972)을 가지는 하우징(970)을 포함한다. 처리 헤드 하우징(970)은 처리 헤드 주요부 기구 챔버(973)를 둘러싸는 주요부 밀폐부를 에워싼다. 챔버(973)는 하기에 좀더 충분히 기술된 바와 같이, 회전 모터와 같은, 추가적 처리 헤드 구성품, 핑거 액츄에이터, 및 관련된 작동 라인을 수용하도록 사용된다.
도어 링 부재(984)의 상부면에는 제 1 하우징 피스(piece)(971)의 상부 에지를 수용하는 그루브(groove)가 제공된다. 또한 도어 링 부재의 외주는 팽창가능한 도어 밀봉부(987)를 고정시키는 외주 그루브(986)를 포함하는 것이 유익하다. 밀봉부(987)는 처리 용기내에 더욱 방수되는 처리 챔버를 형성하는 처리 용기의 일부와 함께 밀봉시킨다.
도어 링 부재(984)의 하부면에는 아주 근접하여 그루브에서 회전자의 상단 외주부를 수용하는 환형 회전자 수용 그루브(988)가 제공됨이 바람직하다. 이러한 구조는 처리 증기가 회전자 후방 및 처리 헤드의 주요부에 존재하는 여러가지 기구로 이동하는 것의 방지를 지원하도록 도어 및 회전자 사이에서 (도시되지 않은) 가스 정화가 적용되도록 한다. 도어 링 부재의 외주에는 처리 용기와 합치를 용이하게 하도록 챔퍼(chamfer) 가공된 하부 에지가 더 제공된다.
또한 처리 헤드는 작업편 홀더(holder)(978)의 형태로 운동 조립체를 포함한다. 작업편 홀더는 반도체 작업편을 고정 지지하기 위한 핑거(979)를 포함한다. 도시된 실시예에서, 액츄에이터(961)는 핑거 액츄에이터(960)의 상부 플레이트(658)에 대하여 구동 플레이트(683)를 구동시키도록 사용된다. 이러한 방식으로 작동되는 경우, 핑거 액츄에이터(960)는 핑거(979)가 회전되고 웨이퍼로부터 분리되게 한다. 플레이트(683) 및 플레이트(658) 사이의 분리는 액츄에이터(960)가 웨이퍼와 결합하도록 핑거(979)를 구동시키고 회전하게 한다. 전극은 전극의 목적을 위해 소정의 적합한 금속이나 금속 결합을 포함할 수 있다, 즉 그것들은 반응 조건과 전도성에서 모순이 없어야 한다. 상기 금속은 구리, 백금, 티타늄 또는 백금 합금을 포함한다.
또한 처리 헤드 주요부는 처리 헤드의 주요부에 상대적으로 작업편 홀더를 이동시키는 작업편 홀더 구동기를 포함한다. 바람직한 작동은 작업편 홀더 구동기가 작업편 홀더를 회전시키는 회전자 구동기의 형태인 것이다. 회전자 구동기는 전기 모터, 공압 모터 또는 기타 적합한 구동기일 수 있다. 도시된 바와 같이, 처리 헤드는 전기 작업편 회전 모터(980)를 포함한다.
구동 모터(980)는 회전 운동으로 모터 샤프트(918)를 구동시키는 고정 전기자(916)를 구비한다. 구동 모터(980)는 하부 모터 하우징(922)내 하부 모터 베어링(921)에 의해 지지된다. 하부 모터 하우징(922)은 도어 플레이트(983)내 중심 개구에서 처리 헤드의 주요부에 고정된다. 또한 모터(980)는 상부 모터 하우징(923)에 의해 적소에 고정 지지된다. 구동 모터(980)는 회전 모터 샤프트(918) 및 상부 모터 하우징 사이에 배치되는, 상부 모터 베어링(927)에 의해 상부 모터 하우징(923)으로부터 회전이 단절된다. 두 모터 하우징은 모터 하우징을 통과하고 도어 플레이트(983)로 하방 연장되는 조임구(924)를 사용하여 처리 헤드 프레임(982)에 고정된다. 또한 조임구(924)는 프레임 연장부(925)를 통과하여 상방으로 연장된다. 프레임 연장부(925)는 상부 프레임 피스(926)를 지지한다. 캡(972)은 캡의 하부 내측부를 따른 나사 결합되도록 피스(926)상에서 나사로 조여진다.
구동 모터는 피벗 샤프트(909)를 통해 진행되거나 이와는 달리 처리 헤드로 연장되는 배선을 경유하는 전원 공급기를 지니고 제공되는 전기 모터가 바람직하다.
웨이퍼 지지 헤드(906)는 제위치에서 웨이퍼 접촉 전극의 세정중에 웨이퍼 접촉 전극을 회전시키는데에 사용될 수 있다. 상기 실시예에서, 전극은 약 1 rpm으로부터 약 300 rpm 까지, 또는 이와는 달리 약 10 rpm으로부터 약 100 rpm까지의 개략적 범위의 각속도로 회전될 수 있다. 회전 방향은 세정 주기중에 적어도 1회 이상, 또는 이와는 달리 약 매 10초로부터 약 매분까지의 개략적 범위에서, 변화될 수 있다.
전기도금 모듈의 처리 용기 조립체로 처리 용액을 공급하도록, 모듈에는 유체 전달 장비가 제공됨이 유익하다. 유체 전달 장비는 저장소로부터 처리 용액을 인출시키고, 상기 유체를 처리 용기 조립체로 공급하며, 상기 유체를 공통 수집 지점으로 귀환시키도록 제공된다. 장비는 저장소에 장착되는 방수성 펌프를 포함할 수 있다. 반응 챔버에는 저장소로부터 처리 용액을 인출시키는 유체 흡입부나 펌프 흡입부 히치(hitch)를 더 포함하는, 상기 펌프가 제공될 수 있다. 방수성 펌프는 펌프 흡입부에 의해 펌프 몸체로 그리고 유체 배출부 또는 펌프 배출부를 통해 외부로 유체를 공급한다. 방수성 펌프는 전기 펌프 모터로 구동됨이 바람직하다.
본 시스템의 다른 실시예에서는, 수중 위치 가능한 펌프가 사용될 수 있다. 방수성 펌프는 상기 펌프가 정기 점검 등을 위해 용이하게 제거될 수 있다는 장점을 갖는다. 또 다른 실시예에서는, 각각의 처리 용기 조립체를 위해 개별 펌프가 배치될 수 있거나, 처리 용기 조립체는 한 세트의 공통 펌프를 공유할 수 있다. 각각의 상기 펌프는 처리 용액 흡입부 및 처리 용액 배출부를 구비한다.
수많은 변형이 본 시스템의 기본 교시로부터 벗어남 없이 앞서 말한 시스템에 이루어질 수 있다. 본 발명은 하나 이상의 특정 실시예에 관하여 실질적으로 상세하게 기술되었으나, 당업자는 첨부된 청구항에 기술된 바와 같이 본 발명의 범위와 사상으로부터 벗어남 없이 상기 실시예에 변화가 이루어질 수 있음을 인지한다.

Claims (34)

  1. 반도체 웨이퍼를 전기도금하기 위한 시스템에 있어서,
    반도체 웨이퍼와 전기 접촉 상태로 있으며, 반도체 웨이퍼와 함께 반도체 웨이퍼의 전기 도금시에 음극을 형성하는 제 1 전극;
    반도체 웨이퍼의 전기도금시 양극을 형성하는 제 2 전극;
    전도성 도금 용액, 제 1 전극 각각의 최소한 일부, 제 2 전극 및 반도체 웨이퍼의 전기 도금시 도금 용액과 접촉하는 반도체 웨이퍼를 포함하는 반응 챔버를 한정하는 반응 콘테이너;
    반응 챔버의 외측에 배치되고, 제 1 전극의 세정시 반응 챔버에서 배출되는 도금 용액과의 접촉을 위해 위치되어 보조 전극과 제 1 전극 사이에 전도성 경로를 제공하는 보조 전극;
    반도체 웨이퍼의 전기 도금시 제 1 및 제 2 전극에 도금 전력을 공급하도록 연결되고, 제 1 전극의 세정시 제 1 전극이 양극으로 그리고 보조 전극이 음극으로 되도록 연결된 전원 공급 시스템
    을 포함하는 시스템.
  2. 제 1 항에 있어서, 제 2 전극이 거의 전체가 반응 챔버의 도금 용액내에 배치되고, 제 1 전극은 반도체 웨이퍼로서 반도체 웨이퍼를 지지하는 최소한 하나의 전도성 핑거를 포함하며, 최소한 하나의 전도성 핑거는 전기도금시 반도체 웨이퍼의 일면만이 반응 챔버에 있는 도금 용액 표면과 접촉하도록 반도체 웨이퍼를 지지하게 위치되는 시스템.
  3. 제 1 항에 있어서, 보조 전극은 도금 용액이 반응 챔버에서 배출된 후 이 도금 용액을 수용하는 출구 튜브에 배치되는 시스템.
  4. 제 3 항에 있어서, 도금 용액이 보조 전극을 향하여 유동할 때 출구 튜브를 통하여 흐르는 도금 용액을 제어하도록 배치된 제어 밸브를 더 포함하는 시스템.
  5. 제 3 항에 있어서, 도금 용액이 도금 경로에서 배출된 후 도금 용액으로부터 잔류물을 여과하도록 배치된 미립자 필터를 더 포함하는 시스템.
  6. 제 1 항에 있어서, 저장 콘테이너, 최소한 부분적으로 저장 콘테이너내에 배치된 반응 콘테이너, 반응 챔버에서 배출되어 저장 콘테이너로 유동하는 도금 용액을 더 포함하는 시스템.
  7. 제 6 항에 있어서, 보조 전극이 저장 콘테이너에 배치되는 시스템.
  8. 제 7 항에 있어서, 보조 전극이 저장 콘테이너에서 배출되는 도금 용액을 수용하는 출구 튜브에 배치되는 시스템.
  9. 제 8 항에 있어서, 도금 용액이 보조 전극을 향하여 유동할 때 출구튜브를 통하여 유동하는 도금 용액을 제어하도록 배치된 제어 밸브를 더 포함하는 시스템.
  10. 제 6 항에 있어서, 저장 콘테이너가 미립자 필터를 포함하는 도금 용액 출구 튜브에 연결되는 시스템.
  11. 제 1 항에 있어서, 제 2 전극이 소모성 양극이며, 시스템은 도금 용액의 유동에 의한 직접적이거나 간접적인 충돌로부터 양극을 차단하기 위한 양극 보호체를 더 포함하는 시스템.
  12. 제 11 항에 있어서, 양극 보호체가 유전성 물질로 이루어진 시스템.
  13. 반도체 웨이퍼를 도금하는데 사용되는, 반도체 웨이퍼와 접촉하는 제 1 전극, 반도체 웨이퍼의 전기도금시 양극으로서 작용하는 제 2 전극, 반응 챔버에 배치된 전도성 도금 용액, 반응 챔버 외측에 배치된 보조 전극 및 반응 챔버에서 배출되는 도금 용액의 유체 유동 경로를 포함하며, 반도체 웨이퍼와 제 1 및 제 2 전극이 반도체 웨이퍼의 전기도금시 반응 챔버에 있는 도금 용액과 접촉하는 시스템을 작동시키기 위한 방법에 있어서,
    반응 챔버에서 보조 전극으로 도금 용액의 유동을 제공하여 제 1 전극과 보조전극 사이에 전도성 경로를 생성하고;
    음극으로 작용하는 보조 전극과 음극으로 작용하는 제 1 전극 사이에 전력을 가하여 앞선 반도체 웨이퍼 전기도금 작업중에 제 1 전극상에 전기 도금된 금속의 최소한 일부를 제거하는 단계를 포함하는 방법.
  14. 제 11 항에 있어서, 제거된 도금 침전물을 함유하는 도금 용액을 미립자 필터를 통과시키는 단계를 더 포함하는 방법.
  15. 제 12 항에 있어서, 여과된 도금 탱크 용액을 반응 챔버 도금 탱크로 귀환시키는 단계를 더 포함하는 방법.
  16. 반도체 웨이퍼를 전기 도금하는데 사용하기 위한 장치에 있어서,
    컵 하부 및 컵 측면을 가지며, 컵으로 전기 도금 용액의 유동을 통하게 하는 유체 입구를 더 갖는 유체 컵;
    유체 입구로부터 유동하는 전기도금 용액의 유체 유동 경로에 있는 상기 컵내에 배치된 소모성 양극;
    유체 입구로부터 유동하는 전기도금 용액의 유동이 양극과 직접적으로 충돌하는 것으로부터 양극을 보호하기 위해 양극과 관련하여 배치된 양극 보호체
    를 포함하는 장치.
  17. 제 16 항에 있어서, 유체 입구가 컵 하부에 배치되어 통상적으로 전기 도금 용액이 유체 컵으로 수직하게 유동하게 하는 장치.
  18. 제 17 항에 있어서, 양극 보호체는 양극의 하부 표면이 유체 입구로부터 유동하는 전기 도금 용액 유동과 직접 충돌하는 것으로부터 그 표면을 보호하도록 배치되는 장치.
  19. 제 17 항에 있어서, 양극 보호체는 양극의 하부 표면이 유체 입구로부터 유동하는 전기도금 용액 유동과 직접 충돌하는 것으로부터 그 표면을 보호하도록 양극에 연결되는 장치.
  20. 제 19 항에 있어서, 양극 보호체가 유체 컵내의 양극 높이를 선택적으로 조절하기에 적합한 양극 조절 조립체에 연결되는 장치.
  21. 제 16 항에 있어서, 양극 보호체가 유전성 물질로 이루어진 장치.
  22. 반도체 웨이퍼를 도금하기 위한 장치에 있어서,
    하부와 측면을 갖는 저장 콘테이너;
    저장 콘테이너내에 배치되어 유체 컵과 저장 콘테이너 측면 사이에 유체 컵의 외주 둘레로 연장하는 유체 유동 영역을 형성하고, 전기도금 용액이 유체 컵에서 과류할 때 궁극적으로 유체 유동 영역으로 유동하는 유체 컵으로의 전기도금 용액 유동이 통하도록 내부에 배치된 유체 입구를 갖는 유체 컵;
    상기 컵내에 배치되며, 컵 하부와 이격하여 중첩하는 양극;
    유체 유동 영역으로 유체 컵 외주 둘레의 전기도금 용액이 통상적으로 일정하게 유동하도록 유체 컵을 레벨링하는 유체 컵 레벨링 기구
    를 포함하는 장치.
  23. 제 22 항에 있어서, 유체 입구는 전기도금 용액이 유체 컵으로 수직하게 유동하도록 컵 하부에 배치되는 장치.
  24. 제 23 항에 있어서, 양극이 소모성 양극이며, 장치는 유체 입구로부터 유동하는 전기도금 용액의 유동이 직접적으로 충돌하는 것으로부터 양극의 하부표면을 보호하도록 연결된 양극 보호체를 더 포함하는 장치.
  25. 제 24 항에 있어서, 양극 보호체가 유체 컵내의 양극 높이를 선택적으로 조절하기에 적합한 양극 조절 조립체에 연결되는 장치.
  26. 반도체 웨이퍼를 전기도금하기 위한 장치에 있어서,
    하부와 측면을 갖는 저장 콘테이너;
    저장 콘테이너에 배치되어 유체 컵과 저장 콘테이너 측면 사이에 제 1 공간을 형성하고, 컵 하부와 컵 측면부를 가지며, 전기도금 용액이 유체 컵으로 유동하도록 유체 컵내에 배치된 유체 입구를 포함하는 유체 컵;
    유체 입구가 컵 하부와 양극 사이에 있도록 유체 컵내에 배치되며, 양극과 컵 측면부 사이에 제 2 공간을 한정하는 양극을 포함하며;
    상기 컵위로 올라오는 전기도금 용액이 제 1 공간을 통하여 저장 콘테이너로 유입되도록 제 2 공간 둘레로 과류하는 것을 특징으로 하는 장치.
  27. 제 26 항에 있어서, 저장 콘테이너와 유체 컵이 단면상으로는 원형인 장치.
  28. 제 27 항에 있어서, 양극은 통상적으로 원형이며 제 1 및 제 2 공간은 환형인 장치.
  29. 제 26 항에 있어서, 저장소 콘테이너의 하부와 관련하여 유체 컵의 높이를 조절하기 위해 유체 컵에 연결된 컵 높이 조절 기구를 더 포함하는 장치.
  30. 반도체 웨이퍼를 금속으로 도금하기 위한 방법에 있어서,
    상부 표면을 갖는 전기 도금 용액 탱크를 제공하고;
    반도체 웨이퍼의 제 1 평면이 탱크의 상부 표면과 접촉할 때까지 탱크를 향하여 반도체 웨이퍼를 낮추며;
    탱크의 상부 표면과 이격되도록 반도체 웨이퍼를 상승시켜 탱크와 반도체 웨이퍼의 평면 사이에 메니스커스를 생성하고;
    양극와 반도체 웨이퍼 사이에 전기도금 용액을 통하여 전류를 공급하여 반도체 웨이퍼의 평면상에서 금속을 전기도금하는 단계
    를 포함하는 방법.
  31. 제 30 항에 있어서, 메니스커스 생성후에 수직축을 중심으로 반도체 웨이퍼를 회전시키는 단계를 더 포함하는 방법.
  32. 전극이 반도체 웨이퍼상에 최소한 하나의 금속을 도금하는 것을 용이하게 하도록 반도체 웨이퍼와 전기도금 전원 공급부 사이에 전류를 유도하는데 사용되며, 전기도금 전원 공급부가 제 1 극성을 사용하여 상기 전극에 전류를 인가하는 상황하에서 전극의 표면으로부터 최소한 하나의 도금 금속을 제거하기 위해 반도체 전기도금 전극을 제위치에서 세정하기 위한 방법에 있어서,
    일부분으로서, 전극을 포함하는 반도체 웨이퍼 지지체로부터 소정 반도체 웨이퍼를 제거하고;
    전극을 도금 용액에 위치시키며;
    전극상에 도금된 금속이 도금 용액에 용해되도록 제 1 극성에 역 극성을 갖는 제 2 극성을 사용하여 전극과 도금 용액 사이에 역 전류를 통과시키는 단계
    를 포함하는 방법.
  33. 반도체 전기도금 장치를 작동시키는 방법에 있어서,
    반도체 웨이퍼와 접촉하여 그 사이에 전류를 유도하도록 하는 최소한 하나의 전극을 포함하는 반도체 웨이퍼 지지체에 반도체 웨이퍼를 위치시키고;
    도금 용액에 반도체 웨이퍼의 최소한 일표면을 위치시키며;
    정상적인 작업 주기중에 반도체 웨이퍼의 최소한 일표면상에 최소한 하나의 도금 금속을, 도금 용액과 반도체 웨이퍼를 제 1 극성 관계를 갖는 다른 전압으로 충전시키는 것을 포함하는 전기도금을 행하고;
    반도체 웨이퍼 지지체로부터 소정 반도체 웨이퍼를 제거하며;
    최소한 하나의 전극을 도금 용액에 위치시키고;
    최소한 하나의 전극상에 도금된 금속이 도금 용액에 전기 화학적으로 용해되도록, 제 1 극성과 역 극성을 갖는 제 2 극성을 사용하여 전극과 도금 용액 사이에 역전류를 통과시키는 단계
    를 포함하는 방법.
  34. 반도체 웨이퍼 처리 스테이션에 있어서,
    각각 용기 하부와 용기 측면부를 갖는 다수의 처리 용기;
    내부에 각각의 처리 용기를 수용하기 위한 다수의 용기를 함유하는 저장소 상부를 갖는 처리 유체 저장소;
    각각 개별적으로 상응하는 각각의 개별적인 처리 용기내에 배치되어 각각의 유체 컵과 각각의 용기 측면부 사이에 제 1 공간을 형성하고, 각각 컵 하부와 컵 측면부를 가지며, 각각 유체가 컵으로 유동하도록 컵내에 배치된 유체 입구를 포함하는 다수의 유체 컵을 포함하며;
    상기 각각의 용기 하부는 저장소와 유체가 통하도록 되어 있어서 상기 컵내로 올라오는 유체가 상기 제 1 환형 공간 둘레로 과류하여 유체 저장소로 유입되는 것을 특징으로 하는 반도체 웨이퍼 처리 스테이션.
KR1020007003482A 1997-09-30 1998-01-06 접촉식 세정 작업을 위한 주 반응 챔버 외측에 보조전극을 구비하는 전기도금 시스템 KR20010024368A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US08/940,670 1997-09-30
US08/940,930 1997-09-30
US08/940,670 US6599412B1 (en) 1997-09-30 1997-09-30 In-situ cleaning processes for semiconductor electroplating electrodes
US08/940,930 US6099712A (en) 1997-09-30 1997-09-30 Semiconductor plating bowl and method using anode shield
PCT/US1998/000126 WO1999016936A1 (en) 1997-09-30 1998-01-06 Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations

Publications (1)

Publication Number Publication Date
KR20010024368A true KR20010024368A (ko) 2001-03-26

Family

ID=27130143

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007003482A KR20010024368A (ko) 1997-09-30 1998-01-06 접촉식 세정 작업을 위한 주 반응 챔버 외측에 보조전극을 구비하는 전기도금 시스템

Country Status (7)

Country Link
US (2) US6270647B1 (ko)
EP (1) EP1027481A1 (ko)
JP (1) JP2003526004A (ko)
KR (1) KR20010024368A (ko)
CN (1) CN1168854C (ko)
AU (1) AU5907798A (ko)
WO (1) WO1999016936A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100834174B1 (ko) * 2001-05-01 2008-05-30 에이에스엠 누툴, 인코포레이티드 전해질을 평탄한 기판 표면에 공급하기 위한 양극조립체와그 방법
KR20130113370A (ko) * 2012-03-30 2013-10-15 노벨러스 시스템즈, 인코포레이티드 역전류 디플레이팅을 이용한 전기도금 기판 홀더의 클리닝

Families Citing this family (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980706A (en) * 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
US6004440A (en) * 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
EP1027481A1 (en) 1997-09-30 2000-08-16 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6843894B2 (en) * 1997-12-18 2005-01-18 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
JP3702668B2 (ja) * 1998-09-28 2005-10-05 株式会社村田製作所 電子部品チップ供給装置
US6534116B2 (en) * 2000-08-10 2003-03-18 Nutool, Inc. Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6585876B2 (en) * 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US6423636B1 (en) * 1999-11-19 2002-07-23 Applied Materials, Inc. Process sequence for improved seed layer productivity and achieving 3mm edge exclusion for a copper metalization process on semiconductor wafer
US6361675B1 (en) * 1999-12-01 2002-03-26 Motorola, Inc. Method of manufacturing a semiconductor component and plating tool therefor
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US7622024B1 (en) * 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6413390B1 (en) * 2000-10-02 2002-07-02 Advanced Micro Devices, Inc. Plating system with remote secondary anode for semiconductor manufacturing
US6402909B1 (en) * 2000-10-02 2002-06-11 Advanced Micro Devices, Inc. Plating system with shielded secondary anode for semiconductor manufacturing
US6946066B2 (en) * 2001-07-20 2005-09-20 Asm Nutool, Inc. Multi step electrodeposition process for reducing defects and minimizing film thickness
US20040170753A1 (en) * 2000-12-18 2004-09-02 Basol Bulent M. Electrochemical mechanical processing using low temperature process environment
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US20040178065A1 (en) * 2001-03-16 2004-09-16 Semitool, Inc. Electrode semiconductor workpiece holder and processing methods
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
TW584899B (en) * 2001-07-20 2004-04-21 Nutool Inc Planar metal electroprocessing
US7147765B2 (en) * 2001-08-31 2006-12-12 Semitool, Inc. Apparatus and method for deposition of an electrophoretic emulsion
TWI277473B (en) * 2002-01-31 2007-04-01 Ebara Corp Electrolytic processing apparatus and method, fixing method, fixing structure for ion exchanging member
US6878258B2 (en) * 2002-02-11 2005-04-12 Applied Materials, Inc. Apparatus and method for removing contaminants from semiconductor copper electroplating baths
US6991710B2 (en) * 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US7247223B2 (en) 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US6869516B2 (en) * 2002-10-18 2005-03-22 Applied Materials, Inc. Method for removing electrolyte from electrical contacts and wafer touching areas
US7025862B2 (en) * 2002-10-22 2006-04-11 Applied Materials Plating uniformity control by contact ring shaping
JP3860111B2 (ja) * 2002-12-19 2006-12-20 大日本スクリーン製造株式会社 メッキ装置およびメッキ方法
US7138039B2 (en) * 2003-01-21 2006-11-21 Applied Materials, Inc. Liquid isolation of contact rings
US7087144B2 (en) * 2003-01-31 2006-08-08 Applied Materials, Inc. Contact ring with embedded flexible contacts
US20050016857A1 (en) * 2003-07-24 2005-01-27 Applied Materials, Inc. Stabilization of additives concentration in electroplating baths for interconnect formation
US20050218000A1 (en) * 2004-04-06 2005-10-06 Applied Materials, Inc. Conditioning of contact leads for metal plating systems
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US7563348B2 (en) * 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
US7214297B2 (en) * 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
AU2005266812B2 (en) * 2004-07-30 2010-07-29 Enwave Corporation Method for producing hydrocolloid foams
US20060183321A1 (en) * 2004-09-27 2006-08-17 Basol Bulent M Method for reduction of gap fill defects
US7247558B2 (en) * 2004-12-03 2007-07-24 Novellus Systems, Inc. Method and system for electroprocessing conductive layers
TW200641189A (en) * 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US20060228934A1 (en) * 2005-04-12 2006-10-12 Basol Bulent M Conductive materials for low resistance interconnects and methods of forming the same
US20060252254A1 (en) * 2005-05-06 2006-11-09 Basol Bulent M Filling deep and wide openings with defect-free conductor
US20070111523A1 (en) * 2005-11-17 2007-05-17 Ismail Emesh Process for conditioning conductive surfaces after electropolishing
ES2401845T3 (es) 2005-11-23 2013-04-25 Materials And Technologies Corporation Dispositivo y procedimiento para sujetar un sustrato
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
US7550070B2 (en) * 2006-02-03 2009-06-23 Novellus Systems, Inc. Electrode and pad assembly for processing conductive layers
US7625814B2 (en) * 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7485561B2 (en) * 2006-03-29 2009-02-03 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7981259B2 (en) 2006-06-14 2011-07-19 Applied Materials, Inc. Electrolytic capacitor for electric field modulation
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7732329B2 (en) * 2006-08-30 2010-06-08 Ipgrip, Llc Method and apparatus for workpiece surface modification for selective material deposition
US7842173B2 (en) * 2007-01-29 2010-11-30 Semitool, Inc. Apparatus and methods for electrochemical processing of microfeature wafers
US7837841B2 (en) * 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
US8197660B2 (en) 2007-09-10 2012-06-12 Infineon Technologies Ag Electro chemical deposition systems and methods of manufacturing using the same
US20090095634A1 (en) * 2007-10-15 2009-04-16 Natsuki Makino Plating method
CN101580945B (zh) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 电沉积系统
WO2009152896A1 (de) * 2008-06-19 2009-12-23 Rena Gmbh Vorrichtung und verfahren zur einseitigen nasschemischen und/oder elektrolytischen behandlung von gut
US8475637B2 (en) * 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US7884016B2 (en) * 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9062388B2 (en) 2010-08-19 2015-06-23 International Business Machines Corporation Method and apparatus for controlling and monitoring the potential
TWI550139B (zh) 2011-04-04 2016-09-21 諾菲勒斯系統公司 用於裁整均勻輪廓之電鍍裝置
US9309603B2 (en) * 2011-09-14 2016-04-12 Applied Materials, Inc Component cleaning in a metal plating apparatus
US9274395B2 (en) 2011-11-15 2016-03-01 Ashwin-Ushas Corporation, Inc. Complimentary polymer electrochromic device
US8968531B2 (en) * 2011-12-07 2015-03-03 Applied Materials, Inc. Electro processor with shielded contact ring
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9207515B2 (en) 2013-03-15 2015-12-08 Ashwin-Ushas Corporation, Inc. Variable-emittance electrochromic devices and methods of preparing the same
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9945044B2 (en) * 2013-11-06 2018-04-17 Lam Research Corporation Method for uniform flow behavior in an electroplating cell
CN104743351B (zh) * 2013-12-30 2016-09-07 基准精密工业(惠州)有限公司 加工室
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
US9632059B2 (en) 2015-09-03 2017-04-25 Ashwin-Ushas Corporation, Inc. Potentiostat/galvanostat with digital interface
US9482880B1 (en) 2015-09-15 2016-11-01 Ashwin-Ushas Corporation, Inc. Electrochromic eyewear
US9945045B2 (en) 2015-12-02 2018-04-17 Ashwin-Ushas Corporation, Inc. Electrochemical deposition apparatus and methods of using the same
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
JP2018150587A (ja) * 2017-03-13 2018-09-27 オムロン株式会社 めっきの形成方法
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN111304718A (zh) * 2020-03-31 2020-06-19 无锡雷德环保设备有限公司 一种防阴极导电座导电部件析出镀层金属的反剥电解装置
TWI771922B (zh) * 2020-09-11 2022-07-21 日商鎧俠股份有限公司 半導體裝置之製造方法及基板處理裝置
CN114534649B (zh) * 2022-01-05 2024-03-22 吉林大学 一种喷雾式自修复微胶囊的制备装置及方法
CN114592229B (zh) * 2022-03-14 2023-03-24 淮安市泽邦电子有限公司 一种电阻用加工装置及其加工方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3616284A (en) 1968-08-21 1971-10-26 Bell Telephone Labor Inc Processing arrays of junction devices
US4323433A (en) 1980-09-22 1982-04-06 The Boeing Company Anodizing process employing adjustable shield for suspended cathode
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
SE8101046L (sv) 1981-02-16 1982-08-17 Europafilm Anordning vid anleggningar, serskilt for matrisering av grammofonskivor och dylikt
US4378283A (en) 1981-07-30 1983-03-29 National Semiconductor Corporation Consumable-anode selective plating apparatus
US4466864A (en) * 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
JP2624703B2 (ja) * 1987-09-24 1997-06-25 株式会社東芝 バンプの形成方法及びその装置
US5168887A (en) 1990-05-18 1992-12-08 Semitool, Inc. Single wafer processor apparatus
US5000827A (en) 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
JP2737416B2 (ja) * 1991-01-31 1998-04-08 日本電気株式会社 めっき処理装置
JP2734269B2 (ja) * 1991-12-26 1998-03-30 日本電気株式会社 半導体製造装置
JP3200468B2 (ja) * 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用めっき装置
JPH0625899A (ja) * 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
US5391285A (en) * 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
US5670034A (en) 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5662788A (en) 1996-06-03 1997-09-02 Micron Technology, Inc. Method for forming a metallization layer
US6099712A (en) 1997-09-30 2000-08-08 Semitool, Inc. Semiconductor plating bowl and method using anode shield
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
EP1027481A1 (en) 1997-09-30 2000-08-16 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100834174B1 (ko) * 2001-05-01 2008-05-30 에이에스엠 누툴, 인코포레이티드 전해질을 평탄한 기판 표면에 공급하기 위한 양극조립체와그 방법
KR20130113370A (ko) * 2012-03-30 2013-10-15 노벨러스 시스템즈, 인코포레이티드 역전류 디플레이팅을 이용한 전기도금 기판 홀더의 클리닝

Also Published As

Publication number Publication date
AU5907798A (en) 1999-04-23
JP2003526004A (ja) 2003-09-02
EP1027481A1 (en) 2000-08-16
WO1999016936A1 (en) 1999-04-08
CN1291243A (zh) 2001-04-11
CN1168854C (zh) 2004-09-29
US20020017456A1 (en) 2002-02-14
US6270647B1 (en) 2001-08-07

Similar Documents

Publication Publication Date Title
KR20010024368A (ko) 접촉식 세정 작업을 위한 주 반응 챔버 외측에 보조전극을 구비하는 전기도금 시스템
US6921468B2 (en) Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
JP4219562B2 (ja) ワークピースを電気化学的に処理するためのシステム
TW523558B (en) Method and apparatus for electrochemical mechanical deposition
CN100469948C (zh) 一旦进入金属沉积用来倾斜基片的方法和相关设备
US6632335B2 (en) Plating apparatus
US6267853B1 (en) Electro-chemical deposition system
US6908540B2 (en) Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
EP1170402A1 (en) Coated anode system
US20050000818A1 (en) Method, chemistry, and apparatus for noble metal electroplating on a microelectronic workpiece
US20060191795A1 (en) Apparatus and method for electrochemically processing a microelectronic workpiece
KR20050044404A (ko) 전도체층의 전해폴리싱 조립체 및 방법
WO2000032835A2 (en) Electro-chemical deposition system
KR100447369B1 (ko) 부착막 회수 장치 및 부착막의 회수 방법
CN1636267A (zh) 电化学边缘和斜面清洁工艺及系统
WO2002022915A2 (en) Removable modular cell for electro-chemical plating
US6685815B2 (en) Electroplating of semiconductor wafers
US6723224B2 (en) Electro-chemical polishing apparatus
US7118658B2 (en) Electroplating reactor
US6221437B1 (en) Heated workpiece holder for wet plating bath
TW476992B (en) Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6106690A (en) Electroplaner
JP3834316B2 (ja) めっき装置およびめっき方法
JPH05295589A (ja) 半導体ウエハのバンプ電極めっき装置及びそのめっき方法
US6217735B1 (en) Electroplating bath with megasonic transducer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application