JP4219562B2 - ワークピースを電気化学的に処理するためのシステム - Google Patents

ワークピースを電気化学的に処理するためのシステム Download PDF

Info

Publication number
JP4219562B2
JP4219562B2 JP2000610779A JP2000610779A JP4219562B2 JP 4219562 B2 JP4219562 B2 JP 4219562B2 JP 2000610779 A JP2000610779 A JP 2000610779A JP 2000610779 A JP2000610779 A JP 2000610779A JP 4219562 B2 JP4219562 B2 JP 4219562B2
Authority
JP
Japan
Prior art keywords
anode
workpiece
processing
flow
electroplating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000610779A
Other languages
English (en)
Other versions
JP2002541326A5 (ja
JP2002541326A (ja
Inventor
グレゴリー ジェイ ウィルソン
カイル エム ハンソン
ポール アール ムチュー
Original Assignee
セミトゥール・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by セミトゥール・インコーポレイテッド filed Critical セミトゥール・インコーポレイテッド
Publication of JP2002541326A publication Critical patent/JP2002541326A/ja
Publication of JP2002541326A5 publication Critical patent/JP2002541326A5/ja
Application granted granted Critical
Publication of JP4219562B2 publication Critical patent/JP4219562B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S204/00Chemistry: electrical and wave energy
    • Y10S204/07Current distribution within the bath

Description

【0001】
【関連出願の相互参照】
本件出願は下記の米国仮出願の優先権を主張する:1999年4月13日に出願された「改善された処理チャンバーを有するワークピースプロセッサ」と題するU.S.S.N. 60/129,055(代理人事件番号SEM4492P0830US);1999年6月12日に出願された「改善された処理チャンバーを有するワークピースプロセッサ」と題するU.S.S.N. 60/143,769(代理人事件番号SEM4492P0831US);2000年2月14日に出願された「改善された処理チャンバーを有するワークピースプロセッサ」と題するU.S.S.N. 60/143,769(代理人事件番号SEM4492P0832US)。
【0002】
【連邦政府に支援された研究または開発の陳述】
適用なし。
【0003】
【発明の背景】
半導体ウエハー基板、ポリマー基板等のようなマイクロエレクトロニクスワークピースからのマイクロエレクトロニクス部品の製造には、かなりの数の工程が含まれる。本発明の目的において、マイクロエレクトロニクスワークピースは、その上にマイクロエレクトロニクス回路もしくは部品、データ保存素子もしくは層、および/またはマイクロ機械素子が形成される基板から形成されるワークピースを含むものとして定義される。マイクロエレクトロニクス部品を製造するために、マイクロエレクトロニクスワークピースに対して行われる多くの異なった処理操作が存在する。このような操作には、例えば、材料の蒸着、パターニング、ドーピング、ケモメカニカル研磨、電界研磨、および熱処理が含まれる。
【0004】
材料の蒸着処理には、マイクロエレクトロニクスワークピース(以下では半導体ウエハーとして説明するが、これに限定されない)の上面に、材料の薄層を蒸着または形成することが含まれる。パターンニングは、これら加えられた層の選択的な部分の除去を与える。半導体ウエハーまたは同様のマイクロエレクトロニクスワークピースのドーピングは、「ドーパント」として知られる不純物をウエハーの選択された部分に添加して、基板材料の電気的特性を変化させる工程である。半導体ウエハーの熱処理は、特定の処理結果を達成するためにウエハーを加熱および/または冷却することを含むものである。ケモメカニカル研磨は、化学的/機械的処理により材料を除去することを含むのに対して、電気研磨は、電気化学反応を使用してワークピース表面から材料を除去することを含む。
【0005】
上記の処理操作を実行するために、「ツール」として知られる多くの処理装置が開発されてきた。これらのツールは、製造工程において用いられるワークピースの種類、該ツールによって実行される処理に応じて異なった構成を取る。モンタナ州KalispellのSemitool, Inc.社から入手可能なLT-210CTM処理ツールとして知られる一つのツール構成は、複数のマイクロエレクトロニクスワークピース処理ステーションを含み、これらステーションは、湿式処理操作を実行するためのワークピースホルダおよび処理ボールもしくは容器を利用する。このような湿式処理操作には、電気メッキ、エッチング、洗浄、無電解メッキ、電気研磨などが含まれる。本発明との関連において注目すべきものは、LT-201CTMに使用される電気化学処理ステーションである。このような電気化学処理ステーションは、上記で述べたワークピースの電気メッキ、電気研磨、陽極酸化等を実行する。ここに挙げる電気化学処理システムは、上記の電気化学処理の夫々を実施するために容易に適応される。
【0006】
LT-201CTMの一つの構成に従えば、当該電気メッキステーションは、相互に隣接して配置されたワークピースホルダおよび処理容器を含む。このワークピースホルダおよび処理容器は、ワークピースホルダに保持されたマイクロエレクトロニクスワークピースを、処理チャンバーを形成する処理容器の中に配置された電気メッキ液と接触させるように操作される。しかし、電気メッキ溶液を適切な部分に限定することは、屡々問題を生じる。加えて、電気メッキ溶液とワークピースの表面との間の適切な質量移行条件を保証するのが困難なことがあり得る。このような質量移行制御がないと、ワークピース表面の電気化学的処理は屡々不均一になることがある。これは、特に金属の電気メッキに関連して問題になることがある。更に、電界の形状および大きさの制御は益々重要である。
【0007】
従来の電気化学リアクタは、電気メッキ液を制御された方法でワークピース表面に接触させるために、種々の技術を利用してきた。例えば、電気メッキ溶液は、部分的または完全な浸漬処理を使用してワークピース表面に接触させればよく、この場合、電気メッキ溶液は処理容器の中にあり、ワークピースの少なくとも一方の表面がこの電気メッキ溶液の表面に接触され、または該溶液表面の下に沈められる。
【0008】
マイクロエレクトロニクスワークピースからの半導体集積回路および他のマイクロエレクトロニクス装置の製造において、電気メッキおよび他の電気化学的処理が重要になってきた。例えば、ワークピース上に一以上の金属層を形成する際に、電気メッキが屡々使用される。これらの金属層は、集積回路の種々の装置を電気的に接続するために使用されることが多い。更に、これら金属層から形成される構造は、読取り/書込みヘッド等のようなマイクロエレクトロニクス装置を構成してもよい。
【0009】
電気メッキされる金属には、典型的には銅、ニッケル、金、プラチナ、半田、ニッケル/鉄などが含まれる。電気メッキは、一般に、ワークピース上における非常に薄い金属層の形態の種層の初期形成によって行われ、それにより、マイクロエレクトロニクスワークピースは電気的に導電性にされる。この導電性は、その後の電気メッキによって、所望の金属のブランケット層またはパターン層の形成を可能にする。ケモメカニカルな平坦化のような後続の処理を用いて、電気メッキの際に形成されたパターン層もしくは金属ブランケット層の望ましくない部分を除去し、所望のメタライズされた構造を形成してもよい。
【0010】
ワークピース表面での金属の電気メッキには、当該金属の少なくとも幾らかを、電気化学的処理を用いて除去することが含まれる。電気化学的処理は実質的に電気メッキ反応の逆反応であり、多くの場合、電気メッキと同一または類似のリアクタを用いて行われる。
【0011】
現存の電気メッキ処理容器は、多くの場合、チャンバーの底部に配置された一つの入口を通して、電気メッキチャンバーへの電気メッキ溶液の連続的な流れを与える。このような処理容器の一つの態様が図1Aに示されている。図示のように、符号1で一般的に示される電気メッキリアクタは電気メッキ処理容器2を含んでおり、該容器は、その下方部分に配置された液体入口3を介して与えられる電気メッキ溶液の流れを収容するように使用される。このようなリアクタにおいて、電気メッキ溶液は、アノード4とカソードとして機能するワークピース5との間の電気回路を完成する。
【0012】
マイクロエレクトロニクスワークピースの表面で生じる電気メッキ反応は、ワークピース表面の隣接層を形成する拡散層(a.k.a.,質量輸送層)を通しての、ワークピース表面への化学種(例えば銅イオン、白金イオン、金イオン等)の質量輸送に依存する。均一な電気メッキ膜を合理的な時間内に電着すべきときは、マイクロエレクトロニクスワークピース表面上に薄く且つ均一な拡散層を有するのが望ましい。
【0013】
図1Aの処理容器内における拡散層の厚さおよび均一さを制御するための、ワークピース表面上での電気メッキ溶液の均一な分布は、例えば、単一の入口とワークピース表面の間に配置された拡散器6等によって促進される。この拡散器は複数の孔7を含んでおり、これらの孔は、電気メッキ液の流れをワークピース5の表面に亘ってできる限り均一に出すために設けられている。
【0014】
拡散器の使用によって拡散層の制御結果は実質的に改善されるが、このような制御は限定的である。図1Aを参照すると、マイクロエレクトロニクスワークピースの表面に対して垂直な流速が増大した局部領域8が、拡散器6によって屡々発生する。一般に、これらの局部領域は拡散器6における孔7の位置に対応する。この効果は、拡散器6がワークピースに近接して移動するに伴って増大する。
【0015】
本発明者等は、ワークピース表面における流速が増大したこれらの局部領域が拡散層条件に影響し、ワークピース表面に亘って、電気メッキされる物質の不均一な蒸着を生じ得ることを見出した。拡散器はアノードとワークピースの間に配置されるから、拡散器における孔のパターン形状は電界の分布にも影響し、電気メッキされる材料の不均一な蒸着を生じる。図1Aに示したリアクタにおいては、拡散器の孔に対応する局部領域8に電界が集中し易い。局部領域8におけるこれらの効果は、ワークピースからの拡散距離、拡散器における孔のサイズおよびパターンに依存する。
【0016】
電気メッキにおいて屡々遭遇するもう一つの問題は、電気メッキ処理の際のガスのトラップおよび取込みによる拡散層の崩壊である。例えば、処理装置の配管およびポンプシステムに泡が発生する可能性がある。従って、ワークピースの表面の泡が移動する部位では電気メッキが阻害される。不活性アノードは、アノード表面で生じる陽極反応の結果として気泡を発生し易いから、不活性アノードを利用するときにはガスの取り込みが特に関係する。
【0017】
電気メッキ溶液中の気泡の取り込みを低下させて浴の安定性を維持するために、消耗性アノードが屡々使用される。しかし、消耗性アノードは維持しなければならないパッシベーション膜表面を有することが多い。また、それらはメッキ溶液の中へと浸蝕され、寸法トレランスを変化させる。結局はそれらを取り換えなければなないため、不活性アノードを使用するツールに比較したときに、ツール動作を維持するために必要な保守量が増大することになる。
【0018】
均一な膜のメッキに関連したもう一つの困難な問題は、メッキ膜の抵抗が変化することである。初期の種層は高い抵抗を有し、この抵抗は膜が厚くなるに伴って減少する。抵抗の変化は、所定のチャンバーハードウエアの組が種々の種層の厚さおよび蒸着膜の厚さに最適な均一性をもたらすのを困難にする。
【0019】
上記の観点から、発明者等は、広範な電気化学的処理要件(例えば、種層厚さ、種層の種類、電気メッキ材料、電解質浴特性など)に容易に適合できる、マイクロエレクトロニクスワークピースを電気化学的に処理するためのシステムを開発した。このシステムは、このような電気化学的処理要件に適合できると同時に、ワークピースの表面において制御された実質的に均一な拡散層を与えることができ、これはワークピース表面の対応する実質的に均一な処理(例えば電気メッキ材料の均一な蒸着)を与える。
【0020】
【発明の概要】
マイクロエレクトロニクスワークプレートの少なくとも一つの表面を電気化学的に処理するためのリアクタが記述される。このリアクタはワークピース支持体を含んだリアクタヘッドを備えており、該支持体は、マイクロエレクトロニクスワークピースと電気的に接触するように配置された一以上の電気接点を有する。また、該リアクタは、主液流チャンバー内において、電気化学的処理の際に通常はその中に収容される処理液浴の表面下のレベルで、主液流チャンバーの側壁に角度を付して配置された複数のノズルを有する処理容器を含んでいる。複数のアノードが主液流チャンバー内に異なる高さで配置され、それらは、複数のアノードと処理中のマイクロエレクトロニクスワークシートの間の中間拡散器を伴わずに、処理中のマイクロエレクトロニクスワークピースから異なる距離に配置される。複数のアノードの一つ以上は、処理中のワークピースに近接していてもよい。更に、複数のアノードの一つ以上は仮想アノードであってもよい。本発明はまた、主液流チャンバー内の多重レベルアノード構成、およびそれを使用する方法に関する。
【0021】
【発明の詳細な記述】
<基本的なリアクタ部品>
図1Bを参照すると、半導体ウエハーのようなマイクロエレクトロニクスワークピース25を電気メッキするための、リアクタセンブリー20が示されている。一般的に言えば、リアクタセンブリー20は、リアクタヘッド30と、図では37で一般的に示され且つ下記でかなり詳細に説明される対応のリアクタベースとで構成され、該リアクタベースの中には電気メッキ溶液が配置される。図1Bのリアクタはまた、電気メッキ以外の電気化学的処理操作(例えば電気研磨、陽極酸化等)を実施するためにも使用される。
【0022】
電気メッキリアクタアセンブリーのリアクタヘッド30は、静止アセンブリー70および回転子アセンブリー75からなることができる。回転子アセンブリー75は、関連のマイクロエレクトロニクスワークピース25を収容および担持し、該マイクロエレクトロニクスワークピースをリアクタベース37の容器内でその処理側を下に向けて収容し、ワークピースの導電性表面をリアクタセンブリー20のメッキ回路に接続しながら、該ワークピースを回転させるように構成されている。回転子アセンブリー75は、マイクロエレクトロニクスワークピースの表面に電気メッキ電力を与える一以上のカソード接点を含んでいる。図示の実施例において、カソード接点アセンブリーは一般的に85で示されており、以下で更に詳細に説明される。しかし、基板が導電性であるとき、またはマイクロエレクトロニクスワークピースの裏面とそのおもて面との間に交流の導電性経路が設けられるときは、おもて面側接点の代りに裏面側接点を設けてもよい。
【0023】
リアクタヘッド30は典型的にはリフト/回転装置上に装着され、該回転装置は、リアクタヘッドを上向き配置から下向き配置へと回転させるように構成されており、その上向き配置ではメッキすべきマイクロエレクトロニクスワークピースを受取り、またその下向き配置では、メッキすべきマイクロエレクトロニクスワークピースの表面が、平坦にもしくは所定の角度でリアクタベース37中の電気めっき溶液と接触され得るように配置される。典型的には、マイクロエレクトロニクスワークピース25を回転子アセンブリー75上に配置し、該メッキされたマイクロエレクトロニクスワークピースを回転子アセンブリーから取出すために、好ましくは末端エフェクタを含むロボットアームが用いられる。接点アセンブリー85は、マイクロエレクトロニクスワークピースを回転子アセンブリー75上に配置することを可能にする開放状態と、マイクロエレクトロニクスワークピースを回転子アセンブリーに固定し、且つ該接点アセンブリー85の導電性部品をメッキすべきマイクロエレクトロニクスワークピース表面に電気的に接続する閉鎖状態との間で動作させることができる。
【0024】
開示されたリアクタチャンバーと共に他の回転子アセンブリー構成を使用することが可能であり、上記したものは例示に過ぎないことが理解されるであろう。
【0025】
<エレクトロメカニカル処理容器>
図2は、処理ベース37の基本構成と、処理容器の構成から生じる流速等高線パターンの対応のコンピュータシミュレーションを示している。図示のように、処理ベース37は一般的に、主液流チャンバー505、前チャンバー510、流体入口515、プレナム520、プレナム520を前チャンバー510から分離する流れ拡散器525、およびプレナム520を主チャンバー505から分離するノズル/スロットアセンブリー530を具備している。これらの部品は協働し、マイクロエレクトロニクスワークピースにおいて、実質的に半径方向に独立した垂直な成分を有する電気化学的処理液(ここでは電気メッキ溶液)の流れを提供する。図示の実施例では、衝突する流れは中心軸537の回りにセンタリングされ、マイクロエレクトロニクスワークピース25の表面に対して垂直な略均一な成分を有する。これは、マイクロエレクトロニクスワークピース表面に対して実質的に均一な質量フラックスを生じ、その実質的に均一な処理を可能にする。
【0026】
注目すべきこととして、以下の説明から明らかなように、この望ましい流れ特性は、アノードと電気化学的処理(例えば電気メッキ)を施すべきマイクロエレクトロニクスワークピース表面との間に配置される拡散器を使用することなく達成される。このようにして、電気メッキリアクタに使用されるアノードは、マイクロエレクトロニクスワークピースの表面に近接して配置することができ、それによって、電気メッキ処理に使用される局部的な電界/電流密度パラメータに対する実質的な制御が提供される。電気的パラメータに対するこのかなりの程度の制御は、リアクタハードウエアにおける対応した変化を伴わずに、広範な電気メッキ要件(例えば、種層の厚さ、種層の種類、電気メッキされる材料、電解質浴特性など)に合致するように、リアクタを容易に適合することを可能にする。むしろ、適合は、例えば、アノードに与えられる電力のソフトウエア制御を通して、電気メッキ処理に使用される電気的パラメータを変更することによって実行することができる。
【0027】
こうして、リアクタ設計は、液流を電界の調節から効果的に切離す。このアプローチの利点は、電気メッキまたは他の電気化学的処理の適用が電界に対する顕著な変化を必要とするときに劣化しないような、電気メッキおよび他の電気化学的処理のための略理想的な流れを持ったチャンバー(即ち、マイクロエレクトロニクスワークピースの全体に亘って実質的に均一な拡散層を与える設計)が設計され得ることである。
【0028】
上記の利点は、図1Aの従来技術のリアクタ設計との比較によって、更に大きく評価することができる。当該設計において、アノードとワークピースとの間の距離を減少すべきときは、ワークピース表面に近づくように拡散器を移動させなければならない。しかし、拡散器をワークピースの近くに移動させることは、ワークピース表面における電気メッキ液の流れ特性を著しく変えることになる。より具体的にいえば、拡散器とワークピース表面の間の距離が近接すると、局部領域8において流速の垂直成分の大きさにも、対応した増大が導入される。このように、実質的な拡散層制御の問題および拡散器の孔パターンに対応した電界の望ましくない局部的増大を導入することなく、電気メッキされるマイクロエレクトロニクスワークピース表面に近接するように、アノードを移動させることはできない。アノードをマイクロエレクトロニクスワークピース表面に近接するように動かすことができないから、電気化学的処理の電気的特性における制御性増大に関する利点を実現することはできない。更に、マイクロエレクトロニクスワークピースに近接した位置へ拡散器を移動させると、拡散器の孔パターンによって決定される複数の仮想アノードが効果的に発生する。これら仮想アノードがマイクロエレクトロニクスワークピース表面に近接すると、仮想アノードは高度に局在化された効果を有する。この高度に局在化した効果は、一つの現実のアノードへの電力を変化させることのみによって制御が行われるとすれば、一般には如何なる程度の正確さでも制御することができない。従って、実質的に均一な電気メッキ膜を、このような複数の不正確に制御された仮想アノードで達成することは困難である。
【0029】
図2を再度参照すると、電気メッキ溶液は、ベース37の底に配置された入口515を通して与えられる。入口515からの流体は、そこから前チャンバー510を通して相対的に高い速度で注入される。図示の実施例において、前チャンバー510は加速チャンネル540を含んでおり、該チャンネルを通して、電気メッキ溶液は流体入口515から前チャンバー510の液流領域545に向かって半径方向に流れる。液流領域545は、一般に逆U字形状の断面を有しており、その拡散器525に近接した外側領域は、そのチャンネル540に近接した入口領域よりも広い。断面におけるこの変化は、電気メッキ溶液が主チャンバー505に導入される前に、電気メッキ溶液から如何なる気泡をも除去するのを補助する。そうでなければ主チャンバー505に侵入するであろう気泡は、前チャンバー510の上方部分に配置されたガス出口(図2には示されていないが、図3〜図5の実施例に示されている)を通して、処理ベース37から出される。
【0030】
前チャンバー510内の電気メッキ溶液は、最終的には主チャンバー505に供給される。この目的で、電気メッキ溶液は、先ず前チャンバー510の比較的高圧の領域550から、流れ拡散器525を通して比較的低圧のプレナム520へと流される。ノズルアセンブリー530は、水平に対して僅かに傾斜して配設された複数のノズルまたはスロット535を含んでいる。電気メッキ溶液は、垂直方向および半径方向の流速成分を伴って、ノズル535を通してプレナム520を出る。
【0031】
主チャンバー505は、輪郭を付した側壁560および傾斜側壁565によって、その上方領域に形成される。輪郭を付した側壁560は、電気メッキ溶液がノズル535(特に最上部のノズル)を出て、マイクロエレクトロニクスワークピース25の表面に向かって上方に戻るときに、液流の分離を防止するのを補助する。破断点570を越えると、液流分離は正常な流れの均一性には実質的に影響しないであろう。こうして、側壁565は一般に、輪郭を付した側壁560の形状の連続性を含む如何なる形状を有することもできる。
【0032】
ここに開示される特定の実施例において、側壁565は傾斜しており、また以下で更に詳細に説明するように、一以上のアノードを支持するために使用される。
【0033】
電気メッキ溶液は、一般には環状の出口572を通って主チャンバー505から出る。流体出口572は、廃棄のための更なる外部チャンバーに設けてもよく、または電気メッキ溶液供給システムを介して再循環させるために補充してもよい。
【0034】
処理ベース37には、一以上のアノードが設けられる。図示の実施例において、主アノード580は主チャンバー505の下方部分に配置される。マイクロエレクトロニクスワークピース25表面の周縁が、輪郭を付した側壁560の範囲を越えて半径方向に伸びるとき、該周縁は主アノード580から電気的にシールドされ、これらの領域では減少した電着が生じるであろう。そこで、周縁領域に電気メッキ電流の流れを提供するために、傾斜側壁565上には、複数の環状アノード585が一般に同心円状に配置される。
【0035】
図示の実施例のアノード580および585は、電気メッキされるマイクロエレクトロニクスワークピース25の表面から異なった距離に配置される。特に、アノード580および585は、異なる水平面内で同心円的に配置される。垂直方向の相違と組合されたこのような同心円的配置は、ノズル535によって作られる流れパターンに対して対応の悪影響を生じることなく、アノード580および585を、マイクロエレクトロニクスワークピース25の表面に近接して効果的に配置することを可能にする。
【0036】
アノードがマイクロエレクトロニクスワークピース25の電気メッキに対して有する制御の効果および程度は、当該アノードと電気メッキされるマイクロエレクトロニクスワークピース表面との間の有効距離に依存する。特に、他の全てが等しいとすれば、マイクロエレクトロニックスワークピース25から所定の距離だけ有効に離間したアノードは、マイクロエレクトロニクスワークピース25の表面からもっと少ない距離だけ有効に離間しているアノードよりも、マイクロエレクトロニクスワークピース表面のより大きな領域に対して影響を有するであろう。従って、マイクロエレクトロニクスワークピース25の表面から比較的大きな距離だけ有効に離間しているアノードは、より小さい距離だけ離間しているアノードよりも、電気メッキ処理に対して、より小さい局在化された制御を有する。従って、アノードをマイクロエレクトロニクスワークピース25の表面に近接して効果的に位置付けることが望ましく、これは電気メッキ処理のより融通性に富んだ局在化された制御を可能にする。得られる電気メッキ膜のより大きな均一性を達成するために、この増大した制御性を利用することができる。このような制御は、例えば、個々のアノードに与えられる電気メッキ電力を、プログラム可能なコントローラの制御下に置くことによって実行される。こうして、メッキ電力の調節は、マニュアル入力または自動入力に基づくソフトウエア制御を受けることができる。
【0037】
図示の実施例において、アノード580は、マイクロエレクトロニックスワークピース25の表面から略A1の距離に配置されるときに、マイクロエレクトロニクスワークピース25によって効果的に「見」られる。これは、アノード580と側壁560の間の関係が、側壁560の最も内側の寸法によって決定される有効面積をもった仮想アノードを形成するという事実によるものである。これとは対照的に、アノード585は最も内側のアノードから最も外側のアノードに向かって有効距離が略A2、A3およびA4にあり、最も外側のアノードがマイクロエレクトロニクスワークピース25に最も近接している。全てのアノード585は、電気メッキされるマイクロエレクトロニクスワークピース25の表面に近接している(即ち、約25.4mm以下、最も外側のアノードはマイクロエレクトロニクスワークピースから約10mmだけ離間している)。アノード585はマイクロエレクトロニクスワークピース25の表面に近接しているから、それらを使用して、マイクロエレクトロニクスワークピースの周縁部分での半径方向の膜成長に対する効果的な局在化された制御を提供することができる。高い均一性勾配を有する可能性のあるのはこれらの部分であるから(最も周辺の領域においてマイクロエレクトロニクスワークピースの種層との電気的接触が形成され、マイクロエレクトロニクスワークピースの中央部分よりもその周辺において高い電着速度を生じる事実によって)、このような局在化された制御は、マイクロエレクトロニクスワークピースの周縁部分において特に望ましい。
【0038】
上記のアノード構成に与えられる電気メッキ電力は、対応するハードウエハの変更を必要とすることなく、広範なメッキ要件に適合させるために容易に制御することができる。電気メッキ電力を調節するための幾つかの理由には、下記に対する変更が含まれる:
・種層の厚さ;
・メッキ表面の開放領域(パターンウエハー、エッジ排除)
・最終メッキ厚さ;
・メッキ膜の種類(銅、白金、種層向上);
・メッキ速度。
【0039】
上記のアノード構成は、高抵抗の種層を有するマイクロエレクトロニクスワークピースをメッキするために、またマイクロエレクトロニクスワークピース上に高抵抗材料をメッキするために、特に良く適している。一般的に言って、蒸着される種層または材料の抵抗が高いほど、均一な膜を生じるためには、中央アノード580での電流をより大きく増大しなければならない。この効果は、例ならびに図10および図11に示した対応するグラフと関連させて理解することができる。
【0040】
図10は、四つの異なるコンピュータシミュレーションのグラフであり、電気メッキされた膜の成長 v.s.マイクロエレクトロニクスワークピースの表面を横切る半径方向位置の変化を反映している。これらのグラフは、四つのアノード580,585のうちの与えられた一つへの電流が変化し、残りのアノードへの電流には対応した変化がないときに生じる成長の変化を示している。この図解において、アノード1はアノード580に対応し、残りのアノード2〜4は、最も内側のアノードから最も外側のアノードに向かうアノード585に対応する。各アノードについてのピーク電着は、異なる半径方向位置で生じる。更に、このグラフから分かるように、ワークピースの表面から最も大きな距離にあるアノード580は、ワークピースの実質的な半径方向位置に亘って効果を有し、従ってワークピースの表面領域全体に広い影響を有する。対照的に、残りのアノードは、図10のグラフのピークに対応する半径方向位置において、実質的に更に局在化した効果を有する。
【0041】
アノード580,585の異なる半径方向の効果を利用して、マイクロエレクトロニクスワークピース表面を横切る実効的に均一な電気メッキ膜を与えることができる。この目的のために、アノード580,585の夫々には、残りのアノードに与えられる電流とは異なる固定された電流を与えてもよい。これらのメッキ電流の相違を与えることにより、カソード接点アセンブリー85(図1B)の接点に近接したワークピース表面の半径方向位置で一般に生じる、増大したメッキを補償することができる。
【0042】
電気メッキされた膜の正規化された厚さに対する予め設定されたメッキ電流のコンピュータシミュレートされた効果が、マイクロエレクトロニクスワークピース上の半径方向位置の関数として、図11に経時的に示されている。このシミュレーションにおいて、種層はt0において均一であると仮定された。図示のように、電気メッキ処理の初期部分の間に、マイクロエレクトロニクスワークピース上の半径方向位置に亘る厚さに実質的な相違が存在する。これは、高抵抗材料から形成された種層、または非常に薄い種層のような高抵抗の種層を有するワークピースの一般的な特徴である。しかし、図11から分かるように、アノード580,585に与えられる異なる電流から生じる異なる電着は、電気メッキ処理の終了までに、実質的に均一にメッキされた膜を形成する。アノード580,585に与えられる特定の電流は多くの因子に依存し、そのような因子には、電気メッキ膜の望ましい厚さおよび材料、初期種層の厚さおよび材料、アノード580,585とマイクロエレクトロニクスワークピースとの間の距離、電解質浴の特性等が含まれるが、必ずしもこれらに限定されない。
【0043】
アノード580,585は消耗性であってもよいが、好ましくは不活性で、白金化チタンまたは他の不活性な導電性材料から形成される。しかし、上記で述べたように、不活性アノードはメッキされたガスを発生し易く、これは膜の均一性を損なう可能性がある。この問題を少なくするために、気泡が主処理チャンバー505に侵入する可能性を小さくすると共に、処理ベース37は幾つかの独特の特徴を含んでいる。アノード580に関して、小さい液流経路が、アノード580の下側と相対的に低い加圧チャンネルとの間にベンチュリ出口590を形成する(図2参照)。これは、アノード580の表面に近接した電気メッキ溶液を下方に遠ざけるベンチュリ効果を生じ、更にマイクロエレクトロニクスワークピースの表面の中央部分において、衝突流の均一性に影響を及ぼす吸引流(再循環流)を与える。
【0044】
チャンバーの外側で発生した如何なる大きな気泡についても、領域590を通して上昇するのを防止するために、ベンチュリ流れ経路590をシールドしてもよい。その代り、このような気泡は前チャンバー510の気泡トラップ領域に侵入する。
【0045】
同様に、電気メッキ溶液は、液体出口572に向かって半径方向にアノード585の表面を掃引し、それらの表面で形成される気泡を除去する。更に、マイクロエレクトロニクスワークピースの表面における液体流の半径方向成分は、そこからの気泡の掃引を補助する。
【0046】
リアクタチャンバーを通る図示の流れに関しては、更に多くの処理上の利点が存在する。図示のように、ノズル535を通る流れは、マイクロエレクトロニクスワークピース表面から流れ去り、従って、拡散層の均一性を乱す液体のジェットは形成されない。拡散層は完全に均一ではないかもしれないが、実質的に均一であり、その結果として如何なる不均一性も比較的緩やかであろう。更に、如何なる副次的な不均一性の効果も、マイクロエレクトロニクスワークピースを処理の際に回転させることによって実質的に低減され得る。更なる利点は、ベンチュリ出口によって生じる主チャンバー505の底部における流れに関するものであり、これはその中心線における流れに影響する。中心線の流速は、他の方法では実施および制御するのが困難である。しかし、ベンチュリ流の強さは、流れのこの側面に影響を及ぼすために使用できる邪魔にならない設計変更を提供する。
【0047】
上記のリアクタ設計から明らかなように、マイクロエレクトロニクスワークピースに対して垂直な流れは、マイクロエレクトロニクスワークピースの中心近傍で僅かに大きく、マイクロエレクトロニクスワークピースが存在しないとき(即ち、マイクロエレクトロニクスワークピースが液体の中に下降する前)は何時でも、ドーム形状のメニスカスを形成する。このドーム形状のメニスカスは、マイクロエレクトロニクスワークピースまたは他のワークピースを処理溶液(ここでは電気メッキ溶液)の中に下降させるときに、気泡の取込みを最小限にするのを補助する。
【0048】
上記リアクタ設計の更なる利点は、チャンバー入口への道をもった気泡がマイクロエレクトロニクスワークピースに達するのを防止するのを補助することである。この目的のために、流れパターンは、溶液が主チャンバーに侵入する直前で下方に流れるようになっている。こうして気泡は前チャンバーの中に残り、その頂部の穴を通して逃散する。更に、前チャンバーへの上方に傾斜した入口経路(図5および関連の説明を参照のこと)は、ベンチュリ流れ経路を通して気泡が主チャンバーに侵入するのを防止する。
【0049】
図3〜図5は、半導体マイクロエレクトロニクスワークピースの電気化学的処理に特に適合された、完全な処理チャンバーセンブリー610の特定の構成を図示している。更に特定して言えば、図示の実施例は、電気メッキを使用してワークピースの表面に材料の均一な層を蒸着するために特に適合されている。
【0050】
図示のように、図1Bに示した処理ベース37は、処理チャンバーセンブリー610並びに対応する外部カップ605で構成されている。処理チャンバーセンブリー610は外部カップ605内に配置されて、処理チャンバーセンブリー610から溢れ出た使用済み処理液を外部カップ605に収容することを可能にしている。例えば、対応するツールのフレームに固定するために、フランジ615がアセンブリー610の回りに広がっている。
【0051】
特に図4および図5を参照すると、外部カップ605のフランジは、リアクタヘッド30の回転子アセンブリー75(図1Bに示されている)と係合またはこれを収容し、マイクロエレクトロニクスワークピース25と主液流チャンバー505内の処理溶液(例えば電気メッキ液)との間の接触を可能にするように形成される。外部カップ605はまた、その中に排水カップ部材627が配置される主円筒状ハウジング625を含んでいる。この排水カップ部材627はチャンネル629を有する外表面を含んでおり、該チャンネルは、主円筒形ハウジング625と共に、処理溶液のための出口として働く一以上の螺旋流れチャンバー640を形成する。処理カップ35頂部の堰部材739を溢れ出た処理液は、螺旋チャンバー640を通して排水され、出口(図示せず)を出てそこで廃棄され、または補充および再循環される。この構成は、ガスと処理液との混合を減少することにより、気泡がワークピース表面の拡散層の均一性を妨げる可能性を更に低減するから、液体再循環を含むシステムに特に適している。
【0052】
図示の実施例では、複数の別々の部品の壁によって、前チャンバー510が形成されている。より詳細に言えば、前チャンバー510は排水カップ部材627の内壁、アノード支持部材697、中間チャンバー部材690、および流れ拡散器525の外壁によって画定されている。
【0053】
図3Bおよび図4は、上記部材を一緒にしてリアクタを形成する方法を示している。この目的のために、中間チャンバー部材690は、排水カップ部材627の内部に配置され、またその底壁上に着座する複数の脚支持体692を含む。アノード支持部材697は、排水カップ部材627の内部回りに配置されたフランジと係合する外壁を含んでいる。アノード支持部材697はまた、流れ拡散器525の上方部分に着座および係合するチャンネル705を含み、更に、ノズルアセンブリー530の上部リム上に着座および係合するチャンネル705を含んでいる。また、中間チャンバー部材690は中心に配置された容器715を含んでおり、これはノズルアセンブリー530の下方部分を収容する大きさである。同様に、環状容器715の外部には、流れ拡散器525の下方部分と係合するための環状チャンネル725が放射状に配設されている。
【0054】
図示の実施例において、流れ拡散器525は単一片として形成されており、また垂直に配向された複数のスロット670を含んでいる。同様に、ノズルアセンブリー530は単一片として形成され、またノズル535を構成する水平に配向された複数のスロットを含んでいる。
【0055】
アノード支持部材697は、環状のアノードアセンブリー785を収容する大きさの複数の環状溝を含んでいる。夫々のアノードアセンブリー785は、アノード585(好ましくは白金化チタンまたは他の不活性金属で形成される)およびアノード585の中心部分から伸びる導管730を含んでおり、その中を通して金属導電体が配置されて、各アセンブリー785のアノード585を外部電力源に電気的に接続する。導管730は、処理チャンバーアセンブリー610を完全に貫通して伸びており、その底に夫々の取付け部品733により固定される。この方法で、アノードアセンブリー785は効果的にアノード支持体697を下方に付勢し、流れ拡散器525、ノズルアセンブリー530、中間チャンバー部材690および排水カップ部材627を、外部カップ605の低部737の底に対してクランプする。これは、処理チャンバー610の容易な組立ておよび分解を可能にする。しかし、チャンバー要素を一緒に固定すると共に、アノードに対する必要な電力を導通させるために、他の手段を使用してもよいことが理解されるであろう。
【0056】
図示の実施例はまた、アノード支持部材697の上部外側部分に脱着可能に嵌合され、または容易に固定される堰部材739を含んでいる。図示のように、堰部材739はリム742を含んでおり、これは処理溶液がその上を螺旋流れチャンバー640の中へと流れる堰を形成する。また、堰部材739は横方向に伸びるフランジ744を含んでおり、これは半径方向内側に向かって伸び、一以上のアノード585の全部または一部を覆う電界シールドを形成する。堰部材739は容易に取外しおよび取換えができるから、処理チャンバーセンブリー610を容易に再構成して、異なる電界形状を与えるように適合させてもよい。このような異なる電界形状は、一以上の寸法または形状のワークピースを処理するようにリアクタを構成しなければならない場合に、特に有用である。これは更に、同じ寸法であるが異なる電着面積要件を有するワークピースに適応するように、リアクタを構成することを可能にする。
【0057】
アノード支持部材697は、適正位置にあるアノード585と共に、図2に示した輪郭を付した側壁560および傾斜側壁565を形成する。上記のように、アノード支持部材697の下方領域は、前チャンバー510の上部内壁を画定するように輪郭が付されており、好ましくは、気泡を前チャンバー510から外部環境へ出すように、これを貫通して配置された一以上のガス出口665を含んでいる。
【0058】
特に図5を参照すると、810に一般的に示される入口液体ガイドによって液体入口515が形成されており、これは一以上のファスナー815によって、中間チャンバー部材690の床に固定される。入口液体ガイド810は複数の開放チャンネルを含み、これは、液体入口515で受取った液体を中間チャンバー部材690の下の領域へと案内する。図示の実施例のチャンネル817は、上方に向かって傾斜した壁819によって画定される。チャンネル817を出た処理液は、そこから、同様に上方に傾斜した壁で確定された一以上の更なるチャンネル821へと流れる。
【0059】
中央アノード580は電気的接続ロッド581を含んでおり、該接続ロッドは、ノズルアセンブリー530に形成された中央孔、中間チャンバー部材690および入口液体ガイド810を通して、処理チャンバーセンブリー610の外部へと進む。図2の590で示す小さいベンチュリ流れ経路領域が、排水カップ部材690およびノズル部材530の底を通して進む垂直チャンネルによって図5に形成される。図示のように、液体入口ガイド810、および特に上方に傾斜した壁819がシールされた垂直チャンネル823を越えて半径方向に伸びており、入口に入ってくる如何なる泡も垂直チャンネル823ではなく、上向きのチャンネル821を通って進むようになっている。
【0060】
図6〜図9は、改善されたリアクタチャンバーの更なる実施例を示している。これらの図に示した実施例は、上記で述べたリアクタ構成に特徴的な有利な電界および流れを維持すると同時に、アノード/電極絶縁が望ましい状況にとって有用である。このような状況には下記の場合が含まれるが、これらに限定されない:
・最適に効果的であるために、電気化学的電気メッキ溶液が、アノードのような電極上を高流速で通過しなければならない場合;
・均一な電気化学的処理を保証する為に、アノード表面での電気化学的反応から発生する一以上のガスを除去しなければならない場合;
・消耗異性電極が使用される場合。
【0061】
図6および図7を参照すると、リアクタは、処理チャンバーの最も内側の部分への電気化学的電気メッキ溶液流路を含んでおり、これは図2に示した実施例、並びに図3A〜図5に示したリアクタチャンバーの実施例に与えられた流路と非常に似ている。そこで、単純化のために、類似の機能を有する部品については、ここでは更に同定しない。以下では、上記実施例とは顕著に異なるリアクタの部品についてのみ明かにし、説明する。
【0062】
アノード電極および関連の構造、並びに液流経路との関係において、実施例の間には著しい区別が存在する。より詳細に言えば、リアクタベース37は、夫々のアノードチャンバーハウジング1017,1022,1027および1032の中に相互に同心円的に配置された複数のリング状アノード1015,1020,1025および1030を含んでいる。図示のように、各アノード1015,1020,1025および1030は、先に述べた実施例に示した対応のアノードの表面領域よりも大きい、垂直に配向された表面領域を有している。この開示された実施例には四つの斯かるアノードが用いられるが、電気化学的処理パラメータおよび望ましい結果に応じて、より多数またはより少数のアノードを使用してもよい。各アノード1015,1020,1025および1030は、少なくとも一つの対応する支持/導電性部材1050によって、夫々のアノードチャンバーハウジング1017,1022,1027および1032の中に支持されており、前記支持/導電性部材は処理ベース37の底を貫通して伸び、電源への接続のための電気的コネクタ1055で終端する。
【0063】
開示された実施例に従えば、最も外側の三つのチャンバーハウジング1022,1027および1030への液流又はこれを通る液流が、入口515から分離された入口1060から与えられ、この入口は最も内側のチャンバーハウジング1017を通して液流を供給する。図示のように、液体入口1060は、外壁に配置された複数のスロット1070を有するマニホルド1065に電気メッキ溶液を与える。スロット1070は、複数の開口部1080を含むプレナム1075と液体流通しており、電気メッキ溶液は前記開口部を通して三つのアノードチャンバーハウジング1022,1027および1032にそれぞれ侵入する。アノードチャンバーハウジング1017,1022,1027および1032に入る液体は、夫々のアノード1015,1020,1025および1030の少なくとも一つの垂直表面、好ましくは両方の垂直表面を覆って流れる。
【0064】
各アノードチャンバーハウジング1017,1022,1027および1032は、上部出口領域を含んでいる。図示のカップ1085は、それらが相互に同心円的であるように、リアクタチャンバー内に配置される。各カップは、他のリムに対して予め定められた高さで終端する上部リム1090を含んでおり、各カップのリムは、直接隣接する外側の同心円カップの垂直方向の下の高さで終端する。最も内側の三つのカップの夫々は、更に、実質的に垂直な外壁1095および傾斜内壁1200を含んでいる。この壁構成は、同心円的に配置されたカップ(液流領域1205および最外側のアノードに関連した最外側の流れ領域1205を画定する輪郭を付した内壁を有する最内側のカップ以外)の間隙領域に流れ領域1205を形成し、これは液体が処理中のマイクロエレクトロニクスワークピースの表面に向かって上方に流れる領域を増大する。この領域の増大は、垂直液流に沿った液体流速を減少させ、該流速は特定の流れ領域の上方部分での液体の流速に比較して、流れ領域1205の下方部分において更に大きい。
【0065】
同心円的に隣接するカップのリム間の間隙領域は、複数の仮想アノードの夫々の寸法および形状を効果的に決定し、各仮想アノードは、夫々のアノードチャンバーハウジング内に配置された対応するアノードと夫々関連している。処理中のマイクロエレクトロニクスワークピースによって見られる各仮想アノードの寸法および形状は、一般には対応する現実のアノードの寸法および形状とは独立している。そこで、使用するときに経時的に寸法および形状が変化する消耗性アノードは、処理中のマイクロエレクトロニクスワークピースによって見られる全体のアノード構成における対応の変化を伴わずに、アノード1015,1020,1025および1030として用いることができる。更に、流れ領域1205を通って垂直方向に流れる液流が減速を受けると、アノードチャンバーハウジング1017,1022,1027および1032内のアノード1015,1020,1025および1030の垂直表面を横切って高速液流が導入されると同時に、処理中のマイクロエレクトロニクスワークピースの表面を半径方向に横切る非常に均一な液流パターンを生じる。上記のように、アノード1015,1020,1025および1030の垂直表面を横切る高速の液体流は、Atotechから入手可能な電気メッキ液のような一定の電気化学的電解メッキ溶液を使用するときには望ましいものである。更に、このような高速液体流は、アノード、特に不活性アノードの表面で形成される気泡の幾らかの除去を補助するために使用してもよい。この目的で、夫々のアノードチャンバーハウジング1017,1022,1027および1032の上方部分に一以上のガス出口(図示せず)を設けて、このようなガスを換気してもよい。
【0066】
更に留意すべきことは、先の実施例とは異なり、要素1210は、誘電体材料で形成される固定部材である。固定部材1210は、リアクタベース37を形成する複数の構造体を一緒にクランプするために使用される。固定部材1210は、それがアノードとして機能し得るように導電性材料から形成すればよいが、処理中のマイクロエレクトロニクスワークピースによって見られる最内側のアノードは、好ましくは最内側のアノード1015に対応する仮想アノードである。
【0067】
図8および図9は、図10〜図12に示した実施例に従って構築されたリアクタの液体流速等高線のコンピュータシミュレーションを示している。この実施例において、リアクタベースの全てのアノードは、アノードチャンバーハウジングを通る液体の流れから隔離され得る。この目的で、図8は、電気メッキ溶液の流れが夫々のアノードチャンバーハウジングを通して与えられるときに生じる液体流速の等高線を図示している。一方、図9はアノードチャンバーハウジングを通り、アノードを通過して与えられる電気メッキ溶液の流れがないときに生じる液体流速等高線を図示している。この後者の条件は、第二の液流入口(下記で述べる)からの流れを遮断することによってリアクタ内に達成することができ、同様に、入口1060を通る液流を遮断することによって図6および図7のリアクタ内に達成することができる。このような条件は、アノードの表面を横切る電気メッキ溶液の流れが、溶液の有機添加物濃度を顕著に低下させることが分かっている場合に望ましいものであるかも知れない。
【0068】
図12は、図7に示したリアクタの実施例の変形を示している。単純化のために、以下の議論に関連する要素のみに参照番号を与える。
【0069】
この更なる実施例は、アノード1015,1020,1025および1030に液流を与えるために異なる構造を用いている。更に特定して言えば、更なる実施例は、アノードチャンバーハウジング1017,1022,1027および1032に処理液体を供給および分配するための入口として働く入口部材2010を用いる。
【0070】
図12および図13を参照すると、入口部材2010は、電気メッキ液の流れを与えるために使用できる中空ステム2015を含んでいる。中空ステム2015は階段状ハブ2020で終端する。階段状ハブ2020は複数のステップ2025を含んでおり、これらステップの夫々は、アノードチャンバーハウジングの対応する壁を収容および支持する大きさの溝を含んでいる。処理液は、マニホルド領域から夫々のアノードチャンバーハウジングへと進む複数のチャンネル2030を通して、アノードチャンバーハウジングの中に流される。
【0071】
この後者の入口構成は、更に、アノード1015,1020,1025および1030を相互に電気的に絶縁するための補助となる。このこのような電気的絶縁は、アノードの間の電流経路の増大した抵抗によって生じる。この増大した抵抗は、アノードチャンバーハウジング間に存在する液体流れ経路における増大した長さの直接的な結果である。
【0072】
マイクロエレクトロニクスワークピースに対してその周縁に電気メッキ電力が供給される方法は、蒸着された金属の全体の膜質に影響する。このような電気メッキ電力を提供するために使用される接点アセンブリーのより望ましい特徴の幾つかは、例えば下記の通りである:
・蒸着した膜の均一性を最大限にするための、マイクロエレクトロニクスワークピースの周辺回りにおける電気メッキ電力の均一な分布;
・ウエハー間の均一性を補償するための、一貫した接触特性;
・装置製造のために利用可能な面積を最大にするための、マイクロエレクトロニクスワークピース上における接触アセンブリーの最小の割込み;
・剥離および/または剥落を防止するための、マイクロエレクトロニクスワークピース周縁付近のバリア層に対する最小のメッキ。
【0073】
上記特徴の一以上に合致させるために、好ましくは、回転子アセンブリー20はマイクロエレクトロニクスワークピース25との連続的な電気的接触または個別的な多数回の電気的接触を与える接点アセンブリー85を用いる。マイクロエレクトロニクスワークピース25の外周縁(この場合は半導体ウエハーの外周縁回り)に対してより連続的な接触を与えることによって、マイクロエレクトロニクスワークピースにはより均一な電流が印加され、これは更に均一な電流密度を促進する。この更に均一な電流密度は、蒸着された材料の深さにおける均一性を高める。
【0074】
好ましい実施例に従う接触アセンブリー85には、マイクロエレクトロニクスワークピースの周縁付近における最小の割込みを与えると同時に、種層との一貫した接触を与える接点部材を含む。種層との接触は、マイクロエレクトロニクスワークピースが接点アセンブリーと係合されるときに、種層に対する拭い動作を与える接触部材構造を使用することによって向上する。この拭い動作は、種層表面の何等かの酸化物を除去するのを補助し、それによって接点構造体と種層との間の電気的接触を向上させる。その結果、マイクロエレクトロニクスワークピース周縁周りでの電流密度の均一性は増大し、得られる膜はより均一になる。更に、電気的接触におけるこのような一貫性は、ウエハー間での電気メッキ処理におけるより大きな一貫性を促進し、それによってウエハー間の均一性を増大する。
【0075】
接点アセンブリー85は、以下で更に詳細に記述するように、好ましくは、個々に又は他の構造体と協働して、接点/接点、周縁部分、およびマイクロエレクトロニクスワークピース25をメッキ溶液から分離するバリアを提供する一以上の構造体をも含む。これは、個々の接点上への金属の電着を防止し、更に、マイクロエレクトロニクスワークピース25の縁部近傍におけるバリア層の何れかの露出部分が、電気メッキ環境に露出されるのを防止する補助となる。その結果、バリア層の電着、およびこれに関連した何れかの弱く付着した電気メッキ材料の剥落による汚染の可能性が、実質的に制限される。本発明のシステムに使用するのに適した接点アセンブリーの例が、1998年7月10日に出願された「周縁シール部材とのメッキ接触を備えたメッキ装置」と題するU.S.S.N.09/113,723に図示されており、これは本願明細書の一部として本願に援用される。
【0076】
一以上の上記リアクタセンブリーは、半導体マイクロエレクトロニクスワークピースのようなワークピースに対して複数の処理を実行できる処理ツールに容易に組み込むことができる。一つのこのような処理ツールは、モンタナ州KalispellのSemitool, Inc.,から入手可能なLT-210TM電気メッキ装置である。図14および図15はこのような組み込みを示している。
【0077】
図14のシステムは、複数の処理ステーション1610を含んでいる。好ましくは、このような処理ステーションは一以上の濯ぎ/環層ステーションおよび一以上の電気メッキステーション(一以上の上記のような電気メッキリアクタを含む)が、本発明に従って構成された更なる浸漬化学処理ステーションを用いてもよい。このシステムは、好ましくは迅速熱処理(RTP)のために適合された少なくとも一つの熱リアクタを含む、1615におけるような熱処理ステーションを含んでいる。
【0078】
このワークピースは、中心トラック1625に沿った線形移動のために配置された一以上のロボット搬送機構を使用して、処理ステーション1610とRTPステーション1615との間で搬送される。また、一以上のステーション1610は、インサイチューでの濯ぎを実行するために適合される構造体を組み込んでもよい。好ましくは、全ての処理ステーション並びにロボット搬送機構は、フィルターされた空気が陽圧で供給されるキャビネットの中に配置され、それによって、マイクロエレクトロニクスワークピースの処理効果を低下させる可能性のある空気で運ばれる汚染物を制限する。
【0079】
図15は、処理ツールの更なる実施例を示しており、ここでは部位1630に配置された、少なくとも一つの熱リアクタを含むRTPステーション1635がツールセットに組み込まれてもよい。図14の実施例とは異なり、この実施例では、少なくとも一つの熱リアクタは、専用のロボット機構1640によってサービスを受ける。専用のロボット機構1640は、ロボット搬送機構1620がそこへ運んできたワークピースを受取る。搬送は、中間段階のドア/エリア1645を通して行われる。こうして、処理ツールのRTP部分1630を、当該ツールの他の部分から衛生的に分離することが可能になる。加えて、このような構成を使用することにより、図示のアニールステーションは、現在のツールセットをグレードアップするために取付けられる別のモジュールとして実施することができる。RTPステーション1635に加えて、又はその代りに、他の種類の処理ステーションを部位1630に配置してもよいことが理解されるであろう。
【0080】
上記で述べたシステムには、その基本的教示を逸脱することなく多くの変形を行ってもよい。一以上の特定の実施例を参照して本発明をかなり詳細に説明してきたが、当業者は、ここに記載した本発明の範囲および精神を逸脱することなく変更を加え得ることを理解するであろう。
【図面の簡単な説明】
【図1A】 図1Aは、ワークピース表面の全体に亘って処理液の流れを分布させ、電界の成形をも補助する拡散器を組み込んだ、電気メッキリアクタセンブリーの概略ブロック図である。
【図1B】 図1Bは、本発明を組み込むことができる電気メッキリアクタセンブリーの一実施例を示す横断面図である。
【図2】 図2は、図1Bのリアクタセンブリーに使用し得るリアクタチャンバーの一実施例を示す概略図であり、リアクタチャンバー全体の処理液の流れに関連した流速プロファイルの例示を含んでいる。
【図3】 図3は、半導体ウエハーの電気化学的処理に特別に適合され且つ図2に示した流速プロファイルを達成するように実施された、完全な処理チャンバーセンブリーの特定の構成を示している。
【図4】 図4は、半導体ウエハーの電気化学的処理に特別に適合され且つ図2に示した流速プロファイルを達成するように実施された、完全な処理チャンバーセンブリーの特定の構成を示している。
【図5】 図5は、半導体ウエハーの電気化学的処理に特別に適合され且つ図2に示した流速プロファイルを達成するように実施された、完全な処理チャンバーセンブリーの特定の構成を示している。
【図6】 図6は、本発明の更なる実施例に従って構成された完全な処理チャンバーセンブリを示している。
【図7】 図7は、本発明の更なる実施例に従って構成された完全な処理チャンバーセンブリを示している。
【図8】 図8は、図6および図7の処理チャンバーの実施例における流速等高線を示す横断面図である。
【図9】 図9は、図6および図7の処理チャンバーの実施例におkる流速等高線を示す横断面図である。
【図10】 図10は、均一なメッキを達成するために、処理チャンバーのアノード構成を用い得る方法を示すグラフである。
【図11】 図11は、均一なメッキを達成するために、処理チャンバーのアノード構成を用い得る方法を示すグラフである。
【図12】 図12は、図6および図7の処理チャンバーの変形例を示している。
【図13】 図13は、図6および図7の処理チャンバーの変形例を示している。
【図14】 図14は、本発明の技術に従って構築された一以上の処理ステーションを組み込むことができる処理ツールの実施例を示している。
【図15】 図15は、本発明の技術に従って構築された一以上の処理ステーションを組み込むことができる処理ツールの実施例を示している。

Claims (8)

  1. ワークピースを電気化学的に処理をするための装置であって、
    処理容器と、
    前記処理容器内に同心的に配置された、誘電材料製の第1アノードハウジング(1017)及び第2アノードハウジング(1020)と、
    前記第1及び第2アノードハウジング内に夫々配置された第1アノード(1015)及び第2アノード(1020)と、
    前記処理容器内において、前記第1又は第2アノードと前記ワークピースの間で、処理液の直線状の流れ経路がないように、前記第1及び第2アノードを夫々完全に前記ワークピースからシールドする、前記第1アノードハウジングの上の第1カップ(1085)及び前記第2アノードハウジングの上の第2カップ(1085)と、
    を有することを特徴とする装置。
  2. 前記第1及び第2カップは各々、前記ワークピースに面する仮想アノードを形成する上部リムを有する請求項1に記載の装置。
  3. 前記第2カップの上部リムは、前記第1カップの上部リムよりもワークピースに近い請求項1に記載の装置。
  4. 前記第1カップは、湾曲した内面を有する請求項1に記載の装置。
  5. 前記第1及び第2アノードハウジングは各々、処理液入口及び処理液出口を有する請求項1に記載の装置。
  6. さらに、サポート部材及びこのサポート部材から突出する複数の電気的接触フィンガーを含むワークピースホルダを備えたリアクタヘッドを有し、前記電気的接触フィンガーは、前記ワークピースの周部に係合するように構成され、
    さらに、ワークピースホルダに連結され、マイクロエレクトロニクスワークピースの少なくとも処理中に、ワークピースサポート及び関連するマイクロエレクトロニクスワークピースを回転させるロータを有する、請求項1ないし3のいずれか1項に記載の装置
  7. ワークピースの表面を電気めっき液槽の中に導入する段階と、
    第1アノードを含む第1アノードハウジングを通る電気めっき液の第1流れを流す段階と、
    前記ワークピースと前記第1アノードとの間に第1誘電体材料シールドを配置することにより、ワークピースを第1アノードへの直接露出からシールドして、前記第1流れが前記第1アノードハウジングから流れ出るとき、第1流れを前記第1シールドを周るように移動させる段階と、
    第2アノードを含む第2アノードハウジングを通る電気めっき液の第2流れを流す段階と、
    前記ワークピースと前記第2アノードとの間に第2誘電体材料シールドを配置することにより、ワークピースを第2アノードへの直接露出からシールドして、前記第2流れが前記第2アノードハウジングから流れ出るとき、第2流れを前記第2シールドを周るように移動させる段階と、
    前記各アノードと前記ワークピースの表面の間に電流を誘導する段階と、
    を有することを特徴とするワークピース上に物質を電気めっきする方法。
  8. さらに、前記第1及び第2アノードを互いに独立して作動させる段階を有する請求項7記載の方法。
JP2000610779A 1999-04-13 2000-04-13 ワークピースを電気化学的に処理するためのシステム Expired - Fee Related JP4219562B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US12905599P 1999-04-13 1999-04-13
US60/129,055 1999-04-13
US14376999P 1999-07-12 1999-07-12
US60/143,769 1999-07-12
US18216000P 2000-02-14 2000-02-14
US60/182,160 2000-02-14
PCT/US2000/010120 WO2000061498A2 (en) 1999-04-13 2000-04-13 System for electrochemically processing a workpiece

Publications (3)

Publication Number Publication Date
JP2002541326A JP2002541326A (ja) 2002-12-03
JP2002541326A5 JP2002541326A5 (ja) 2006-01-05
JP4219562B2 true JP4219562B2 (ja) 2009-02-04

Family

ID=27383837

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000610882A Expired - Fee Related JP4288010B2 (ja) 1999-04-13 2000-04-13 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
JP2000610779A Expired - Fee Related JP4219562B2 (ja) 1999-04-13 2000-04-13 ワークピースを電気化学的に処理するためのシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000610882A Expired - Fee Related JP4288010B2 (ja) 1999-04-13 2000-04-13 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置

Country Status (7)

Country Link
US (10) US6569297B2 (ja)
EP (2) EP1194613A4 (ja)
JP (2) JP4288010B2 (ja)
KR (2) KR100695660B1 (ja)
CN (2) CN1217034C (ja)
TW (2) TWI226387B (ja)
WO (2) WO2000061837A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8069750B2 (en) 2007-08-09 2011-12-06 Ksr Technologies Co. Compact pedal assembly with improved noise control

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3942977A1 (de) * 1989-12-23 1991-06-27 Standard Elektrik Lorenz Ag Verfahren zum wiederherstellen der richtigen zellfolge, insbesondere in einer atm-vermittlungsstelle, sowie ausgangseinheit hierfuer
US6749391B2 (en) 1996-07-15 2004-06-15 Semitool, Inc. Microelectronic workpiece transfer devices and methods of using such devices in the processing of microelectronic workpieces
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US6752584B2 (en) 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6402923B1 (en) * 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6585876B2 (en) * 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
JP4288010B2 (ja) 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US8852417B2 (en) 1999-04-13 2014-10-07 Applied Materials, Inc. Electrolytic process using anion permeable barrier
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20060157355A1 (en) * 2000-03-21 2006-07-20 Semitool, Inc. Electrolytic process using anion permeable barrier
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
US6623609B2 (en) 1999-07-12 2003-09-23 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US6471913B1 (en) * 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US20060189129A1 (en) * 2000-03-21 2006-08-24 Semitool, Inc. Method for applying metal features onto barrier layers using ion permeable barriers
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) * 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
WO2001090434A2 (en) * 2000-05-24 2001-11-29 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
EP1335038A4 (en) * 2000-10-26 2008-05-14 Ebara Corp DEVICE AND METHOD FOR ELECTRO-PLATING
WO2002047139A2 (en) * 2000-12-04 2002-06-13 Ebara Corporation Methode of forming a copper film on a substrate
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US7628898B2 (en) * 2001-03-12 2009-12-08 Semitool, Inc. Method and system for idle state operation
US7281741B2 (en) * 2001-07-13 2007-10-16 Semitool, Inc. End-effectors for handling microelectronic workpieces
US7334826B2 (en) * 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
US6884724B2 (en) * 2001-08-24 2005-04-26 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US6991710B2 (en) * 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
ATE301427T1 (de) * 2002-05-03 2005-08-15 Lina Medical Aps Vorrichtung zur hämostase eines offenen blutgefässes
US6893505B2 (en) 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US7247223B2 (en) 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US20060043750A1 (en) * 2004-07-09 2006-03-02 Paul Wirth End-effectors for handling microfeature workpieces
US20070014656A1 (en) * 2002-07-11 2007-01-18 Harris Randy A End-effectors and associated control and guidance systems and methods
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
JP2004068151A (ja) * 2002-07-25 2004-03-04 Matsushita Electric Ind Co Ltd 基板のメッキ方法及びメッキ装置
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
TWI229367B (en) * 2002-12-26 2005-03-11 Canon Kk Chemical treatment apparatus and chemical treatment method
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7332062B1 (en) * 2003-06-02 2008-02-19 Lsi Logic Corporation Electroplating tool for semiconductor manufacture having electric field control
US7390383B2 (en) * 2003-07-01 2008-06-24 Semitool, Inc. Paddles and enclosures for enhancing mass transfer during processing of microfeature workpieces
US20050063798A1 (en) * 2003-06-06 2005-03-24 Davis Jeffry Alan Interchangeable workpiece handling apparatus and associated tool for processing microfeature workpieces
US20050034977A1 (en) * 2003-06-06 2005-02-17 Hanson Kyle M. Electrochemical deposition chambers for depositing materials onto microfeature workpieces
US7393439B2 (en) * 2003-06-06 2008-07-01 Semitool, Inc. Integrated microfeature workpiece processing tools with registration systems for paddle reactors
US20050050767A1 (en) * 2003-06-06 2005-03-10 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
DE10327578A1 (de) * 2003-06-18 2005-01-13 Micronas Gmbh Verfahren und Vorrichtung zur Filterung eines Signals
US20070144912A1 (en) * 2003-07-01 2007-06-28 Woodruff Daniel J Linearly translating agitators for processing microfeature workpieces, and associated methods
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US7372682B2 (en) * 2004-02-12 2008-05-13 Power-One, Inc. System and method for managing fault in a power system
US8082932B2 (en) * 2004-03-12 2011-12-27 Applied Materials, Inc. Single side workpiece processing
US7938942B2 (en) * 2004-03-12 2011-05-10 Applied Materials, Inc. Single side workpiece processing
US20070110895A1 (en) * 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7214297B2 (en) 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US7531060B2 (en) * 2004-07-09 2009-05-12 Semitool, Inc. Integrated tool assemblies with intermediate processing modules for processing of microfeature workpieces
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
US20070020080A1 (en) * 2004-07-09 2007-01-25 Paul Wirth Transfer devices and methods for handling microfeature workpieces within an environment of a processing machine
US7165768B2 (en) * 2005-04-06 2007-01-23 Chih-Chung Fang Variable three-dimensional labyrinth
US7935240B2 (en) * 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
US20070043474A1 (en) * 2005-08-17 2007-02-22 Semitool, Inc. Systems and methods for predicting process characteristics of an electrochemical treatment process
WO2007062114A2 (en) 2005-11-23 2007-05-31 Semitool, Inc. Apparatus and method for agitating liquids in wet chemical processing of microfeature workpieces
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
US8104488B2 (en) * 2006-02-22 2012-01-31 Applied Materials, Inc. Single side workpiece processing
US7655126B2 (en) * 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
GB2440139A (en) * 2006-07-20 2008-01-23 John Bostock Electrocoagulation unit for the removal of contaminants from a fluid
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US8291921B2 (en) * 2008-08-19 2012-10-23 Lam Research Corporation Removing bubbles from a fluid flowing down through a plenum
US20080178460A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Protected magnets and magnet shielding for processing microfeature workpieces, and associated systems and methods
US7842173B2 (en) * 2007-01-29 2010-11-30 Semitool, Inc. Apparatus and methods for electrochemical processing of microfeature wafers
DE102008045256A1 (de) * 2008-09-01 2010-03-04 Rena Gmbh Vorrichtung und Verfahren zur Nassbehandlung von unterschiedlichen Substraten
US8475637B2 (en) 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US9752111B2 (en) * 2009-02-25 2017-09-05 Corning Incorporated Cell culture system with manifold
CN101864587B (zh) * 2009-04-20 2013-08-21 鸿富锦精密工业(深圳)有限公司 纳米级金属粒子/金属复合镀层的形成装置及形成方法
CN101775637B (zh) * 2010-03-09 2012-03-21 北京中冶设备研究设计总院有限公司 静压式水平电镀槽
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8795480B2 (en) * 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
TWI550139B (zh) 2011-04-04 2016-09-21 諾菲勒斯系統公司 用於裁整均勻輪廓之電鍍裝置
US9005409B2 (en) 2011-04-14 2015-04-14 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US9017528B2 (en) 2011-04-14 2015-04-28 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US8496789B2 (en) 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
US8496790B2 (en) 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
US9245719B2 (en) * 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
US8900425B2 (en) 2011-11-29 2014-12-02 Applied Materials, Inc. Contact ring for an electrochemical processor
US8968531B2 (en) 2011-12-07 2015-03-03 Applied Materials, Inc. Electro processor with shielded contact ring
US9393658B2 (en) 2012-06-14 2016-07-19 Black & Decker Inc. Portable power tool
CN102888633B (zh) * 2012-08-28 2015-06-17 南通市申海工业技术科技有限公司 核反应堆内真空阀镀铜镀镍镜面工艺装置
US9598788B2 (en) * 2012-09-27 2017-03-21 Applied Materials, Inc. Electroplating apparatus with contact ring deplating
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9945044B2 (en) * 2013-11-06 2018-04-17 Lam Research Corporation Method for uniform flow behavior in an electroplating cell
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
CN104947172B (zh) * 2014-03-28 2018-05-29 通用电气公司 电镀工具及使用该电镀工具的方法
US9689084B2 (en) 2014-05-22 2017-06-27 Globalfounries Inc. Electrodeposition systems and methods that minimize anode and/or plating solution degradation
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9469911B2 (en) 2015-01-21 2016-10-18 Applied Materials, Inc. Electroplating apparatus with membrane tube shield
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
CN105463537B (zh) * 2016-01-14 2017-11-21 深圳市启沛实业有限公司 一种单面电镀方法
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10697083B2 (en) 2016-07-13 2020-06-30 Ionta LLC Electrochemical methods, devices and compositions
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US11142840B2 (en) 2018-10-31 2021-10-12 Unison Industries, Llc Electroforming system and method
TWI728668B (zh) * 2019-01-31 2021-05-21 日商Almex Pe股份有限公司 工件保持治具及表面處理裝置
JP7150768B2 (ja) * 2020-01-30 2022-10-11 Jx金属株式会社 電解装置及び電解方法
CN111501080B (zh) * 2020-05-26 2021-08-06 青岛维轮智能装备有限公司 一种基于电场变换的无序电子镀覆设备
US11618951B2 (en) 2020-05-27 2023-04-04 Global Circuit Innovations Incorporated Chemical evaporation control system
CN114421318B (zh) * 2022-01-13 2023-10-03 湖南程微电力科技有限公司 一种用于户外的翻盖式安全型低压电缆分支箱

Family Cites Families (223)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2002A (en) * 1841-03-12 Tor and planter for plowing
US2004A (en) * 1841-03-12 Improvement in the manner of constructing and propelling steam-vessels
US640892A (en) * 1899-01-21 1900-01-09 Samuel Mawhinney Upright-piano action.
US1255395A (en) * 1916-05-05 1918-02-05 Arthur E Duram Liquid-separator and the like.
US1526644A (en) * 1922-10-25 1925-02-17 Williams Brothers Mfg Company Process of electroplating and apparatus therefor
US1881713A (en) * 1928-12-03 1932-10-11 Arthur K Laukel Flexible and adjustable anode
US2256274A (en) 1938-06-30 1941-09-16 Firm J D Riedel E De Haen A G Salicylic acid sulphonyl sulphanilamides
US3309263A (en) * 1964-12-03 1967-03-14 Kimberly Clark Co Web pickup and transfer for a papermaking machine
US3616284A (en) 1968-08-21 1971-10-26 Bell Telephone Labor Inc Processing arrays of junction devices
US3664933A (en) 1969-06-19 1972-05-23 Udylite Corp Process for acid copper plating of zinc
US3727620A (en) 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3930693A (en) * 1970-05-22 1976-01-06 The Torrington Company Full complement bearing having preloaded hollow rollers
US3716462A (en) 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3798033A (en) * 1971-05-11 1974-03-19 Spectral Data Corp Isoluminous additive color multispectral display
US3930963A (en) 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
BE791401A (fr) 1971-11-15 1973-05-14 Monsanto Co Compositions et procedes electrochimiques
US3798003A (en) * 1972-02-14 1974-03-19 E Ensley Differential microcalorimeter
DE2244434C3 (de) 1972-09-06 1982-02-25 Schering Ag, 1000 Berlin Und 4619 Bergkamen Wäßriges Bad zur galvanischen Abscheidung von Gold und Goldlegierungen
US4022679A (en) 1973-05-10 1977-05-10 C. Conradty Coated titanium anode for amalgam heavy duty cells
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4000046A (en) 1974-12-23 1976-12-28 P. R. Mallory & Co., Inc. Method of electroplating a conductive layer over an electrolytic capacitor
US4072557A (en) * 1974-12-23 1978-02-07 J. M. Voith Gmbh Method and apparatus for shrinking a travelling web of fibrous material
US3953265A (en) 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4046105A (en) * 1975-06-16 1977-09-06 Xerox Corporation Laminar deep wave generator
US4032422A (en) 1975-10-03 1977-06-28 National Semiconductor Corporation Apparatus for plating semiconductor chip headers
US4030015A (en) 1975-10-20 1977-06-14 International Business Machines Corporation Pulse width modulated voltage regulator-converter/power converter having push-push regulator-converter means
US4165252A (en) 1976-08-30 1979-08-21 Burroughs Corporation Method for chemically treating a single side of a workpiece
US4137867A (en) * 1977-09-12 1979-02-06 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4134802A (en) 1977-10-03 1979-01-16 Oxy Metal Industries Corporation Electrolyte and method for electrodepositing bright metal deposits
US4132567A (en) * 1977-10-13 1979-01-02 Fsi Corporation Apparatus for and method of cleaning and removing static charges from substrates
US4170959A (en) 1978-04-04 1979-10-16 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4341629A (en) 1978-08-28 1982-07-27 Sand And Sea Industries, Inc. Means for desalination of water through reverse osmosis
US4246088A (en) * 1979-01-24 1981-01-20 Metal Box Limited Method and apparatus for electrolytic treatment of containers
US4276855A (en) 1979-05-02 1981-07-07 Optical Coating Laboratory, Inc. Coating apparatus
US4222834A (en) 1979-06-06 1980-09-16 Western Electric Company, Inc. Selectively treating an article
SU921124A1 (ru) 1979-06-19 1982-04-15 Институт Физико-Химических Основ Переработки Минерального Сырья Со Ан Ссср Способ металлизации отверстий печатных плат
US4286541A (en) 1979-07-26 1981-09-01 Fsi Corporation Applying photoresist onto silicon wafers
JPS56102590A (en) 1979-08-09 1981-08-17 Koichi Shimamura Method and device for plating of microarea
US4422915A (en) 1979-09-04 1983-12-27 Battelle Memorial Institute Preparation of colored polymeric film-like coating
US4238310A (en) 1979-10-03 1980-12-09 United Technologies Corporation Apparatus for electrolytic etching
US4259166A (en) * 1980-03-31 1981-03-31 Rca Corporation Shield for plating substrate
US4437943A (en) * 1980-07-09 1984-03-20 Olin Corporation Method and apparatus for bonding metal wire to a base metal substrate
DE47132T1 (de) * 1980-09-02 1983-01-20 Heraeus Quarzschmelze Gmbh, 6450 Hanau Verfahren und geraet zum ueberfuehren von gegenstaenden zwischen traggliedern.
US4323433A (en) 1980-09-22 1982-04-06 The Boeing Company Anodizing process employing adjustable shield for suspended cathode
US4443117A (en) 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
SE8101046L (sv) 1981-02-16 1982-08-17 Europafilm Anordning vid anleggningar, serskilt for matrisering av grammofonskivor och dylikt
US4360410A (en) 1981-03-06 1982-11-23 Western Electric Company, Inc. Electroplating processes and equipment utilizing a foam electrolyte
JPS57198315U (ja) * 1981-06-12 1982-12-16
JPS584382A (ja) 1981-06-26 1983-01-11 ファナック株式会社 工業用ロボツトの制御方式
US4378283A (en) * 1981-07-30 1983-03-29 National Semiconductor Corporation Consumable-anode selective plating apparatus
US4384930A (en) 1981-08-21 1983-05-24 Mcgean-Rohco, Inc. Electroplating baths, additives therefor and methods for the electrodeposition of metals
US4463503A (en) 1981-09-29 1984-08-07 Driall, Inc. Grain drier and method of drying grain
JPS58154842A (ja) 1982-02-03 1983-09-14 Konishiroku Photo Ind Co Ltd ハロゲン化銀カラ−写真感光材料
LU83954A1 (de) * 1982-02-17 1983-09-02 Arbed Verfahren zum erhoehen der kuehlstoffsaetze beim herstellen von stahl durch sauerstoffaufblasen
JPS58149189A (ja) * 1982-03-01 1983-09-05 セイコーインスツルメンツ株式会社 工業用ロボツトの旋回昇降機構
US4440597A (en) 1982-03-15 1984-04-03 The Procter & Gamble Company Wet-microcontracted paper and concomitant process
US4475823A (en) 1982-04-09 1984-10-09 Piezo Electric Products, Inc. Self-calibrating thermometer
US4449885A (en) 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4451197A (en) 1982-07-26 1984-05-29 Advanced Semiconductor Materials Die Bonding, Inc. Object detection apparatus and method
US4439244A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4838289A (en) 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4439243A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4585539A (en) 1982-08-17 1986-04-29 Technic, Inc. Electrolytic reactor
US4541895A (en) 1982-10-29 1985-09-17 Scapa Inc. Papermakers fabric of nonwoven layers in a laminated construction
DE3240330A1 (de) * 1982-10-30 1984-05-03 Eberhard Hoesch & Söhne Metall und Kunststoffwerk GmbH & Co, 5166 Kreuzau Badebecken mit wirbelduesen
US4982753A (en) * 1983-07-26 1991-01-08 National Semiconductor Corporation Wafer etching, cleaning and stripping apparatus
US4529480A (en) 1983-08-23 1985-07-16 The Procter & Gamble Company Tissue paper
US4469566A (en) 1983-08-29 1984-09-04 Dynamic Disk, Inc. Method and apparatus for producing electroplated magnetic memory disk, and the like
US4864239A (en) 1983-12-05 1989-09-05 General Electric Company Cylindrical bearing inspection
US4466864A (en) 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US4500394A (en) 1984-05-16 1985-02-19 At&T Technologies, Inc. Contacting a surface for plating thereon
US4634503A (en) * 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
US4544446A (en) 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
DE8430403U1 (de) 1984-10-16 1985-04-25 Gebr. Steimel, 5202 Hennef Zentrifugiervorrichtung
US4639028A (en) * 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3500005A1 (de) 1985-01-02 1986-07-10 ESB Elektrostatische Sprüh- und Beschichtungsanlagen G.F. Vöhringer GmbH, 7758 Meersburg Beschichtungskabine zum ueberziehen der oberflaeche von werkstuecken mit beschichtungspulver
US4600463A (en) * 1985-01-04 1986-07-15 Seiichiro Aigo Treatment basin for semiconductor material
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
US4685414A (en) 1985-04-03 1987-08-11 Dirico Mark A Coating printed sheets
US4576685A (en) * 1985-04-23 1986-03-18 Schering Ag Process and apparatus for plating onto articles
JPS61178187U (ja) 1985-04-26 1986-11-06
US4648944A (en) 1985-07-18 1987-03-10 Martin Marietta Corporation Apparatus and method for controlling plating induced stress in electroforming and electroplating processes
US4664133A (en) 1985-07-26 1987-05-12 Fsi Corporation Wafer processing machine
US4760671A (en) 1985-08-19 1988-08-02 Owens-Illinois Television Products Inc. Method of and apparatus for automatically grinding cathode ray tube faceplates
FR2587915B1 (fr) 1985-09-27 1987-11-27 Omya Sa Dispositif pour la mise en contact de fluides se presentant sous la forme de phases differentes
JPH0444216Y2 (ja) 1985-10-07 1992-10-19
US4949671A (en) 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
JPH088723B2 (ja) * 1985-11-02 1996-01-29 日立機電工業株式会社 リニアモ−タを用いた搬送装置
US4715934A (en) 1985-11-18 1987-12-29 Lth Associates Process and apparatus for separating metals from solutions
US4761214A (en) 1985-11-27 1988-08-02 Airfoil Textron Inc. ECM machine with mechanisms for venting and clamping a workpart shroud
US4687552A (en) 1985-12-02 1987-08-18 Tektronix, Inc. Rhodium capped gold IC metallization
US4849054A (en) 1985-12-04 1989-07-18 James River-Norwalk, Inc. High bulk, embossed fiber sheet material and apparatus and method of manufacturing the same
AU602673B2 (en) * 1985-12-24 1990-10-25 Gould Electronics Inc Electroplating metal foil
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4924890A (en) 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPH0768639B2 (ja) * 1986-12-10 1995-07-26 トヨタ自動車株式会社 電着塗装方法
JPH0815582B2 (ja) * 1987-02-28 1996-02-21 本田技研工業株式会社 車体の表面処理方法
US4773436A (en) * 1987-03-09 1988-09-27 Cantrell Industries, Inc. Pot and pan washing machines
DD260260A1 (de) 1987-05-04 1988-09-21 Polygraph Leipzig Rotationshefteinrichtung mit separat angetriebenem heftkopf
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US6139708A (en) * 1987-08-08 2000-10-31 Nissan Motor Co., Ltd. Dip surface-treatment system and method of dip surface-treatment using same
JP2624703B2 (ja) * 1987-09-24 1997-06-25 株式会社東芝 バンプの形成方法及びその装置
US4781800A (en) 1987-09-29 1988-11-01 President And Fellows Of Harvard College Deposition of metal or alloy film
DE3735449A1 (de) * 1987-10-20 1989-05-03 Convac Gmbh Fertigungssystem fuer halbleitersubstrate
AT389959B (de) * 1987-11-09 1990-02-26 Sez Semiconduct Equip Zubehoer Vorrichtung zum aetzen von scheibenfoermigen gegenstaenden, insbesondere von siliziumscheiben
US4828654A (en) * 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US4868992A (en) 1988-04-22 1989-09-26 Intel Corporation Anode cathode parallelism gap gauge
US4902398A (en) 1988-04-27 1990-02-20 American Thim Film Laboratories, Inc. Computer program for vacuum coating systems
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US4988533A (en) 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
DE3818757A1 (de) * 1988-05-31 1989-12-07 Mannesmann Ag Portal eines industrieroboters
US4959278A (en) 1988-06-16 1990-09-25 Nippon Mining Co., Ltd. Tin whisker-free tin or tin alloy plated article and coating technique thereof
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
JPH0264646A (ja) * 1988-08-31 1990-03-05 Toshiba Corp レジストパターンの現像方法及びこの方法に使用する現像装置
JPH03125453A (ja) * 1989-10-09 1991-05-28 Toshiba Corp 半導体ウエハ移送装置
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5115430A (en) 1990-09-24 1992-05-19 At&T Bell Laboratories Fair access of multi-priority traffic to distributed-queue dual-bus networks
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5135636A (en) 1990-10-12 1992-08-04 Microelectronics And Computer Technology Corporation Electroplating method
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
DE69220519T2 (de) 1991-03-04 1998-02-19 Toda Kogyo Corp Verfahren zur Plattierung eines Verbundmagneten sowie Verbundmagnet mit einem Metallüberzug
US5178512A (en) * 1991-04-01 1993-01-12 Equipe Technologies Precision robot apparatus
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5209817A (en) 1991-08-22 1993-05-11 International Business Machines Corporation Selective plating method for forming integral via and wiring layers
US5399564A (en) * 1991-09-03 1995-03-21 Dowelanco N-(4-pyridyl or 4-quinolinyl) arylacetamide and 4-(aralkoxy or aralkylamino) pyridine pesticides
JPH05190475A (ja) * 1992-01-08 1993-07-30 Nec Corp シリコン酸化膜成長装置
JP2888001B2 (ja) * 1992-01-09 1999-05-10 日本電気株式会社 金属メッキ装置
US5217586A (en) * 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5501768A (en) * 1992-04-17 1996-03-26 Kimberly-Clark Corporation Method of treating papermaking fibers for making tissue
ATE129361T1 (de) * 1992-08-04 1995-11-15 Ibm Fertigungsstrasse architektur mit vollautomatisierten und rechnergesteuerten fördereinrichtungen geeignet für abdichtbaren tragbaren unter druck stehenden behältern.
US5372848A (en) 1992-12-24 1994-12-13 International Business Machines Corporation Process for creating organic polymeric substrate with copper
US5684713A (en) 1993-06-30 1997-11-04 Massachusetts Institute Of Technology Method and apparatus for the recursive design of physical structures
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
JP3194823B2 (ja) 1993-09-17 2001-08-06 富士通株式会社 Cadライブラリモデルの作成装置
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
DE9404771U1 (de) * 1994-03-21 1994-06-30 Thyssen Aufzuege Gmbh Verriegelungsvorrichtung
JP3388628B2 (ja) * 1994-03-24 2003-03-24 東京応化工業株式会社 回転式薬液処理装置
JP3146841B2 (ja) * 1994-03-28 2001-03-19 信越半導体株式会社 ウエーハのリンス装置
KR100284559B1 (ko) * 1994-04-04 2001-04-02 다카시마 히로시 처리방법 및 처리장치
JPH07283077A (ja) * 1994-04-11 1995-10-27 Ngk Spark Plug Co Ltd 薄膜コンデンサ
JP3621151B2 (ja) * 1994-06-02 2005-02-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3143770B2 (ja) * 1994-10-07 2001-03-07 東京エレクトロン株式会社 基板搬送装置
US5625233A (en) 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
US5593545A (en) * 1995-02-06 1997-01-14 Kimberly-Clark Corporation Method for making uncreped throughdried tissue products without an open draw
JPH08238463A (ja) * 1995-03-03 1996-09-17 Ebara Corp 洗浄方法及び洗浄装置
US5549808A (en) 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US6042712A (en) * 1995-05-26 2000-03-28 Formfactor, Inc. Apparatus for controlling plating over a face of a substrate
US5741435A (en) 1995-08-08 1998-04-21 Nano Systems, Inc. Magnetic memory having shape anisotropic magnetic elements
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5807469A (en) 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
KR0182006B1 (ko) 1995-11-10 1999-04-15 김광호 반도체 패키지 장치 및 몰딩물질에 의해 발생하는 기생용량의 산출방법
US5597460A (en) 1995-11-13 1997-01-28 Reynolds Tech Fabricators, Inc. Plating cell having laminar flow sparger
US5877829A (en) * 1995-11-14 1999-03-02 Sharp Kabushiki Kaisha Liquid crystal display apparatus having adjustable viewing angle characteristics
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5681392A (en) * 1995-12-21 1997-10-28 Xerox Corporation Fluid reservoir containing panels for reducing rate of fluid flow
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US6162488A (en) 1996-05-14 2000-12-19 Boston University Method for closed loop control of chemical vapor deposition process
US6350319B1 (en) * 1998-03-13 2002-02-26 Semitool, Inc. Micro-environment reactor for processing a workpiece
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US5989397A (en) 1996-11-12 1999-11-23 The United States Of America As Represented By The Secretary Of The Air Force Gradient multilayer film generation process control
AUPO473297A0 (en) 1997-01-22 1997-02-20 Industrial Automation Services Pty Ltd Coating thickness control
DE69703798T2 (de) 1997-02-03 2001-08-02 Okuno Chem Ind Co Verfahren zum elektrobeschichten nichtleitender materialien
US6090260A (en) * 1997-03-31 2000-07-18 Tdk Corporation Electroplating method
JP3405517B2 (ja) * 1997-03-31 2003-05-12 ティーディーケイ株式会社 電気めっき方法及び装置
JPH10303106A (ja) * 1997-04-30 1998-11-13 Toshiba Corp 現像処理装置およびその処理方法
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US5999886A (en) 1997-09-05 1999-12-07 Advanced Micro Devices, Inc. Measurement system for detecting chemical species within a semiconductor processing device chamber
US5882498A (en) 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6168693B1 (en) * 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6151532A (en) 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
TW593731B (en) 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6025600A (en) * 1998-05-29 2000-02-15 International Business Machines Corporation Method for astigmatism correction in charged particle beam systems
US6228232B1 (en) 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6132587A (en) * 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6201240B1 (en) * 1998-11-04 2001-03-13 Applied Materials, Inc. SEM image enhancement using narrow band detection and color assignment
US6190234B1 (en) * 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
JP4288010B2 (ja) 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US7351315B2 (en) * 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8069750B2 (en) 2007-08-09 2011-12-06 Ksr Technologies Co. Compact pedal assembly with improved noise control

Also Published As

Publication number Publication date
EP1192298A2 (en) 2002-04-03
CN1296524C (zh) 2007-01-24
US20040099533A1 (en) 2004-05-27
US20020008037A1 (en) 2002-01-24
US6569297B2 (en) 2003-05-27
US20050109628A1 (en) 2005-05-26
WO2000061837A9 (en) 2002-01-03
JP2002541326A (ja) 2002-12-03
CN1353778A (zh) 2002-06-12
CN1217034C (zh) 2005-08-31
CN1353779A (zh) 2002-06-12
WO2000061498A2 (en) 2000-10-19
US20040055877A1 (en) 2004-03-25
US20050109625A1 (en) 2005-05-26
EP1192298A4 (en) 2006-08-23
TWI226387B (en) 2005-01-11
US20050109629A1 (en) 2005-05-26
KR20020016772A (ko) 2002-03-06
TW527444B (en) 2003-04-11
EP1194613A1 (en) 2002-04-10
US20050167265A1 (en) 2005-08-04
WO2000061837A1 (en) 2000-10-19
US6660137B2 (en) 2003-12-09
KR100707121B1 (ko) 2007-04-16
WO2000061498A3 (en) 2001-01-25
US7267749B2 (en) 2007-09-11
US20050109633A1 (en) 2005-05-26
US20020079215A1 (en) 2002-06-27
EP1194613A4 (en) 2006-08-23
JP2002541334A (ja) 2002-12-03
US7566386B2 (en) 2009-07-28
KR20020016771A (ko) 2002-03-06
US20050224340A1 (en) 2005-10-13
KR100695660B1 (ko) 2007-03-19
JP4288010B2 (ja) 2009-07-01

Similar Documents

Publication Publication Date Title
JP4219562B2 (ja) ワークピースを電気化学的に処理するためのシステム
US7332066B2 (en) Apparatus and method for electrochemically depositing metal on a semiconductor workpiece
US7857958B2 (en) Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US7264698B2 (en) Apparatus and methods for electrochemical processing of microelectronic workpieces
US20050000818A1 (en) Method, chemistry, and apparatus for noble metal electroplating on a microelectronic workpiece
US20050061676A1 (en) System for electrochemically processing a workpiece
US7438788B2 (en) Apparatus and methods for electrochemical processing of microelectronic workpieces

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050518

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080602

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080901

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081014

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081112

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111121

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121121

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121121

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121121

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131121

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees