JP2002541334A - 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置 - Google Patents

処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置

Info

Publication number
JP2002541334A
JP2002541334A JP2000610882A JP2000610882A JP2002541334A JP 2002541334 A JP2002541334 A JP 2002541334A JP 2000610882 A JP2000610882 A JP 2000610882A JP 2000610882 A JP2000610882 A JP 2000610882A JP 2002541334 A JP2002541334 A JP 2002541334A
Authority
JP
Japan
Prior art keywords
processing
workpiece
fluid flow
chamber
flow chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000610882A
Other languages
English (en)
Other versions
JP2002541334A5 (ja
JP4288010B2 (ja
Inventor
グレゴリー ジェイ ウィルソン
カイル エム ハンソン
ポール アール ムチュー
Original Assignee
セミトゥール・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by セミトゥール・インコーポレイテッド filed Critical セミトゥール・インコーポレイテッド
Publication of JP2002541334A publication Critical patent/JP2002541334A/ja
Publication of JP2002541334A5 publication Critical patent/JP2002541334A5/ja
Application granted granted Critical
Publication of JP4288010B2 publication Critical patent/JP4288010B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S204/00Chemistry: electrical and wave energy
    • Y10S204/07Current distribution within the bath

Abstract

(57)【要約】 超小型加工物の少なくとも一方の表面の浸漬処理の際に処理流体の流れを提供する処理容器(610)が開示される。処理容器は、処理流体の流れを加工物の少なくとも一方の表面にもたらす主流体流れチャンバ(505)と、処理流体の流れを主流体流れチャンバに提供するよう配置された複数のノズル(535)とを有する。複数のノズルは、加工物の表面を半径方向に横切って実質的に一様な垂直流れ成分を生じさせるよう結合する垂直方向及び半径方向流体流れ成分を提供するような配向状態で設けられている。かかる処理容器を用いていて、特に電気めっきを実施するよう改造された例示の装置も又、開示される。本発明の別の特徴によれば、超小型加工物の浸漬処理中に流体を主流体流れチャンバから抜き出す改良型流体除去経路(640)が設けられる。

Description

【発明の詳細な説明】
【0001】 〔関連出願の説明〕 本願は、1999年4月13日に出願された以下の米国仮特許出願第60/1
29,055号(発明の名称:WORKPIECE PROCESSOR HAVING IMPROVED PROCESSI
NG CHAMBER)、(代理人事件番号:SEM4492P0830US)、1999
年7月12に出願された第60/143,769号(発明の名称:WORKPIECE PR
OCESSOR HAVING IMPROVED PROCESSING CHAMBER)、(代理人事件番号:SEM4
492P0831US)及び2000年2月14日に出願された第60/182
,160号(発明の名称:WORKPIECE PROCESSOR HAVING IMPROVED PROCESSING C
HAMBER)、(代理人事件番号:SEM4492P0832US)の優先権主張出
願である。
【0002】 〔発明の背景〕 超小型電子加工物、例えば半導体ウェーハ基板、ポリマー基板等からの超小型
電子部品の製造では、相当多岐にわたる処理が行われる。本願の開示内容の目的
上、超小型加工物は、超小型回路又は素子、データ記憶素子又は層及び(又は)
超小型機械要素が形成される基板から形成された加工物を含むものとする。
【0003】 超小型部品を製造するには、多種多様な処理作業が加工物に対して行われる。
かかる作業としては、例えば、物質堆積又は成膜、パターン形成、ドーピング、
化学的機械研磨(CMP)、電界研磨、熱処理が挙げられる。物質堆積法では、
薄い材料の層を加工物の表面に堆積させる。パターン形成では、これら追加した
層の選択された部分を除去する。超小型加工物のドーピングは、「ドーパント」
と呼ばれる不純物を超小型加工物の選択された部分に付加して基板材料の電気的
性質を変える処理方法である。超小型加工物の熱処理では、特定の処理結果が得
られるよう超小型加工物を加熱すると共に(或いは)冷却する。化学的機械研磨
では、化学的プロセスと機械的プロセスの組み合わせにより材料を除去し、電気
めっきでは、電気化学反応を用いて加工物表面から材料を除去する。
【0004】 処理「ツール」と呼ばれている多くの処理装置が、上述の処理作業を実行する
ために開発された。これらツールは、製造方法及びツールによって行われる1又
は複数の処理で用いられる加工物の性状に応じて種々の形態をとっている。Equi
nox(R)湿式処理ツールとして知られていて、モンタナのカリスペル所在のセミツ
ール・インコーポレーテッドから入手できる1つの形態のツールは、加工物ホル
ダ及び湿式処理作業を実行する処理ボウル又は容器を利用する1又は2以上の加
工物処理ステーションを有している。かかる湿式処理作業は、電気めっき、エッ
チング、洗浄、無電気(無電解)めっき、電界研磨等を含む。
【0005】 上述のEquinox(R)ツールの一形態によれば、加工物ホルダ及び処理容器は、互
いに近接して配置されていて、加工物ホルダによって保持された超小型加工物と
処理容器内に入れられた処理流体に接触させるよう機能し、それにより処理チャ
ンバを形成する。しかしながら、処理流体を加工物の適当な部分に限定すること
は問題を生じる場合が多い。さらに、処理流体と加工物の表面との間の適正な物
質移動を確保することは困難な場合がある。かかる物質移動の制御を行わなけれ
ば、加工物表面の処理は一様にならない場合が多い。
【0006】 従来型加工物処理装置は、処理流体を加工物の表面に制御された方法で接触さ
せる種々の技術を利用している。例えば、処理流体を制御されたスプレーを用い
て加工物の表面に接触させる場合がある。他形式の方法、例えば、部分又は完全
浸漬処理法では、処理流体は浴内に存在したままであり、加工物の少なくとも一
方の表面を処理流体の表面と接触させ又はその表面下に配置する。電気めっき、
無電気めっき、エッチング、洗浄、陽極化成等は、かかる部分又は完全浸漬処理
法の例である。
【0007】 既存の処理容器は、処理チャンバの底部のところに設けられた1又は2以上の
入口を通って処理室に連続した処理溶液の流れをもたらす場合が多い。拡散層条
件の厚さ及び一様性を制御するために加工物表面上に処理溶液を均等に分布させ
ることは、例えば1又は2以上の入口と加工物表面との間に配置されたディフュ
ーザ等によって容易になる。かかるシステムの全体構成が図1Aに示されている
。ディフューザ1は、処理流体入口3から送られる流体の流れを加工物4の表面
全体にわたってできるだけ均等に分配するよう設けられた複数の孔2を有してい
る。
【0008】 拡散層制御における大幅な技術的進歩がディフューザの使用により得られたが
、かかる制御には制約がある。図1Aを参照すると、ディフューザ1の使用にも
かかわらず、超小型加工物の表面に垂直な流れ速度の増大した局所領域5が依然
として存在する場合が多い。これら局所領域は一般に、ディフューザ1の孔2と
一致している。この効果は、ディフューザ1を超小型加工物4に近づけて配置す
ると高まる。というのは、流体がディフューザから加工物に移動する際の流体の
可能分配距離が短くなるからである。このように拡散距離を短くすると、その結
果として、処理流体の流れが局所領域5のところに一層集中するようになる。
【0009】 本発明者は、加工物の表面のところの流れ速度が増大したこれら局所領域が、
拡散層条件に影響を及ぼし、その結果として、加工物の表面の非一様な処理が行
われる恐れがあることを発見した。拡散層は、加工物表面の他の領域と比べた時
に局所領域5のところにおいて薄くなる傾向がある。表面の反応は、拡散層の厚
さが減少した局所領域において高速で生じ、その結果、加工物の半径方向に非一
様な処理が行われることになる。ディフューザの穴パターン形状もまた、電気化
学的処理、例えば電気めっきにおいて電界の分布に影響を及ぼし、その結果これ
また同様に、加工物表面の非一様な処理(例えば、電気めっき材料の非一様な堆
積)が生じる場合がある。
【0010】 加工物の浸漬処理でしばしば起こるもう1つの問題は、加工物の表面のところ
での気泡の閉じ込めに起因する拡散層の分断である。気泡は、処理機器の給排水
及び圧送システム中で作られて処理チャンバに入る場合があり、この処理チャン
バ内で、処理中の加工物の表面上の箇所に移動する。処理は、例えば拡散層の分
断によりこれら箇所のところでは行われない。
【0011】 超小型回路及び素子の製造業者が製造対象の素子及び回路のサイズを減少させ
るにつれて、処理溶液と加工物表面との間の拡散層条件の一層厳密な管理の必要
性が一段と重要になっている。この目的のため、本発明者は、現在超小型部品製
造業界で用いられている加工物処理ツールに存在する拡散層の非一様性及び外乱
の問題を解決する改良型処理チャンバを開発した。以下に説明する改良型処理チ
ャンバは、電気めっき向きの特定の実施形態と関連して説明しているが、この改
良型チャンバは、加工物の表面全体にわたるプロセスの一様性が望まれる任意の
加工物処理ツールに用いることができるということは理解されよう。
【0012】 〔発明の概要〕 超小型加工物の少なくとも一方の表面の浸漬処理の際に処理流体の流れを提供
する処理容器が開示される。処理容器は、処理流体の流れを加工物の少なくとも
一方の表面にもたらす主流体流れチャンバと、処理流体の流れを主流体流れチャ
ンバに提供するよう配置された複数のノズルとを有する。複数のノズルは、加工
物の表面を半径方向に横切って実質的に一様な垂直流れ成分を生じさせるよう結
合する垂直方向及び半径方向流体流れ成分を提供するような配向状態で設けられ
ている。かかる処理容器を用いていて、電気化学処理、例えば電気めっきを実施
するよう改造された例示の装置も又、開示される。本発明の別の特徴によれば、
超小型加工物の浸漬処理中に流体を主流体流れチャンバから抜き出す改良型流体
除去経路が設けられる。
【0013】 本発明の更に別の特徴によれば、超小型加工物を浸漬処理する反応器であって
、処理流体入口を備えた処理容器を有し、処理流体が、処理流体入口を通って処
理容器内に流れるようになっている反応器が開示される。処理容器は、堰を形成
する上方リムを更に有し、処理流体が、堰を越えて処理容器から流出するように
なっている。少なくとも1つの螺旋流れチャンバが、堰を越えて処理容器から流
出した処理流体を受け取るよう処理容器の外部に設けられている。かかる構成は
、反応器の部分から使用済み処理流体を除去すると同時に除去中の乱流を減少さ
せるのに役立ち、もし乱流が生じると、空気が流体の流れ中に同伴され、或いは
空気と処理流体との望ましくない度合いの接触が生じることになる。
【0014】 〔実施形態の詳細な説明〕 反応器の基本構成要素 図1Bを参照すると、超小型加工物25、例えば半導体ウェーハを浸漬処理す
るための反応器組立体20が示されている。一般的に言って、反応器組立体20
は、反応器ヘッド30及び処理流体を収容する全体を符号37で示し、以下に相
当詳細に説明する対応の処理ベースで構成されている。具体的に示した実施形態
の反応器組立体は、特に、半導体ウェーハ等の加工物の電気化学処理を行うよう
になっている。しかしながら、図1Bの全体構成の反応器は、他のタイプの加工
物及び処理方法にも適していることは理解されよう。
【0015】 反応器組立体20の反応器ヘッド30は、静止組立体70及び回転又はロータ
組立体75で構成されたものであるのがよい。ロータ組立体75は、関連の超小
型加工物25を受け入れて支持し、この加工物を処理側を下に向けた状態で処理
ベース37内の処理容器内に位置決めし、そして、加工物を回転又はスピンさせ
るように構成されている。図示の特定の実施形態は電気めっき用なので、ロータ
組立体75は、超小型加工物の表面に電気めっき電力を供給するカソード接点組
立体85をさらに有している。しかしながら、反応器ヘッド30上の加工物の裏
側接点及び(又は)支持体を、図示の前側接点及び/支持体に代えて用いてもよ
いことは理解されよう。
【0016】 反応器ヘッド30は代表的には、持上げ/回転装置に取り付けられ、この持上
げ/回転装置は、反応器ヘッド30を、これがめっきされるべき超小型加工物を
受け入れる上向き位置から、めっきされるべき超小型加工物の表面が、これを処
理ベース37の処理容器内に入れられている処理流体と接触できるよう位置決め
される下向き位置に回転させるよう構成されている。好ましくはエンドエフェク
タのついたロボットアームが代表的には、超小型加工物25をロータ組立体75
上の定位置に配置したり、めっき済みの超小型加工物をロータ組立体内から取り
出すために用いられる。超小型加工物の積込み中、組立体85を超小型加工物を
ロータ組立体75上に配置できる開放状態と、超小型加工物を次に行う処理のた
めにロータ組立体に固定する閉鎖状態との間で動作させることができる。電気め
っき用反応器の場合、かかる作業はまた、接点組立体85の導電性部品をめっき
されるようになっている超小型加工物の表面に電気的に係合させる。
【0017】 他の形態をした反応器組立体を本明細書において開示する反応器チャンバに関
する本発明の特徴と共に用いることができ、以下は例示に過ぎないことは理解さ
れよう。 処理容器 図2は、処理ベース37の基本構成及びこれに対応して処理容器構造から得ら
れる等流れ速度パターンを示している。図示のように、処理ベース37は主要構
成要素として、主流体流れチャンバ505、副チャンバ510、流体入口515
、プレナム520、プレナム520と副チャンバ510とを分離するフローディ
フューザ525及びプレナム520と主流体流れチャンバ505を分離するノズ
ル/スロット組立体530を有している。これら構成要素は、超小型加工物25
のところに実質的に半径方向に独立した垂直成分を持つ流れ(ここでは、電気め
っき溶液の流れ)を生じさせるよう互いに協働する。図示の実施形態では、衝突
流の中心は中央軸線537に位置し、この衝突流は、超小型加工物25の表面に
垂直なほぼ一様な成分を備えている。この結果、超小型加工物表面に対して実質
的に一様な質量束が与えられ、これにより、その実質的に一様な処理が可能とな
る。
【0018】 処理流体は、容器35の底部のところに設けられた流体入口515を通って提
供される。流体入口515からの流体は流体入口から比較的高速で副チャンバ5
10中へ差し向けられる。図示の実施形態では、副チャンバ510は、加速チャ
ネル540を有し、処理流体はこの加速チャネル540を通って流体入口515
から副チャンバ510の流体流れ領域545に向かって半径方向に流れる。流体
流れ領域545は、全体として逆U字形の断面を有し、これは、加速チャネル5
40に近いその入口領域よりもフローディフューザ525に近いその出口領域の
ところの方が実質的に幅が広い。断面のこの変化により、処理流体が主流体流れ
チャンバ505に入る前に、気泡が処理流体から取り出されやすくなる。もしそ
うでなければ主流体流れチャンバ505に入った気泡は、副チャンバ510の上
方部分に設けられたガス出口(図2には示していないが、図3〜図5の実施形態
においては示されている)を通って処理ベース37から出る。
【0019】 副チャンバ510内の処理流体は最終的には、主流体流れチャンバ505に供
給される。この目的のため、まず最初に、処理流体を副チャンバ510の比較的
高圧領域550からフローディフューザ525を通って比較的低圧のプレナム5
20に流れるよう差し向ける。ノズル組立体530は、水平線に対して僅かな角
度をなして設けられた複数のノズル又はスロット535を有している。処理流体
は、垂直方向及び半径方向の流体速度成分を持ってノズル535を通ってプレナ
ム520から出る。
【0020】 主流体流れチャンバ505は、異形側壁560及び傾斜側壁565によってそ
の上方部分が形成されている。異形側壁560は、処理流体がノズル535(特
に、最も上に位置するノズル)を出て超小型加工物25の表面の方に向きを変え
る際の流体の流れの剥離を防止するのを助ける。流体の流れの剥離は、ブレーク
ポイント570を越えたところでは法線流れの一様性に実質的に影響を及ぼさな
いであろう。したがって、傾斜側壁565は一般に任意の形状を有してもよく、
かかる形状としては、異形側壁560の形状の延長をなすものが挙げられる。本
明細書において開示する特定の実施形態では、側壁565は傾斜していて、電気
化学処理を含む用途では、1又は2以上のアノード/電気導体を支持するのに用
いられる。
【0021】 処理流体は、全体として環状の出口572を通って主流体流れチャンバ505
から流出する。環状出口572から出た流体を処分のために別の外部チャンバに
送ってもよく、或いは、処理流体供給系を通って再循環できるよう補給してもよ
い。
【0022】 処理ベース37が電気めっき反応器の一部をなす場合には、処理ベース37は
、1又は2以上のアノードを備える。図示の実施形態では、中央アノード580
は、主流体流れチャンバ505の下方部分内に設けられている。超小型加工物2
5の表面の周縁部が異形側壁560の広がりを半径方向に越えて延びる場合、周
縁部は、中央アノード580から電気的に絶縁され、これら領域中のめっきの度
合が減少することになろう。しかしながら、周辺部のめっきが望ましい場合、1
又は2以上の別のアノードを周辺部に近接して用いるのがよい。本実施形態では
、複数の環状アノード585が、周辺部への電気めっき電流の流れを生じさせる
よう傾斜側壁565上に全体として同心状に設けられている。変形実施形態では
、異形側壁から超小型加工物の縁部への遮蔽を行わないで単一アノード又は複数
のアノードが設けられる。
【0023】 アノード580,585には種々の方法で電気めっき電力を供給することがで
きる。例えば、同一又は異なるレベルの電気めっき電力をアノード580,58
5に多重化するのがよい。変形例として、アノード580,585を全て同一電
源から同一レベルの電気めっき電力を受け取るよう接続してもよい。さらに、ア
ノード580,585をそれぞれ、めっき膜の抵抗のばらつきを補償するよう互
いに異なるレベルの電気めっき電力を受け取るよう接続してもよい。アノード5
85を超小型加工物25に密接して配置することによって得られる利点は、これ
により、各アノードから生じる半径方向膜成長の制御の度合が高くなるというこ
とである。
【0024】 望ましくないことに、ガスが処理システムを通って循環している時に処理流体
中に同伴される場合がある。これらガスは、気泡を生じさせる場合があり、これ
ら気泡は最終的には拡散層に至り、それにより、加工物の表面で生じる処理の一
様性を損なう。この問題を軽減すると共に主流体流れチャンバ505内への気泡
の流入の恐れを減少させるため、処理ベース37は、幾つかのユニークな特徴を
備えている。中央アノード580に関し、ベンチュリ流路590が、中央アノー
ド580の上側と、加速チャネル540の比較的低圧領域との間に設けられてい
る。中央軸線537に沿う流れ効果に望ましい影響を及ぼすことに加えて、この
流路が設けられていることにより、ベンチュリ効果が生じ、このベンチュリ効果
により、例えば中央アノード580の表面のところのチャンバの下方部分に位置
した表面に近接した処理流体を加速チャネル540に引き込まれ、またこのベン
チュリ効果は、気泡をアノードの表面から払うようにして取り除くのに役立つ。
具体的に説明すると、このベンチュリ効果は、中央軸線537に沿って超小型加
工物の表面の中央部分のところの衝突流の一様性に影響を及ぼす吸引流を生じさ
せる。同様に、処理流体は、チャンバの上方部分のところの表面、例えばアノー
ド585の表面を横切って環状出口572に向かって半径方向に流れ、それによ
り、かかる表面のところに存在している気泡を取り除く。さらに、超小型加工物
の表面のところの流体の流れの半径方向成分は、気泡をこれから払い取るのに役
立つ。
【0025】 反応器チャンバを通る図示の流れに関し多くの処理上の利点がある。図示のよ
うに、ノズル/スロット535を通る流れは、超小型加工物の表面から遠ざかる
ように差し向けられ、したがって、流体の流れ成分のうち拡散層の実質的一様性
を妨害する実質的な局所垂直流れ成分は存在しない。拡散層は完全には一様でな
い場合があるが、結果として生じる非一様性は比較的穏やかである。さらに、超
小型加工物を回転させる場合、処理上の目的を首尾一貫して達成しながら拡散層
中のかかる残存している非一様性を許容できる場合が多い。
【0026】 また、上述の設計の反応器から自明なように、超小型加工物に垂直な流れ成分
は、超小型加工物の中央の近くでは大きさが僅かに大きい。これにより、超小型
加工物が存在していない場合には何時でも(即ち、超小型加工物を流体中へ加工
させる前においては)ドーム状のメニスカスが生じる。ドーム状のメニスカスは
、超小型加工物を処理溶液中に加工させた時の気泡の閉じ込め量を最小限に抑え
るのに役立つ。
【0027】 ベンチュリ流路から得られる主流体流れチャンバ505の底部の流れは、その
中心線のところの流体の流れに影響を及ぼす。もしそのように構成していなけれ
ば、中心線に沿う流れ速度の実現及び制御は困難である。しかしながら、ベンチ
ュリ流の強さは、流れのこの特徴に影響を及ぼすのに用いられる場合のある設計
上あっても邪魔にならない(non-intrusive )変数となる。
【0028】 上述した設計の反応器のさらに別の利点は、かかる反応器が、チャンバ入口に
向かって進む気泡が超小型加工物に到達しないようにするのを助けることにある
。この目的のため、フローパターンは、溶液が主流体流れチャンバに入る直前に
下に移動するようなものである。したがって、気泡は、副チャンバ内に止まり、
その頂部のところに設けられた穴を通って逃げ出る。さらに、気泡は、ベンチュ
リ流路を覆う遮蔽体を用いることによりベンチュリ流路を通って主流体流れチャ
ンバに入るのが防止される(これについては、図3〜図5に示す反応器の実施形
態の説明を参照されたい)。さらに、副チャンバに通じる上方に傾斜した入口経
路(図5及びその説明文を参照されたい)は、気泡がベンチュリ流路を通って主
流体流れチャンバに入るのを防止する。
【0029】 図3〜図5は、特に半導体超小型加工物の電気化学的処理向きに構成された処
理チャンバ組立体610一式の具体的な構成を示している。より具体的には、図
示の実施形態は、電気めっき法を用いて一様な材料層を加工物の表面上に堆積さ
せるように特別に構成されている。
【0030】 図示のように、図1Bに示す処理ベース37は、処理チャンバ組立体610で
構成され、これと関連して外部カップ605が設けられている。処理チャンバ組
立体610は、外部カップ605が、処理チャンバ組立体610からオーバーフ
ローした使用済み処理流体を受け入れることができるよう外部カップ605内に
設けられている。フランジ615が、例えば対応関係をなすツールのフレームに
固定できるよう組立体610の周りに延びている。
【0031】 特に図4及び図5を参照すると、外部カップ605のフランジは、反応器ヘッ
ド30のロータ組立体75(図1Bに示す)に係合し又は別の方法で受け入れ、
超小型加工物25と主流体流れチャンバ505内の処理溶液、例えば電気めっき
溶液とを接触させることができるよう形づくられている。外部カップ605は、
ドレンカップ部材627が収納される主円筒形ハウジング625をさらに有して
いる。ドレンカップ部材627は、チャネル629を備えた外面を有し、これら
チャネルは、主円筒形ハウジング625の内壁と協働して1又は2以上の螺旋流
れチャンバ640を形成し、かかる螺旋流れチャンバは、処理溶液の出口として
役立つ。処理カップ35の頂部のところに設けられた堰部材739をオーバーフ
ローした処理流体は、螺旋流れチャンバ640内へ流れ、そして出口(図示せず
)から出て、ここで処分されるか或いは補給及び再循環される。この形態は、流
体再循環方式のシステムに特に適している。というのは、この形態は、ガスと処
理溶液との混合の度合を減少させるのに役立ち、それにより、気泡が加工物表面
のところの拡散層の均一性を妨害する恐れを減少させるからである。
【0032】 図示の実施形態では、副チャンバ510は、複数の別々の部品の壁によって構
成される。具体的に説明すると、副チャンバ510は、ドレンカップ部材627
、アノード支持部材697の内壁、中間チャンバ部材690の内壁及び外壁、フ
ローディフューザ525の外壁によって構成されている。
【0033】 図3B及び図4は、上述の部品を結合して反応器を形成する方法を示している
。この目的のため、中間チャンバ部材690が、ドレンカップ部材627の内部
に設けられていて、複数の脚部支持体692を有し、これら脚部支持体は、その
底壁の上に載っている。アノード支持部材697は、ドレンカップ部材627の
内部にぐるりと設けられたフランジに係合する外壁を有している。アノード支持
部材697は、フローディフューザ525の上方部分に載った状態でこれに係合
するチャネル705及びノズル組立体530の上方リムに載った状態でこれに係
合する別のチャネル710をさらに有している。中間チャンバ部材690は、中
央に設けられた受け具715をさらに有し、この受け具は、ノズル組立体530
の下方部分を受け入れるような寸法に設定されている。同様に、環状チャネル7
25が、フローディフューザ525の下方部分に係合するよう環状受け具715
の半径方向外部に設けられている。
【0034】 図示の実施形態では、フローディフューザ525は、単一部品として形成され
ていて、複数の垂直方向に差し向けられたスロット670を有している。同様に
、ノズル組立体530は、単一部品として形成され、ノズル535を構成する複
数の水平方向に差し向けられたスロットを有している。
【0035】 アノード支持部材697は、環状アノード組立体785を受け入れるような寸
法に設定された複数の環状溝を有している。各アノード組立体785は、アノー
ド585(好ましくは、白金チタン又は他の不活性金属で作られている)及びア
ノード585の中央部分から延びる導管730を有し、この導管を通って、金属
導体を各組立体785のアノード585と外部電源を電気的に接続するよう設け
るのがよい。導管730は、処理チャンバ組立体610を完全に貫通するように
示されていて、その底部がそれぞれの取付け具733によって固定されている。
このように、アノード組立体785は、アノード支持部材697を効果的に下方
に押してフローディフューザ525、ノズル組立体530、中間チャンバ部材6
90及びドレン(部材)627を外部カップ605の底部737にクランプする
。これにより、処理チャンバ610の取付け取外しが容易になる。しかしながら
、他の手段を用いてチャンバの構成要素を互いに固定すると共に必要な電力をア
ノードに導いてもよいことは理解されよう。
【0036】 図示の実施形態は、アノード支持部材697の上方外部にスナップ動作で着脱
自在に嵌まり又は固定しやすいようになった堰部材739をさらに有している。
図示のように、堰部材739は、堰を形成するリム742を有し、この堰を越え
て、処理溶液が螺旋流れチャンバ640内に流入することができる。堰部材73
9は横方向に延びるフランジ744をさらに有し、かかるフランジは、半径方向
内方に延びていて、アノード585のうち1又は2以上のすべて又は一部を覆う
電界遮蔽体を形成している。堰部材739を容易に取り外して交換できるので、
処理チャンバ組立体610を種々の電界の形状を生じさせるよう容易に再構成し
たり改造することができる。かかる種々の電界形状は2種類以上のサイズ又は形
状の加工物を処理するよう反応器を構成しなければならないような場合に特に有
利である。さらに、これにより、反応器を、サイズが同一であるがめっき面積に
関する要件の異なる加工物に対応するよう構成することができる。
【0037】 アノード585が定位置に設けられたアノード支持部材697は、図2に示す
異形側壁560及び傾斜側壁565を形成する。上述のように、アノード支持部
材697の下方領域は、副チャンバ510の上方内壁を構成するような輪郭形状
になっており、好ましくは、1又は2以上のガス出口665を有し、これらガス
出口は、気泡が副チャンバ510から外部環境に出られるようアノード支持部材
を貫通して設けられている。
【0038】 特に図5を参照すると、流体入口515が、全体を符号810で示す入口流体
ガイドによって構成されており、この入口流体ガイドは、1又は2以上の締結具
815によって中間チャンバ部材690に固定されている。入口流体ガイド81
0は、複数の開放チャネル817を有し、これらチャネルは、流体入口515の
ところで受け取った流体を中間チャンバ部材690の下の領域に案内する。図示
の実施形態のチャネル817は、上方に傾斜した壁819によって構成されてい
る。チャネル817から出た処理流体は、このチャネルから1又は2以上の別の
チャネル821に流れ、これらチャネル821は同様に、上方に傾斜した壁によ
って構成されている。
【0039】 中央アノード580は、ノズル組立体530、中間チャンバ部材690及び入
口流体ガイド810に形成された中央孔を通って処理チャンバ組立体610の外
部に延びる電気接続ロッド581を有している。図2に符号590で示したベン
チュリ流路領域が、図5において垂直チャネル823によって形成されており、
これら垂直チャネルは、ドレンカップ部材627及びノズル部材530の底壁を
貫通して延びている。図示のように、流体入口ガイド810及び具体的にいえば
上方に傾斜した壁819は、遮蔽された垂直チャネル823を半径方向に越えて
延びて入口に入った気泡が垂直チャネル823内へではなく上方チャネル821
中へ進むようになっている。
【0040】 上述の反応器組立体は、加工物、例えば半導体超小型加工物への複数の処理を
行うことができる処理ツール内に容易に組み込むことができる。かかる処理ツー
ルの一例は、モンタナ州カリスペル所在のセミツール・インコーポレーテッドか
ら入手できるNT−210(登録商標)電気めっき装置である。図6及び図7は
、かかる組込み状態を示している。図6のシステムは、複数の処理ステーション
1610を有している。好ましくは、これら処理ステーションは、1又は2以上
の水洗/乾燥ステーション及び1又は2以上の電気めっきステーション(1又は
2以上の電気めっき反応器、例えば上述した反応器を含む)を有している。ただ
し、本発明にしたがって構成された別の浸漬式化学処理ステーションを採用して
もよい。かかるシステムは好ましくは、例えば符号1615で示す熱処理ステー
ションをさらに有し、かかる熱処理ステーションは、RTP(rapid thermal pr
ocess )向きの少なくとも1つの熱的反応器を有している。
【0041】 加工物は、1又は2以上のロボット移送機構1620を用いて処理ステーショ
ン1610とRTPステーション1615との間で移送され、かかるロボット移
送機構は、中央軌道1625に沿って直線運動可能に設けられている。ステーシ
ョン1610のうち1又は2以上もまた、現場水洗を行うよう改造された構造体
をさらに有するのがよい。好ましくは、処理ステーション及びロボット移送機構
はすべて、キャビネット内に設けられ、このキャビネットは、濾過された空気が
正圧状態で満たされ、それにより、超小型加工物の処理の有効性を損なう場合の
ある空中浮揚の汚染要因物が入らないようにする。
【0042】 図7は、RTPステーション1635がツールセットの状態に組み込まれた処
理ツールの別の実施形態を示しており、このRTPステーションは、部分163
0内に配置されていて、少なくとも1つの熱的反応器を有している。図6の実施
形態とは異なり、この実施形態では、少なくとも1つの熱的反応器が専用ロボッ
ト機構1640によって賄われる。専用ロボット機構1640は、ロボット移送
機構1620によって移送された加工物を受け取る。移送は、中間段階式ドア/
領域1645を介して行われるのがよい。したがって、処理ツールのRTP部分
1630をツールの他の部分から清潔な状態で分離することができるようになる
。加うるに、かかる構造を用いると、図示のアニール又は熱処理ステーションを
、既存のツールセットをアップグレードするために取り付けられる別個のモジュ
ールとして具体化できる。他の形式の処理ステーションをRTPステーション1
635に加えて又はこの代わりに部分1630内に設けてもよいことは理解され
よう。
【0043】 本発明の基本的な協議から逸脱することなく上記システムの多くの設計変更を
行うことができる。本発明を1又は2以上の特定の実施形態と関連してかなり詳
細に説明したが、当業者であれば、特許請求の範囲に記載された本発明の範囲及
び精神から逸脱することなく、かかる実施形態の変更例を想到できることは理解
されよう。
【図面の簡単な説明】
【図1A】 処理流体の流れを加工物の表面全体にわたって分配するディフューザを有する
浸漬処理反応器組立体の略図である。
【図1B】 本発明を組み込むことができる反応器組立体の一実施形態の断面図である。
【図2】 図1Bの反応器組立体に用いることができる反応器チャンバの一実施形態の略
図であり、反応器チャンバを通る処理流体の流れと関連した速度流れ線を示す図
を含む図である。
【図3A】 特に半導体ウェーハの電気化学処理向きに改造されていて、図2に記載された
速度流れ線を達成するよう具体化された処理チャンバ組立体一式の構造示す図で
ある。
【図3B】 特に半導体ウェーハの電気化学処理向きに改造されていて、図2に記載された
速度流れ線を達成するよう具体化された処理チャンバ組立体一式の構造示す図で
ある。
【図4】 特に半導体ウェーハの電気化学処理向きに改造されていて、図2に記載された
速度流れ線を達成するよう具体化された処理チャンバ組立体一式の構造示す図で
ある。
【図5】 特に半導体ウェーハの電気化学処理向きに改造されていて、図2に記載された
速度流れ線を達成するよう具体化された処理チャンバ組立体一式の構造示す図で
ある。
【図6】 本発明の教示に従って構成された1又は2以上の処理ステーションを有するこ
とができる処理ツールの一実施形態を示す図である。
【図7】 本発明の教示に従って構成された1又は2以上の処理ステーションを有するこ
とができる処理ツールの別の実施形態を示す図である。
───────────────────────────────────────────────────── フロントページの続き (31)優先権主張番号 60/182,160 (32)優先日 平成12年2月14日(2000.2.14) (33)優先権主張国 米国(US) (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),CN,JP,K R,SG,US (72)発明者 ムチュー ポール アール アメリカ合衆国 モンタナ州 59901 カ リスペル ダーリントン ドライヴ 1912 Fターム(参考) 4K024 BB09 BB11 BB12 CB13 CB15 CB16

Claims (37)

    【特許請求の範囲】
  1. 【請求項1】 超小型電子加工物の浸漬処理容器であって、処理流体の流れ
    を加工物の少なくとも一方の表面にもたらす主流体流れチャンバと、処理流体の
    流れを主流体流れチャンバに提供するよう配置された複数のノズルとを有し、複
    数のノズルは、加工物の表面を半径方向に横切って実質的に一様な垂直流れ成分
    を生じさせるよう結合する垂直方向及び半径方向流体流れ成分を提供するような
    配向状態で設けられていることを特徴とする超小型電子加工物浸漬処理容器。
  2. 【請求項2】 複数のノズルは、実質的に一様な垂直流れ成分が半径方向中
    央部分のところで僅かに大きくなるよう配置されており、それにより、加工物を
    処理容器内の処理流体の表面に接触させたときに空気の閉じ込めを防止するのに
    役立つメニスカスを形成することを特徴とする請求項1記載の超小型加工物浸漬
    処理容器。
  3. 【請求項3】 複数のノズルの前で処理流体の流路中に設けられた副チャン
    バを更に有し、副チャンバは、処理流体中に同伴された気体成分の除去に役立つ
    ような寸法に設定されていることを特徴とする請求項1記載の超小型加工物浸漬
    処理容器。
  4. 【請求項4】 副チャンバと複数のノズルとの間で流体の流路中に設けられ
    たプレナムを更に有することを特徴とする請求項3記載の超小型加工物浸漬処理
    容器。
  5. 【請求項5】 副チャンバは、入口部分及び出口部分を有し、入口部分は、
    出口部分と比べて断面が小さいことを特徴とする請求項3記載の超小型加工物浸
    漬処理容器。
  6. 【請求項6】 複数のノズルのうち少なくとも何本かは、全体として水平の
    スロットの形態をしていることを特徴とする請求項1記載の超小型加工物浸漬処
    理容器。
  7. 【請求項7】 主流体流れチャンバは、1又は2以上の側壁によって構成さ
    れ、複数のノズルのうち少なくとも何本かは、1又は2以上の側壁を貫通して設
    けられていることを特徴とする請求項1記載の超小型加工物浸漬処理容器。
  8. 【請求項8】 主流体流れチャンバは、その上方部分のところに、処理流体
    が主流体流れチャンバの上方部分に向かって流れて超小型加工物の表面に接触す
    る際における流体の流れの剥離を阻止する1又は2以上の異形側壁を有している
    ことを特徴とする請求項7記載の超小型加工物浸漬処理容器。
  9. 【請求項9】 主流体流れチャンバは、その上方部分が傾斜壁によって構成
    されていることを特徴とする請求項1記載の超小型加工物浸漬処理容器。
  10. 【請求項10】 主流体流れチャンバは、その下方部分のところに設けられ
    ていて、主流体流れチャンバの下方部分内における処理流体の流れの再循環を容
    易にするベンチュリ効果をもたらすように構成された入口を更に有していること
    を特徴とする請求項1記載の超小型加工物浸漬処理容器。
  11. 【請求項11】 超小型加工物の少なくとも一方の表面を浸漬処理する反応
    器であって、加工物支持体を備えた反応器ヘッドと、処理容器とを有し、処理容
    器は、浸漬処理中、常態では処理容器内に収容された処理流体の浴の表面の下に
    位置する主流体流れチャンバ内のレベルのところで主流体流れチャンバの側壁に
    角度をなして設けられた複数のノズルを有していることを特徴とする反応器。
  12. 【請求項12】 電源と処理流体とを電気的に接触させるよう処理容器の下
    方部分のところに設けられた電極を更に有していることを特徴とする請求項11
    記載の反応器。
  13. 【請求項13】 処理容器はその上方部分が傾斜壁によって構成され、処理
    容器は、電源と処理流体とを電気的に接触させるよう傾斜壁と一定の位置的整列
    関係をなして設けられた少なくとも1つの別の電極を更に有していることを特徴
    とする請求項12記載の反応器。
  14. 【請求項14】 超小型加工物の少なくとも一方の表面の少なくとも処理中
    、加工物支持体及び関連の超小型加工物を回転させるよう連結されたモータを更
    に有していることを特徴とする請求項11記載の反応器。
  15. 【請求項15】 超小型加工物を浸漬処理する反応器であって、処理流体入
    口を備えた処理容器を有し、処理流体は、前記処理流体入口を通って処理容器内
    に流れ、処理容器は、堰を形成する上方リムを更に有し、処理流体は、堰を越え
    て処理容器から流出し、前記反応器は、堰を越えて処理容器から流出した処理流
    体を受け取るよう処理容器の外部に設けられた少なくとも1つの螺旋流れチャン
    バを更に有していることを特徴とする反応器。
  16. 【請求項16】 螺旋流れチャンバは、処理容器の外部側壁を包囲した状態
    でその周りにぐるりと配置されていることを特徴とする請求項15記載の反応器
  17. 【請求項17】 処理容器は、その外部側壁を包囲していて、螺旋流れチャ
    ンバを少なくとも部分的に形成する1又は2以上の突起を有していることを特徴
    とする請求項16記載の反応器。
  18. 【請求項18】 反応器は、処理容器の外部に設けられた外側容器を更に有
    し、外側容器の内部側壁は、1又は2以上の突起と協働してこれらの間に螺旋流
    れチャンバを構成していることを特徴とする請求項17記載の反応器。
  19. 【請求項19】 超小型電子加工物を処理する装置であって、複数の加工物
    処理ステーションと、超小型加工物ロボット移送装置とを有し、複数の加工物処
    理ステーションのうち少なくとも1つは、処理容器を備えた反応器を有し、処理
    容器は、主流体流れチャンバと、浸漬処理中、常態では処理容器内に収容された
    処理流体の浴の表面の下に位置する主流体流れチャンバ内のレベルのところで主
    流体流れチャンバの側壁に角度をなして設けられた複数のノズルとを有すること
    を特徴とする装置。
  20. 【請求項20】 複数のノズルは、加工物の表面を半径方向に横切って実質
    的に一様な垂直流れ成分を生じさせるよう結合する垂直方向及び半径方向流体流
    れ成分を提供するよう相対配置されていることを特徴とする請求項19記載の装
    置。
  21. 【請求項21】 複数のノズルは、実質的に一様な垂直流れ成分が半径方向
    中央部分のところで僅かに大きくなるよう配置されており、それにより、加工物
    を処理容器内の処理流体の表面に接触させたときに空気の閉じ込めを防止するの
    に役立つメニスカスを形成することを特徴とする請求項19記載の装置。
  22. 【請求項22】 処理容器は、複数のノズルの上流側に設けられたガス抜き
    副チャンバを更に有していることを特徴とする請求項19記載の装置。
  23. 【請求項23】 処理容器は、ガス抜き副チャンバと複数のノズルとの間に
    設けられたプレナムを更に有していることを特徴とする請求項22記載の装置。
  24. 【請求項24】 ガス抜き副チャンバは、入口部分及び出口部分を有し、入
    口部分は、出口部分と比べて断面が小さいことを特徴とする請求項22記載の装
    置。
  25. 【請求項25】 複数のノズルのうち少なくとも何本かは、主流体流れチャ
    ンバの1又は2以上の側壁に設けられた全体として水平のスロットであることを
    特徴とする請求項21記載の装置。
  26. 【請求項26】 主流体流れチャンバは、ベンチュリ効果入口を更に有して
    いることを特徴とする請求項19記載の装置。
  27. 【請求項27】 ベンチュリ効果入口は、主流体流れチャンバの下方部分内
    における処理流体の流れの再循環を容易にするベンチュリ効果を生じさせること
    を特徴とする請求項25記載の装置。
  28. 【請求項28】 超小型電子加工物の少なくとも一方の表面の浸漬処理中、
    処理流体の流れを提供する処理容器であって、主流体流れチャンバと、浸漬処理
    中、処理容器内に収容された処理流体の浴の表面の下に位置する主流体流れチャ
    ンバ内のレベルのところで主流体流れチャンバの側壁に角度をなして設けられた
    複数のノズルとを有することを特徴とする超小型電子加工物処理容器。
  29. 【請求項29】 複数のノズルは、加工物の表面を半径方向に横切って実質
    的に一様な垂直流れ成分を生じさせるよう主流体流れチャンバの1又は2以上の
    側壁に設けられており、実質的に一様な垂直流れ成分が半径方向中央部分のとこ
    ろで僅かに大きく、それにより、加工物を処理容器内の処理流体の表面に接触さ
    せたときに空気の閉じ込めを防止するのに役立つメニスカスを形成することを特
    徴とする請求項28記載の超小型電子加工物処理容器。
  30. 【請求項30】 複数のノズルの上流側に設けられた副チャンバを更に有し
    、副チャンバは、処理流体中に同伴された気体成分の除去に役立つような寸法に
    設定されていることを特徴とする請求項26記載の超小型電子加工物処理容器。
  31. 【請求項31】 副チャンバと複数のノズルとの間に設けられたプレナムを
    更に有していることを特徴とする請求項30記載の超小型電子加工物処理容器。
  32. 【請求項32】 副チャンバは、入口及び出口を有し、入口は出口と比べて
    断面が小さいことを特徴とする請求項31記載の超小型電子加工物処理容器。
  33. 【請求項33】 複数のノズルのうち少なくとも何本かは、主流体流れチャ
    ンバの1又は2以上の側壁に設けられた全体として水平のスロットであることを
    特徴とする請求項28記載の超小型電子加工物処理容器。
  34. 【請求項34】 主流体流れチャンバは、その上方部分のところに、処理流
    体が主流体流れチャンバの上方部分に向かって流れて超小型加工物の表面に接触
    する際における流体の流れの剥離を阻止する1又は2以上の異形側壁を有してい
    ることを特徴とする請求項28記載の超小型電子加工物処理容器。
  35. 【請求項35】 主流体流れチャンバは、その上方部分が傾斜壁によって構
    成されていることを特徴とする請求項28記載の超小型電子加工物処理容器。
  36. 【請求項36】 主流体流れチャンバは、その下方部分のところに設けられ
    たベンチュリ効果入口を更に有していることを特徴とする請求項28記載の超小
    型電子加工物処理容器。
  37. 【請求項37】 ベンチュリ効果入口は、主流体流れチャンバの下方部分内
    における処理流体の流れの再循環を容易にするベンチュリ効果を生じさせるよう
    構成されていることを特徴とする請求項36記載の超小型電子加工物処理容器。
JP2000610882A 1999-04-13 2000-04-13 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置 Expired - Fee Related JP4288010B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US12905599P 1999-04-13 1999-04-13
US60/129,055 1999-04-13
US14376999P 1999-07-12 1999-07-12
US60/143,769 1999-07-12
US18216000P 2000-02-14 2000-02-14
US60/182,160 2000-02-14
PCT/US2000/010210 WO2000061837A1 (en) 1999-04-13 2000-04-13 Workpiece processor having processing chamber with improved processing fluid flow

Publications (3)

Publication Number Publication Date
JP2002541334A true JP2002541334A (ja) 2002-12-03
JP2002541334A5 JP2002541334A5 (ja) 2006-01-05
JP4288010B2 JP4288010B2 (ja) 2009-07-01

Family

ID=27383837

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000610882A Expired - Fee Related JP4288010B2 (ja) 1999-04-13 2000-04-13 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
JP2000610779A Expired - Fee Related JP4219562B2 (ja) 1999-04-13 2000-04-13 ワークピースを電気化学的に処理するためのシステム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2000610779A Expired - Fee Related JP4219562B2 (ja) 1999-04-13 2000-04-13 ワークピースを電気化学的に処理するためのシステム

Country Status (7)

Country Link
US (10) US6569297B2 (ja)
EP (2) EP1194613A4 (ja)
JP (2) JP4288010B2 (ja)
KR (2) KR100695660B1 (ja)
CN (2) CN1217034C (ja)
TW (2) TWI226387B (ja)
WO (2) WO2000061837A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004524436A (ja) * 2000-07-06 2004-08-12 アプライド マテリアルズ インコーポレイテッド 電気化学的メッキシステムにおいて使用されるフローディフューザ
JP2012518436A (ja) * 2009-02-25 2012-08-16 コーニング インコーポレイテッド マニホールドを備えた細胞培養システム
JP2021120477A (ja) * 2020-01-30 2021-08-19 Jx金属株式会社 電解装置及び電解方法

Families Citing this family (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3942977A1 (de) * 1989-12-23 1991-06-27 Standard Elektrik Lorenz Ag Verfahren zum wiederherstellen der richtigen zellfolge, insbesondere in einer atm-vermittlungsstelle, sowie ausgangseinheit hierfuer
US6749391B2 (en) 1996-07-15 2004-06-15 Semitool, Inc. Microelectronic workpiece transfer devices and methods of using such devices in the processing of microelectronic workpieces
US6749390B2 (en) 1997-12-15 2004-06-15 Semitool, Inc. Integrated tools with transfer devices for handling microelectronic workpieces
US6752584B2 (en) 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
TW593731B (en) * 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6402923B1 (en) * 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
JP4288010B2 (ja) 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US8852417B2 (en) 1999-04-13 2014-10-07 Applied Materials, Inc. Electrolytic process using anion permeable barrier
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US20060157355A1 (en) * 2000-03-21 2006-07-20 Semitool, Inc. Electrolytic process using anion permeable barrier
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
US6623609B2 (en) 1999-07-12 2003-09-23 Semitool, Inc. Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6547937B1 (en) * 2000-01-03 2003-04-15 Semitool, Inc. Microelectronic workpiece processing tool including a processing reactor having a paddle assembly for agitation of a processing fluid proximate to the workpiece
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US6471913B1 (en) * 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US20060189129A1 (en) * 2000-03-21 2006-08-24 Semitool, Inc. Method for applying metal features onto barrier layers using ion permeable barriers
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) * 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
WO2001090434A2 (en) * 2000-05-24 2001-11-29 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
EP1335038A4 (en) * 2000-10-26 2008-05-14 Ebara Corp DEVICE AND METHOD FOR ELECTRO-PLATING
WO2002047139A2 (en) * 2000-12-04 2002-06-13 Ebara Corporation Methode of forming a copper film on a substrate
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
US7628898B2 (en) * 2001-03-12 2009-12-08 Semitool, Inc. Method and system for idle state operation
US7281741B2 (en) * 2001-07-13 2007-10-16 Semitool, Inc. End-effectors for handling microelectronic workpieces
US7334826B2 (en) * 2001-07-13 2008-02-26 Semitool, Inc. End-effectors for handling microelectronic wafers
US6884724B2 (en) * 2001-08-24 2005-04-26 Applied Materials, Inc. Method for dishing reduction and feature passivation in polishing processes
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US6991710B2 (en) * 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
ATE301427T1 (de) * 2002-05-03 2005-08-15 Lina Medical Aps Vorrichtung zur hämostase eines offenen blutgefässes
US6893505B2 (en) 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US7247223B2 (en) 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US20060043750A1 (en) * 2004-07-09 2006-03-02 Paul Wirth End-effectors for handling microfeature workpieces
US20070014656A1 (en) * 2002-07-11 2007-01-18 Harris Randy A End-effectors and associated control and guidance systems and methods
US7114903B2 (en) * 2002-07-16 2006-10-03 Semitool, Inc. Apparatuses and method for transferring and/or pre-processing microelectronic workpieces
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
JP2004068151A (ja) * 2002-07-25 2004-03-04 Matsushita Electric Ind Co Ltd 基板のメッキ方法及びメッキ装置
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
TWI229367B (en) * 2002-12-26 2005-03-11 Canon Kk Chemical treatment apparatus and chemical treatment method
US7704367B2 (en) * 2004-06-28 2010-04-27 Lam Research Corporation Method and apparatus for plating semiconductor wafers
US7332062B1 (en) * 2003-06-02 2008-02-19 Lsi Logic Corporation Electroplating tool for semiconductor manufacture having electric field control
US7390383B2 (en) * 2003-07-01 2008-06-24 Semitool, Inc. Paddles and enclosures for enhancing mass transfer during processing of microfeature workpieces
US20050063798A1 (en) * 2003-06-06 2005-03-24 Davis Jeffry Alan Interchangeable workpiece handling apparatus and associated tool for processing microfeature workpieces
US20050034977A1 (en) * 2003-06-06 2005-02-17 Hanson Kyle M. Electrochemical deposition chambers for depositing materials onto microfeature workpieces
US7393439B2 (en) * 2003-06-06 2008-07-01 Semitool, Inc. Integrated microfeature workpiece processing tools with registration systems for paddle reactors
US20050050767A1 (en) * 2003-06-06 2005-03-10 Hanson Kyle M. Wet chemical processing chambers for processing microfeature workpieces
DE10327578A1 (de) * 2003-06-18 2005-01-13 Micronas Gmbh Verfahren und Vorrichtung zur Filterung eines Signals
US20070144912A1 (en) * 2003-07-01 2007-06-28 Woodruff Daniel J Linearly translating agitators for processing microfeature workpieces, and associated methods
US20050092601A1 (en) * 2003-10-29 2005-05-05 Harald Herchen Electrochemical plating cell having a diffusion member
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US7372682B2 (en) * 2004-02-12 2008-05-13 Power-One, Inc. System and method for managing fault in a power system
US8082932B2 (en) * 2004-03-12 2011-12-27 Applied Materials, Inc. Single side workpiece processing
US7938942B2 (en) * 2004-03-12 2011-05-10 Applied Materials, Inc. Single side workpiece processing
US20070110895A1 (en) * 2005-03-08 2007-05-17 Jason Rye Single side workpiece processing
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7214297B2 (en) 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US7531060B2 (en) * 2004-07-09 2009-05-12 Semitool, Inc. Integrated tool assemblies with intermediate processing modules for processing of microfeature workpieces
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
US20070020080A1 (en) * 2004-07-09 2007-01-25 Paul Wirth Transfer devices and methods for handling microfeature workpieces within an environment of a processing machine
US7165768B2 (en) * 2005-04-06 2007-01-23 Chih-Chung Fang Variable three-dimensional labyrinth
US7935240B2 (en) * 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
US20070043474A1 (en) * 2005-08-17 2007-02-22 Semitool, Inc. Systems and methods for predicting process characteristics of an electrochemical treatment process
WO2007062114A2 (en) 2005-11-23 2007-05-31 Semitool, Inc. Apparatus and method for agitating liquids in wet chemical processing of microfeature workpieces
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
US8104488B2 (en) * 2006-02-22 2012-01-31 Applied Materials, Inc. Single side workpiece processing
US7655126B2 (en) * 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
GB2440139A (en) * 2006-07-20 2008-01-23 John Bostock Electrocoagulation unit for the removal of contaminants from a fluid
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US8291921B2 (en) * 2008-08-19 2012-10-23 Lam Research Corporation Removing bubbles from a fluid flowing down through a plenum
US20080178460A1 (en) * 2007-01-29 2008-07-31 Woodruff Daniel J Protected magnets and magnet shielding for processing microfeature workpieces, and associated systems and methods
US7842173B2 (en) * 2007-01-29 2010-11-30 Semitool, Inc. Apparatus and methods for electrochemical processing of microfeature wafers
US8069750B2 (en) 2007-08-09 2011-12-06 Ksr Technologies Co. Compact pedal assembly with improved noise control
DE102008045256A1 (de) * 2008-09-01 2010-03-04 Rena Gmbh Vorrichtung und Verfahren zur Nassbehandlung von unterschiedlichen Substraten
US8475637B2 (en) 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
CN101864587B (zh) * 2009-04-20 2013-08-21 鸿富锦精密工业(深圳)有限公司 纳米级金属粒子/金属复合镀层的形成装置及形成方法
CN101775637B (zh) * 2010-03-09 2012-03-21 北京中冶设备研究设计总院有限公司 静压式水平电镀槽
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8795480B2 (en) * 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
TWI550139B (zh) 2011-04-04 2016-09-21 諾菲勒斯系統公司 用於裁整均勻輪廓之電鍍裝置
US9005409B2 (en) 2011-04-14 2015-04-14 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US9017528B2 (en) 2011-04-14 2015-04-28 Tel Nexx, Inc. Electro chemical deposition and replenishment apparatus
US8496789B2 (en) 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
US8496790B2 (en) 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
US9245719B2 (en) * 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
US8900425B2 (en) 2011-11-29 2014-12-02 Applied Materials, Inc. Contact ring for an electrochemical processor
US8968531B2 (en) 2011-12-07 2015-03-03 Applied Materials, Inc. Electro processor with shielded contact ring
US9393658B2 (en) 2012-06-14 2016-07-19 Black & Decker Inc. Portable power tool
CN102888633B (zh) * 2012-08-28 2015-06-17 南通市申海工业技术科技有限公司 核反应堆内真空阀镀铜镀镍镜面工艺装置
US9598788B2 (en) * 2012-09-27 2017-03-21 Applied Materials, Inc. Electroplating apparatus with contact ring deplating
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9945044B2 (en) * 2013-11-06 2018-04-17 Lam Research Corporation Method for uniform flow behavior in an electroplating cell
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
CN104947172B (zh) * 2014-03-28 2018-05-29 通用电气公司 电镀工具及使用该电镀工具的方法
US9689084B2 (en) 2014-05-22 2017-06-27 Globalfounries Inc. Electrodeposition systems and methods that minimize anode and/or plating solution degradation
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9469911B2 (en) 2015-01-21 2016-10-18 Applied Materials, Inc. Electroplating apparatus with membrane tube shield
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
CN105463537B (zh) * 2016-01-14 2017-11-21 深圳市启沛实业有限公司 一种单面电镀方法
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10697083B2 (en) 2016-07-13 2020-06-30 Ionta LLC Electrochemical methods, devices and compositions
GB201701166D0 (en) * 2017-01-24 2017-03-08 Picofluidics Ltd An apparatus for electrochemically processing semiconductor substrates
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US11142840B2 (en) 2018-10-31 2021-10-12 Unison Industries, Llc Electroforming system and method
TWI728668B (zh) * 2019-01-31 2021-05-21 日商Almex Pe股份有限公司 工件保持治具及表面處理裝置
CN111501080B (zh) * 2020-05-26 2021-08-06 青岛维轮智能装备有限公司 一种基于电场变换的无序电子镀覆设备
US11618951B2 (en) 2020-05-27 2023-04-04 Global Circuit Innovations Incorporated Chemical evaporation control system
CN114421318B (zh) * 2022-01-13 2023-10-03 湖南程微电力科技有限公司 一种用于户外的翻盖式安全型低压电缆分支箱

Family Cites Families (223)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US2002A (en) * 1841-03-12 Tor and planter for plowing
US2004A (en) * 1841-03-12 Improvement in the manner of constructing and propelling steam-vessels
US640892A (en) * 1899-01-21 1900-01-09 Samuel Mawhinney Upright-piano action.
US1255395A (en) * 1916-05-05 1918-02-05 Arthur E Duram Liquid-separator and the like.
US1526644A (en) * 1922-10-25 1925-02-17 Williams Brothers Mfg Company Process of electroplating and apparatus therefor
US1881713A (en) * 1928-12-03 1932-10-11 Arthur K Laukel Flexible and adjustable anode
US2256274A (en) 1938-06-30 1941-09-16 Firm J D Riedel E De Haen A G Salicylic acid sulphonyl sulphanilamides
US3309263A (en) * 1964-12-03 1967-03-14 Kimberly Clark Co Web pickup and transfer for a papermaking machine
US3616284A (en) 1968-08-21 1971-10-26 Bell Telephone Labor Inc Processing arrays of junction devices
US3664933A (en) 1969-06-19 1972-05-23 Udylite Corp Process for acid copper plating of zinc
US3727620A (en) 1970-03-18 1973-04-17 Fluoroware Of California Inc Rinsing and drying device
US3930693A (en) * 1970-05-22 1976-01-06 The Torrington Company Full complement bearing having preloaded hollow rollers
US3716462A (en) 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3798033A (en) * 1971-05-11 1974-03-19 Spectral Data Corp Isoluminous additive color multispectral display
US3930963A (en) 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
BE791401A (fr) 1971-11-15 1973-05-14 Monsanto Co Compositions et procedes electrochimiques
US3798003A (en) * 1972-02-14 1974-03-19 E Ensley Differential microcalorimeter
DE2244434C3 (de) 1972-09-06 1982-02-25 Schering Ag, 1000 Berlin Und 4619 Bergkamen Wäßriges Bad zur galvanischen Abscheidung von Gold und Goldlegierungen
US4022679A (en) 1973-05-10 1977-05-10 C. Conradty Coated titanium anode for amalgam heavy duty cells
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4000046A (en) 1974-12-23 1976-12-28 P. R. Mallory & Co., Inc. Method of electroplating a conductive layer over an electrolytic capacitor
US4072557A (en) * 1974-12-23 1978-02-07 J. M. Voith Gmbh Method and apparatus for shrinking a travelling web of fibrous material
US3953265A (en) 1975-04-28 1976-04-27 International Business Machines Corporation Meniscus-contained method of handling fluids in the manufacture of semiconductor wafers
US4046105A (en) * 1975-06-16 1977-09-06 Xerox Corporation Laminar deep wave generator
US4032422A (en) 1975-10-03 1977-06-28 National Semiconductor Corporation Apparatus for plating semiconductor chip headers
US4030015A (en) 1975-10-20 1977-06-14 International Business Machines Corporation Pulse width modulated voltage regulator-converter/power converter having push-push regulator-converter means
US4165252A (en) 1976-08-30 1979-08-21 Burroughs Corporation Method for chemically treating a single side of a workpiece
US4137867A (en) * 1977-09-12 1979-02-06 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4134802A (en) 1977-10-03 1979-01-16 Oxy Metal Industries Corporation Electrolyte and method for electrodepositing bright metal deposits
US4132567A (en) * 1977-10-13 1979-01-02 Fsi Corporation Apparatus for and method of cleaning and removing static charges from substrates
US4170959A (en) 1978-04-04 1979-10-16 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4341629A (en) 1978-08-28 1982-07-27 Sand And Sea Industries, Inc. Means for desalination of water through reverse osmosis
US4246088A (en) * 1979-01-24 1981-01-20 Metal Box Limited Method and apparatus for electrolytic treatment of containers
US4276855A (en) 1979-05-02 1981-07-07 Optical Coating Laboratory, Inc. Coating apparatus
US4222834A (en) 1979-06-06 1980-09-16 Western Electric Company, Inc. Selectively treating an article
SU921124A1 (ru) 1979-06-19 1982-04-15 Институт Физико-Химических Основ Переработки Минерального Сырья Со Ан Ссср Способ металлизации отверстий печатных плат
US4286541A (en) 1979-07-26 1981-09-01 Fsi Corporation Applying photoresist onto silicon wafers
JPS56102590A (en) 1979-08-09 1981-08-17 Koichi Shimamura Method and device for plating of microarea
US4422915A (en) 1979-09-04 1983-12-27 Battelle Memorial Institute Preparation of colored polymeric film-like coating
US4238310A (en) 1979-10-03 1980-12-09 United Technologies Corporation Apparatus for electrolytic etching
US4259166A (en) * 1980-03-31 1981-03-31 Rca Corporation Shield for plating substrate
US4437943A (en) * 1980-07-09 1984-03-20 Olin Corporation Method and apparatus for bonding metal wire to a base metal substrate
DE47132T1 (de) * 1980-09-02 1983-01-20 Heraeus Quarzschmelze Gmbh, 6450 Hanau Verfahren und geraet zum ueberfuehren von gegenstaenden zwischen traggliedern.
US4323433A (en) 1980-09-22 1982-04-06 The Boeing Company Anodizing process employing adjustable shield for suspended cathode
US4443117A (en) 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
SE8101046L (sv) 1981-02-16 1982-08-17 Europafilm Anordning vid anleggningar, serskilt for matrisering av grammofonskivor och dylikt
US4360410A (en) 1981-03-06 1982-11-23 Western Electric Company, Inc. Electroplating processes and equipment utilizing a foam electrolyte
JPS57198315U (ja) * 1981-06-12 1982-12-16
JPS584382A (ja) 1981-06-26 1983-01-11 ファナック株式会社 工業用ロボツトの制御方式
US4378283A (en) * 1981-07-30 1983-03-29 National Semiconductor Corporation Consumable-anode selective plating apparatus
US4384930A (en) 1981-08-21 1983-05-24 Mcgean-Rohco, Inc. Electroplating baths, additives therefor and methods for the electrodeposition of metals
US4463503A (en) 1981-09-29 1984-08-07 Driall, Inc. Grain drier and method of drying grain
JPS58154842A (ja) 1982-02-03 1983-09-14 Konishiroku Photo Ind Co Ltd ハロゲン化銀カラ−写真感光材料
LU83954A1 (de) * 1982-02-17 1983-09-02 Arbed Verfahren zum erhoehen der kuehlstoffsaetze beim herstellen von stahl durch sauerstoffaufblasen
JPS58149189A (ja) * 1982-03-01 1983-09-05 セイコーインスツルメンツ株式会社 工業用ロボツトの旋回昇降機構
US4440597A (en) 1982-03-15 1984-04-03 The Procter & Gamble Company Wet-microcontracted paper and concomitant process
US4475823A (en) 1982-04-09 1984-10-09 Piezo Electric Products, Inc. Self-calibrating thermometer
US4449885A (en) 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4451197A (en) 1982-07-26 1984-05-29 Advanced Semiconductor Materials Die Bonding, Inc. Object detection apparatus and method
US4439244A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal having a fluid filled slot
US4838289A (en) 1982-08-03 1989-06-13 Texas Instruments Incorporated Apparatus and method for edge cleaning
US4439243A (en) * 1982-08-03 1984-03-27 Texas Instruments Incorporated Apparatus and method of material removal with fluid flow within a slot
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4585539A (en) 1982-08-17 1986-04-29 Technic, Inc. Electrolytic reactor
US4541895A (en) 1982-10-29 1985-09-17 Scapa Inc. Papermakers fabric of nonwoven layers in a laminated construction
DE3240330A1 (de) * 1982-10-30 1984-05-03 Eberhard Hoesch & Söhne Metall und Kunststoffwerk GmbH & Co, 5166 Kreuzau Badebecken mit wirbelduesen
US4982753A (en) * 1983-07-26 1991-01-08 National Semiconductor Corporation Wafer etching, cleaning and stripping apparatus
US4529480A (en) 1983-08-23 1985-07-16 The Procter & Gamble Company Tissue paper
US4469566A (en) 1983-08-29 1984-09-04 Dynamic Disk, Inc. Method and apparatus for producing electroplated magnetic memory disk, and the like
US4864239A (en) 1983-12-05 1989-09-05 General Electric Company Cylindrical bearing inspection
US4466864A (en) 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US4500394A (en) 1984-05-16 1985-02-19 At&T Technologies, Inc. Contacting a surface for plating thereon
US4634503A (en) * 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
US4544446A (en) 1984-07-24 1985-10-01 J. T. Baker Chemical Co. VLSI chemical reactor
DE8430403U1 (de) 1984-10-16 1985-04-25 Gebr. Steimel, 5202 Hennef Zentrifugiervorrichtung
US4639028A (en) * 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3500005A1 (de) 1985-01-02 1986-07-10 ESB Elektrostatische Sprüh- und Beschichtungsanlagen G.F. Vöhringer GmbH, 7758 Meersburg Beschichtungskabine zum ueberziehen der oberflaeche von werkstuecken mit beschichtungspulver
US4600463A (en) * 1985-01-04 1986-07-15 Seiichiro Aigo Treatment basin for semiconductor material
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
US4685414A (en) 1985-04-03 1987-08-11 Dirico Mark A Coating printed sheets
US4576685A (en) * 1985-04-23 1986-03-18 Schering Ag Process and apparatus for plating onto articles
JPS61178187U (ja) 1985-04-26 1986-11-06
US4648944A (en) 1985-07-18 1987-03-10 Martin Marietta Corporation Apparatus and method for controlling plating induced stress in electroforming and electroplating processes
US4664133A (en) 1985-07-26 1987-05-12 Fsi Corporation Wafer processing machine
US4760671A (en) 1985-08-19 1988-08-02 Owens-Illinois Television Products Inc. Method of and apparatus for automatically grinding cathode ray tube faceplates
FR2587915B1 (fr) 1985-09-27 1987-11-27 Omya Sa Dispositif pour la mise en contact de fluides se presentant sous la forme de phases differentes
JPH0444216Y2 (ja) 1985-10-07 1992-10-19
US4949671A (en) 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
JPH088723B2 (ja) * 1985-11-02 1996-01-29 日立機電工業株式会社 リニアモ−タを用いた搬送装置
US4715934A (en) 1985-11-18 1987-12-29 Lth Associates Process and apparatus for separating metals from solutions
US4761214A (en) 1985-11-27 1988-08-02 Airfoil Textron Inc. ECM machine with mechanisms for venting and clamping a workpart shroud
US4687552A (en) 1985-12-02 1987-08-18 Tektronix, Inc. Rhodium capped gold IC metallization
US4849054A (en) 1985-12-04 1989-07-18 James River-Norwalk, Inc. High bulk, embossed fiber sheet material and apparatus and method of manufacturing the same
AU602673B2 (en) * 1985-12-24 1990-10-25 Gould Electronics Inc Electroplating metal foil
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4924890A (en) 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
US4732785A (en) * 1986-09-26 1988-03-22 Motorola, Inc. Edge bead removal process for spin on films
JPH0768639B2 (ja) * 1986-12-10 1995-07-26 トヨタ自動車株式会社 電着塗装方法
JPH0815582B2 (ja) * 1987-02-28 1996-02-21 本田技研工業株式会社 車体の表面処理方法
US4773436A (en) * 1987-03-09 1988-09-27 Cantrell Industries, Inc. Pot and pan washing machines
DD260260A1 (de) 1987-05-04 1988-09-21 Polygraph Leipzig Rotationshefteinrichtung mit separat angetriebenem heftkopf
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US6139708A (en) * 1987-08-08 2000-10-31 Nissan Motor Co., Ltd. Dip surface-treatment system and method of dip surface-treatment using same
JP2624703B2 (ja) * 1987-09-24 1997-06-25 株式会社東芝 バンプの形成方法及びその装置
US4781800A (en) 1987-09-29 1988-11-01 President And Fellows Of Harvard College Deposition of metal or alloy film
DE3735449A1 (de) * 1987-10-20 1989-05-03 Convac Gmbh Fertigungssystem fuer halbleitersubstrate
AT389959B (de) * 1987-11-09 1990-02-26 Sez Semiconduct Equip Zubehoer Vorrichtung zum aetzen von scheibenfoermigen gegenstaenden, insbesondere von siliziumscheiben
US4828654A (en) * 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US4868992A (en) 1988-04-22 1989-09-26 Intel Corporation Anode cathode parallelism gap gauge
US4902398A (en) 1988-04-27 1990-02-20 American Thim Film Laboratories, Inc. Computer program for vacuum coating systems
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US4988533A (en) 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
DE3818757A1 (de) * 1988-05-31 1989-12-07 Mannesmann Ag Portal eines industrieroboters
US4959278A (en) 1988-06-16 1990-09-25 Nippon Mining Co., Ltd. Tin whisker-free tin or tin alloy plated article and coating technique thereof
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
JPH0264646A (ja) * 1988-08-31 1990-03-05 Toshiba Corp レジストパターンの現像方法及びこの方法に使用する現像装置
JPH03125453A (ja) * 1989-10-09 1991-05-28 Toshiba Corp 半導体ウエハ移送装置
US5000827A (en) * 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
US5256274A (en) * 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5115430A (en) 1990-09-24 1992-05-19 At&T Bell Laboratories Fair access of multi-priority traffic to distributed-queue dual-bus networks
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5135636A (en) 1990-10-12 1992-08-04 Microelectronics And Computer Technology Corporation Electroplating method
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5270222A (en) * 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
DE69220519T2 (de) 1991-03-04 1998-02-19 Toda Kogyo Corp Verfahren zur Plattierung eines Verbundmagneten sowie Verbundmagnet mit einem Metallüberzug
US5178512A (en) * 1991-04-01 1993-01-12 Equipe Technologies Precision robot apparatus
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5209817A (en) 1991-08-22 1993-05-11 International Business Machines Corporation Selective plating method for forming integral via and wiring layers
US5399564A (en) * 1991-09-03 1995-03-21 Dowelanco N-(4-pyridyl or 4-quinolinyl) arylacetamide and 4-(aralkoxy or aralkylamino) pyridine pesticides
JPH05190475A (ja) * 1992-01-08 1993-07-30 Nec Corp シリコン酸化膜成長装置
JP2888001B2 (ja) * 1992-01-09 1999-05-10 日本電気株式会社 金属メッキ装置
US5217586A (en) * 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5501768A (en) * 1992-04-17 1996-03-26 Kimberly-Clark Corporation Method of treating papermaking fibers for making tissue
ATE129361T1 (de) * 1992-08-04 1995-11-15 Ibm Fertigungsstrasse architektur mit vollautomatisierten und rechnergesteuerten fördereinrichtungen geeignet für abdichtbaren tragbaren unter druck stehenden behältern.
US5372848A (en) 1992-12-24 1994-12-13 International Business Machines Corporation Process for creating organic polymeric substrate with copper
US5684713A (en) 1993-06-30 1997-11-04 Massachusetts Institute Of Technology Method and apparatus for the recursive design of physical structures
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
JP3194823B2 (ja) 1993-09-17 2001-08-06 富士通株式会社 Cadライブラリモデルの作成装置
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
DE9404771U1 (de) * 1994-03-21 1994-06-30 Thyssen Aufzuege Gmbh Verriegelungsvorrichtung
JP3388628B2 (ja) * 1994-03-24 2003-03-24 東京応化工業株式会社 回転式薬液処理装置
JP3146841B2 (ja) * 1994-03-28 2001-03-19 信越半導体株式会社 ウエーハのリンス装置
KR100284559B1 (ko) * 1994-04-04 2001-04-02 다카시마 히로시 처리방법 및 처리장치
JPH07283077A (ja) * 1994-04-11 1995-10-27 Ngk Spark Plug Co Ltd 薄膜コンデンサ
JP3621151B2 (ja) * 1994-06-02 2005-02-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3143770B2 (ja) * 1994-10-07 2001-03-07 東京エレクトロン株式会社 基板搬送装置
US5625233A (en) 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
US5593545A (en) * 1995-02-06 1997-01-14 Kimberly-Clark Corporation Method for making uncreped throughdried tissue products without an open draw
JPH08238463A (ja) * 1995-03-03 1996-09-17 Ebara Corp 洗浄方法及び洗浄装置
US5549808A (en) 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
TW386235B (en) * 1995-05-23 2000-04-01 Tokyo Electron Ltd Method for spin rinsing
US6042712A (en) * 1995-05-26 2000-03-28 Formfactor, Inc. Apparatus for controlling plating over a face of a substrate
US5741435A (en) 1995-08-08 1998-04-21 Nano Systems, Inc. Magnetic memory having shape anisotropic magnetic elements
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US5807469A (en) 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
KR0182006B1 (ko) 1995-11-10 1999-04-15 김광호 반도체 패키지 장치 및 몰딩물질에 의해 발생하는 기생용량의 산출방법
US5597460A (en) 1995-11-13 1997-01-28 Reynolds Tech Fabricators, Inc. Plating cell having laminar flow sparger
US5877829A (en) * 1995-11-14 1999-03-02 Sharp Kabushiki Kaisha Liquid crystal display apparatus having adjustable viewing angle characteristics
US5860640A (en) * 1995-11-29 1999-01-19 Applied Materials, Inc. Semiconductor wafer alignment member and clamp ring
US5681392A (en) * 1995-12-21 1997-10-28 Xerox Corporation Fluid reservoir containing panels for reducing rate of fluid flow
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US6162488A (en) 1996-05-14 2000-12-19 Boston University Method for closed loop control of chemical vapor deposition process
US6350319B1 (en) * 1998-03-13 2002-02-26 Semitool, Inc. Micro-environment reactor for processing a workpiece
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6921467B2 (en) * 1996-07-15 2005-07-26 Semitool, Inc. Processing tools, components of processing tools, and method of making and using same for electrochemical processing of microelectronic workpieces
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
US5989397A (en) 1996-11-12 1999-11-23 The United States Of America As Represented By The Secretary Of The Air Force Gradient multilayer film generation process control
AUPO473297A0 (en) 1997-01-22 1997-02-20 Industrial Automation Services Pty Ltd Coating thickness control
DE69703798T2 (de) 1997-02-03 2001-08-02 Okuno Chem Ind Co Verfahren zum elektrobeschichten nichtleitender materialien
US6090260A (en) * 1997-03-31 2000-07-18 Tdk Corporation Electroplating method
JP3405517B2 (ja) * 1997-03-31 2003-05-12 ティーディーケイ株式会社 電気めっき方法及び装置
JPH10303106A (ja) * 1997-04-30 1998-11-13 Toshiba Corp 現像処理装置およびその処理方法
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6017437A (en) * 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US5999886A (en) 1997-09-05 1999-12-07 Advanced Micro Devices, Inc. Measurement system for detecting chemical species within a semiconductor processing device chamber
US5882498A (en) 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6168693B1 (en) * 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US5932077A (en) 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method
US6151532A (en) 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6565729B2 (en) 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
TW593731B (en) 1998-03-20 2004-06-21 Semitool Inc Apparatus for applying a metal structure to a workpiece
US6025600A (en) * 1998-05-29 2000-02-15 International Business Machines Corporation Method for astigmatism correction in charged particle beam systems
US6228232B1 (en) 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6132587A (en) * 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6201240B1 (en) * 1998-11-04 2001-03-13 Applied Materials, Inc. SEM image enhancement using narrow band detection and color assignment
US6190234B1 (en) * 1999-01-25 2001-02-20 Applied Materials, Inc. Endpoint detection with light beams of different wavelengths
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
JP4288010B2 (ja) 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US7351315B2 (en) * 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
AU2001282879A1 (en) * 2000-07-08 2002-01-21 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004524436A (ja) * 2000-07-06 2004-08-12 アプライド マテリアルズ インコーポレイテッド 電気化学的メッキシステムにおいて使用されるフローディフューザ
JP2012518436A (ja) * 2009-02-25 2012-08-16 コーニング インコーポレイテッド マニホールドを備えた細胞培養システム
JP2021120477A (ja) * 2020-01-30 2021-08-19 Jx金属株式会社 電解装置及び電解方法
JP7150768B2 (ja) 2020-01-30 2022-10-11 Jx金属株式会社 電解装置及び電解方法

Also Published As

Publication number Publication date
JP4219562B2 (ja) 2009-02-04
EP1192298A2 (en) 2002-04-03
CN1296524C (zh) 2007-01-24
US20040099533A1 (en) 2004-05-27
US20020008037A1 (en) 2002-01-24
US6569297B2 (en) 2003-05-27
US20050109628A1 (en) 2005-05-26
WO2000061837A9 (en) 2002-01-03
JP2002541326A (ja) 2002-12-03
CN1353778A (zh) 2002-06-12
CN1217034C (zh) 2005-08-31
CN1353779A (zh) 2002-06-12
WO2000061498A2 (en) 2000-10-19
US20040055877A1 (en) 2004-03-25
US20050109625A1 (en) 2005-05-26
EP1192298A4 (en) 2006-08-23
TWI226387B (en) 2005-01-11
US20050109629A1 (en) 2005-05-26
KR20020016772A (ko) 2002-03-06
TW527444B (en) 2003-04-11
EP1194613A1 (en) 2002-04-10
US20050167265A1 (en) 2005-08-04
WO2000061837A1 (en) 2000-10-19
US6660137B2 (en) 2003-12-09
KR100707121B1 (ko) 2007-04-16
WO2000061498A3 (en) 2001-01-25
US7267749B2 (en) 2007-09-11
US20050109633A1 (en) 2005-05-26
US20020079215A1 (en) 2002-06-27
EP1194613A4 (en) 2006-08-23
US7566386B2 (en) 2009-07-28
KR20020016771A (ko) 2002-03-06
US20050224340A1 (en) 2005-10-13
KR100695660B1 (ko) 2007-03-19
JP4288010B2 (ja) 2009-07-01

Similar Documents

Publication Publication Date Title
JP2002541334A (ja) 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US7264698B2 (en) Apparatus and methods for electrochemical processing of microelectronic workpieces
US6916412B2 (en) Adaptable electrochemical processing chamber
EP1103639B1 (en) Plating apparatus
US20020000380A1 (en) Method, chemistry, and apparatus for noble metal electroplating on a microelectronic workpiece
US20050061676A1 (en) System for electrochemically processing a workpiece
US7438788B2 (en) Apparatus and methods for electrochemical processing of microelectronic workpieces

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050527

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080516

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080526

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080826

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080902

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080926

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081009

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090302

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090330

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120403

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350