CN1291243A - 具有在用于接触清洗操作的主反应室外部的辅助电极的电镀系统 - Google Patents

具有在用于接触清洗操作的主反应室外部的辅助电极的电镀系统 Download PDF

Info

Publication number
CN1291243A
CN1291243A CN98809607A CN98809607A CN1291243A CN 1291243 A CN1291243 A CN 1291243A CN 98809607 A CN98809607 A CN 98809607A CN 98809607 A CN98809607 A CN 98809607A CN 1291243 A CN1291243 A CN 1291243A
Authority
CN
China
Prior art keywords
liquid
cup
electrode
anode
semiconductor wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN98809607A
Other languages
English (en)
Other versions
CN1168854C (zh
Inventor
林登·W·格雷厄姆
凯尔·汉森
托马斯·L·里茨多尔夫
杰弗里·I·特纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Semitool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/940,930 external-priority patent/US6099712A/en
Priority claimed from US08/940,670 external-priority patent/US6599412B1/en
Application filed by Semitool Inc filed Critical Semitool Inc
Publication of CN1291243A publication Critical patent/CN1291243A/zh
Application granted granted Critical
Publication of CN1168854C publication Critical patent/CN1168854C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一种用于电镀半导体晶片的系统,包括与半导体晶片(55)电接触的第一电极,和第二电极。第一电极和半导体晶片(55)形成阴极。第二电极形成阳极(100),反应杯(205)限定处理室(75)。外部电极(235)设置在反应杯外部并定位成用于接触从反应杯出来的溶液。连接电源(255)系统以给第一和第二电极输送电源,并且该电源系统还被连接成使第一电极作为阳极,外部电极作为阴极。

Description

具有在用于接触清洗操作的主反应室 外部的辅助电极的电镀系统
相关申请的交叉参考
本申请是在这里作为整体引证供参考的在1997年9月30日申请的美国申请08/940670(Atty.Docket number SE10-0126,U.S.PostalExpress Mailing Label EM025335107)和08/940930(Atty.Docket numberSE10-0141,U.S.Postal Express Mailing Label EM025335138)的连续部分。
发明的背景
在由半导体晶片制成的半导体集成电路和其它半导体产品的生产中,经常需要在晶片上提供多个金属层以用做将集成电路上的各种器件互相电连接的互连金属敷层。通常,使用铝作为这种互连,但是,现在认识到铜金属敷层是优选的。
特别是,已经证明铜在半导体晶片上的应用是很大的技术挑战。此时,由于在半导体器件上以可靠和低成本方式形成铜层存在实际问题,因此铜金属敷层并没有达到商业化的实际要求。
工业上已经通过使用镶嵌电镀工艺向半导体晶片上镀敷铜,其中在镶嵌电镀工艺中使用了铜图形所需要的通常称为通孔的孔、沟槽和其它凹槽。在镶嵌工艺中,首先晶片提供有用于在后来的金属电镀步骤过程中传导电流的金属籽层。该籽层是可以利用一道或多道工序涂敷的很薄的金属层。例如,可以利用物理汽相淀积或化学汽相淀积工艺施加该金属籽层,从而形成1000埃数量级厚的层。该籽层可有利地由铜、金、镍、钯、和其它大多数金属或所有其它金属形成。该籽层形成在由于通孔、沟槽或凹陷的其它器件结构的存在而盘旋的表面上。
在镶嵌工艺中,被电镀到籽层上的铜层是敷层(blanket layer)形式的。为了完全提供填充沟槽和通孔并在这些结构上延伸一定量的铜层,该敷层被镀敷到形成叠加层的程度。这种敷层一般形成为10000-15000埃(1-1.5微米)数量级的厚度。
在半导体晶片上电镀敷层之后,去掉位于通孔、沟槽或其它凹槽外边的多余金属材料。去掉这些金属,从而在要形成的半导体集成电路上提供得到的构图的金属层。例如可以使用化学机械平面化去掉多余的镀敷材料。化学机械平面化是使用化学除剂和研磨剂的组合作用,研磨并抛光暴露的金属表面以去掉在电镀步骤中施加的金属层的不希望部分的处理步骤。
避开了铜电镀工艺的自动化,在本领域中需要可以在半导体产品上生产均匀的并可以用效率和成本-有效方式生产的铜层的改进的半导体镀敷系统。更具体地说,实质上需要提供有效和可靠的自动化的铜镀敷系统。
在半导体晶片的电镀中,阳极设置在电镀液中,并且带有籽层的晶片用做阴极,待电镀的晶片的表面与电镀液的上表面接触。半导体晶片由支撑系统固定,该系统还给晶片提供所需要的阴极电位。该支撑系统可以包括固定晶片就位并与晶片接触以便传导用于电镀操作的电流的导电指状物。
在电镀工艺过程中,导电指状物和半导体晶片用电镀金属如铜镀敷。在这种工艺中可能发生的一个潜在问题是电镀金属沉积物堆积在导电指状物上。这些沉积物可能:1)产生导电指状物的无意的附着物并与晶片接触,使导电指状物与晶片表面脱离,部分镀敷表面可能裂开并作为颗粒落下;2)使穿过该接触并最终穿过镀敷表面传导的电流变化;和3)使导电指状物或晶片上的沉积物的小颗粒破裂掉下并可能进入电镀液中,并最终在镀敷过程中直接沉积在晶片表面上,或后来污染镀敷晶片。这些结果可能会各单独的或结合地在镀敷表面上产生不规则物,或者在晶片中产生其它缺陷。此外,这些结果也可能减少晶片与晶片的均匀性。
可从电极指状物除去镀敷金属的一种方式是,当已经在指状物接触表面上堆积规定水平的镀敷金属或沉积物时,人工清除导电电极指状物用于清洗。但是,这是不希望的,因为在电镀处理中,特别是在连续的晶片电镀操作中,这会产生显著的停工时间。晶片生产量的大量损失和更高的处理成本都与这道工序相关。更希望研制这样一种方法,该方法用于清洗落下的电极的沉积物并将产生的颗粒与镀敷工艺分开,同时将生产工艺的停工时间减至最小。
发明的简要说明
提供一种用于电镀半导体晶片的系统。该系统包括与半导体晶片电接触的第一电极和第二电极。在半导体晶片的电镀过程中第一电极和半导体晶片形成阴极。在半导体晶片的电镀过程中第二电极形成阳极。还采用限定反应室的反应容器。该反应室包括导电电镀液。在半导体晶片的电镀过程中第一电极、第二电极和半导体晶片的每个的至少一部分与电镀液接触。在反应室外部设置辅助电极,并被定位成在第一电极的清洗过程中与从反应室出来的电镀液接触,由此在辅助电极和第一电极之间提供导电路径。电源系统被连接成在半导体晶片的电镀过程中输送电镀电源给第一和第二电极,并且还被连接成在清洗第一电极的过程中使第一电极作为阳极,使辅助电极作为阴极。
附图的简要说明
图1是根据本发明的可使用辅助电极的电镀系统的示意方框图。
图2是包括在液体出口管中的辅助电极的本发明的一个实施例的示意方框图。
图3是包括在反应室外部的储液容器中的辅助电极的本发明的一个实施例的示意方框图。
图4是包括围绕反应杯的上部外缘设置的辅助电极的本发明的一个实施例的示意方框图。
图5A、5B和5C表示用于实施图4中所示的本发明实施例的处理槽组件。
图6表示可以用于实现图4所示的本发明实施例的处理槽组件的又一实施例。
图7表示可用于实现公开的电镀系统的反应器组件的一个实施例。
图8表示可用于实现公开的电镀系统的反应器组件的又一实施例。
图9表示可用于实现电镀系统的晶片支撑/旋转组件的一个实施例。
图1是用于在例如半导体晶片55上电镀金属敷层如构图的铜金属敷层的电镀系统的示意方框图,该电镀系统一般用50表示。所示系统一般包括与主电镀控制系统65连通的观测系统60。观测系统60用于在将半导体晶片55放入电镀装置70之前识别形成在半导体晶片55上的特殊物质。通过由观测系统60提供的信息,主电镀控制系统65可以设置要在电镀装置70中使用的各种参数,从而在晶片55上电镀金属敷层。
在所示系统中,电镀装置70一般由电镀室75、转子组件80、和定子组件85构成。转子组件80支撑半导体晶片55、电流控制系统90和电流取样组件35。转子组件80、电流控制系统90和电流取样组件95设置成相对于定子组件85共同旋转。电镀室75装有阳极组件100并含有用于电镀半导体晶片55的溶液105。
定子组件85支撑转子组件80及其相关元件。定子控制系统110可以设置成与定子组件85成固定关系。定子控制系统110可以与主电镀控制系统65连通并且可以接收涉及制造在半导体晶片55上的半导体器件的特殊类型的识别的信息。定子控制系统110还包括电磁辐射通信链路115,该电磁辐射通信链路115优选地用于传播信息给由电流控制系统90使用的电流控制系统90的对应电磁辐射通信链路120,从而控制在电流取样组件95的单独部分的电流流量(并因此控制电流密度)。下面将进一步详细介绍电流取样组件95、转子组件80、定子控制系统110和电流控制系统90的特殊结构。
在操作中,探针120与半导体晶片55电接触。然后利用例如步进电机等使半导体晶片55以精细步骤下降到溶液105中,直到半导体晶片55的下表面与溶液105初始接触为止。这种初始接触可以通过例如在通过半导体晶片55测量时检测流过溶液105的电流来检测。这种检测可以利用定子控制系统110、主电镀控制系统65或电流控制系统90来完成。但是,最好用定子控制系统110来完成。
一旦溶液105的表面与半导体晶片55的下表面之间发生初始接触,晶片55最好从溶液105抬高一小段距离。溶液105的表面张力产生接触待电镀的半导体晶片55的下表面的弯液面。利用弯液面的特性,抑制了晶片55的侧部的电镀。
一旦在电镀表面形成所希望的弯液面,晶片的电镀就开始了。实际电镀操作的特殊细节对于本发明的使用或设计来说不是特别有关的,因而相应地省略了。
图2表示便于就地清洗接触120的半导体晶片电镀系统的一个实施例。如图所示,一般用200表示的该系统包括确定处理室75的反应杯205。阳极100设置在反应杯205的底部,而用做阴极的半导体晶片55设置在反应杯205的上部。如上所述,晶片55被支撑成只有其底表面与电镀液接触。任选地,扩散器组件210设置在阳极100和半导体晶片55之间。
通过向杠杆处理杯205底部开口的液体入口215将电镀液输送给处理室75,这些电镀液填充处理室75,并在阳极100和半导体晶片55之间提供导电路径,由此形成完整的电镀电路。最好使电镀液连续流进处理室75。同样,处理液必须以与通过入口215输送的相同速度从处理室75被排出。为此,处理杯205设置在储液容器220内。电镀液通过入口215填充处理室75并从反应杯205溢出。溢出的液体流过处理杯205的上缘并进入处理杯205的外周边和储液容器220的内周边之间的间隙区域。容许处理液通过液体出口组件225从储液容器220流出去。液体出口组件225优选地由出口230、外部电极235、和设置在储液容器220和外部电极235之间的液体路径中的控制阀240构成。
在正常晶片电镀操作过程中,开关245关闭,而开关250打开。这就容许电源255提供所需要的电镀电能以执行半导体晶片55的电镀操作。
在完成电镀工艺之后,移去半导体晶片55并且可以就地清洗接触电极120。为此,开关245打开,而开关250关闭,由此将电源280连接到接触电极120和外部电极235。这有效地使电极接触120用做阳极,并且外部电极235用做阴极。从储液容器220流出的处理液被控制阀240控制,从而将储液容器220中的处理液的水平保持在通过电极120和外部电极235之间的电镀液电接触的水平。所产生的反向电流可以被提供在辅助电极和晶片接触电极之间的0.1-100伏的近似范围内、或者在0.1-20伏的近似范围内、或在1-10伏的近似范围内的电压电位。该电压电位可以根据通过正常操作循环等被处理的半导体工件的数量而改变。
应该指出,这里所示的两个电源结构只用于解释性目的。可以使用能够提供电镀和清洗电能并带有任何合适转换结构的单个电源。
通过连接的电源280,在晶片55上电镀过程中,可以部分或全部去掉电镀到电极120的金属,如铜。由于该电镀操作发生在处理室75外部的电路中,因此由清洗操作产生的任何副产物都落在处理室75外面,由此保持处理室在相对清洁状态。
前述清洗操作可以进行多次。例如,在制造工艺过程中,可以在电镀一个半导体晶片、五个半导体晶片、十个半导体晶片等之后进行清洗操作。如果选择少量晶片,可以进行这种清洗而不中断制造操作。但是,一般情况下,当已经处理了50个以上的半导体晶片时,清洗操作的持续时间过长,由此在一般的半导体晶片制造操作过程中,禁止进行这种清洗操作。应该认识到,在两个清洗周期之间电镀到每个晶片上的铜的量将有效地确定有多少铜被电镀到接触电极120上,由此确定就地清洗操作的持续时间。
除去的沉积物可经过出口管230流出电镀槽并被收集在颗粒过滤器中或被设置在适当废物除去和处理操作中。如果穿过颗粒过滤器,被过滤的溶液可以再引入电镀槽中。从经济上和废物处理角度来说,这是所希望的。
如果使用颗粒过滤器,可包括能够过滤或收集颗粒的任何材料,特别是包括从清洗电极接触除去的沉积物的那些颗粒过滤器。颗粒过滤器理想地也容许离子随着电镀液的通过而通过。在这种情况下,被过滤的电镀液可以再次被引入电镀液中,并具有上述相关的优点。适用于颗粒过滤器的材料包括如熔结玻璃等材料。
用于就地清洗的外部电极的另一设置情况示于图3中。在本例中,外部电极270设置在储液容器220的底部,并为围绕入口管215设置的所有环形电极形状。
外部电极的又一设置情况示于图4中。在所示实施例中,外部电极280围绕处理杯205的外部上缘设置。围绕处理杯205的外部上缘布置的外部电极280增加了在清洗操作过程中通过液体的适当电连接的可能性。另外,由于外部电极280设置在具有高速度处理液流量的区域中,使可能抑制电极清洗操作的任何残余颗粒通过处理液全部从电极280上除去。
在图3和4所示的各实施例中,在电镀和清洗操作过程中该装置的操作基本上与结合图2所述实施例的操作相同。
与没有这种清洗操作的系统相比,前述装置和相关方法适于增加在电镀工艺中以特殊时间间隔生产的晶片的数量。因为可以迅速和容易地执行清洗周期,在标准电镀工艺操作工序内的有些情况下,与没有进行这种清洗的电极相比,电极更长时间周期地接触剩余清洗液。这就容许在相同时间周期中和在相同质量参数内更多晶片被处理。通过避免与切断电镀系统以手工替换/清洗导电指状物电极相关的长时间的停工时间,使用这种方法的系统也增加了晶片处理生产量。
与没有这种清洗操作的系统相比,该装置和相关方法还提高了表面电镀的均匀性。尤其指半导体晶片上的电镀表面理想的是没有不规则物的。所希望的均匀性部分地是在电镀过程中流过晶片表面的电流密度的函数。导电电极接触表面和晶片表面之间的清洗接触是临界的以实现均匀性。从而清洗电极接触提高了在电镀过程中的晶片表面上的均匀电流密度,获得穿过晶片表面的改进了的表面均匀性,并且与没有这种清洗操作的方法相比,提供了更高的晶片与晶片之间的均匀性(即与在相同工艺中的后来电镀的晶片相比的晶片的质量)。
辅助电极的使用和布置容许电镀液中的颗粒和污物被分离并从反应系统中除去,由此防止颗粒和污物沉积在后来处理的晶片上,并因此在这些表面上产生不规则物。辅助电极和过滤结构还提供用于清洗指状电极的便利装置和按与指状电极的手动替换相比最少的干扰材料进入反应系统中的电镀液。
图5A、5B和5C表示示于图4中的一般形式的装置的更特殊的实施例。如图所示,该装置包括支撑电极环305的辅助电极支架300。电极环305位于缘310和设置在阳极组件100上方的扩散器210之间。组合的组件位于处理杯焊件205内,而该处理杯焊件设置在储液容器焊件220中。辅助电极环305固定于支架300上,从而使电极环305位于电镀液弯液面下面并位于电镀槽的外面。在这个位置可以与从处理槽中流出的溢出溶液接触。
在另一实施例中,上述系统可以任意地包括颗粒过滤器。该颗粒过滤器可设置在容许含有颗粒物质的电镀液通过它的任何位置,如位于电镀槽壁和外室壁之间的空间中或位于附着于该空间的出口管中。在这种结构中,颗粒过滤器包括能够过滤或收集颗粒的任何材料,特别是那些包括从清洗电极接触点除去沉积物的材料。该颗粒过滤器理想地还容许随着通过它的电镀液而使离子通过。在这种情况下,过滤的电镀液可以再次引入电镀槽中,并具有上述相关优点。适用于颗粒过滤器的材料包括熔结玻璃等材料。
适用的各种其它反应装置结构可具有一个或多个上述外部电极结构。一种这样的反应器示于图6和7中。
在图6和7中所示的反应器实施例中,处理槽或电镀室616具有槽侧面617和槽底部619。处理槽的水平横截面最好为圆形并且处理槽的形状一般为圆柱形,但处理槽也可以是锥形。
杯组件620设置在处理槽616内。杯组件620包括具有杯侧面622和杯底部623的液体杯621。鉴于处理槽的形状,液体杯621的水平横截面最好为圆形并且液体杯的形状为圆柱形,但对于锥形处理槽也可以使用锥形杯。
通过液体入口管625给处理槽616提供处理液。液体出口管通过槽底部开口627并通过杯液体入口624上升,停止于入口管端点631。液体出口628设置在位于杯液体入口624和液体管端点631之间的区域中的液体入口管625内。通过这种方式,液体可以借助入口增压室629从液体入口管625流入杯621中。
杯组件620最好包括设置在液体入口上方并牢固地固定于内部杯壁622和液体入口管625之间的杯状过滤器630,从而使液体在进入杯621的上部之前必须通过过滤器。
杯组件620提供有金属阳极634。通过将阳极附着在液体入口管的端点631上使阳极634固定在杯组件内。因此阳极634位于杯状过滤器630上方和液体入口628上方。阳极634的形状优选为圆形并且直径比杯621的内径小。阳极634固定于液体入口管625的端点631上,以便使杯621内的阳极634中心地在内部杯壁622和阳极634的边缘之间产生环形间隙或空间635。阳极634被放置成使阳极环形开口635沿着其整个圆周为恒定宽度。
外部杯壁636具有比槽616的内部直径小的直径。杯组件620位于槽616内,在槽侧面617和杯外壁636之间形成第一环形空间或处理液溢出空间632。杯组件更优选地被设置成使环形液体溢出空间632沿着其整个圆周为恒定宽度。杯组件620还位于槽616内,使杯上缘633位于槽上缘637下面。杯621优选地相对于槽上缘637可调节高度,这将在下面更详细介绍。
槽底部619被构形成具有容许液体通过它自由传送的大开口区域。在优选实施例中,这可以通过图6所示的结构来实现,其中处理槽底部619由在产生液体返回开口638的槽底部中心板639交叉的横梁626构成。槽底部中心板639提供有槽底部开口627以容许液体入口管625通过。在所示实施例中,储液容器顶部618下面的槽侧面617也同样构成,从而储液容器顶部618下面的槽侧面由4个矩形部分构成,这4个矩形部分朝着槽底部中心板639向此交叉。这种结构容许高度的液体流量通过位于储液容器604内的槽下部。这样,在操作中,通过处理液入口管625提供处理液,并通过杯组件620下部内的液体出口628释放。借助杯状过滤器620,进入液体入口增压室629的液体在增压室分布,然后向上流并通过过滤器630到达阳极634底部。
从过滤器630的顶侧,借助通过处理入口管625的处理液的连续输送,处理液继续在向上方向流动。处理液围绕阳极634和内部杯壁622之间的环形间隙635流动。随着处理液连续流进杯621中,最终到达上部杯缘633,并溢出进入外部杯壁636和槽616的内壁之间的环形间隙632中。
溢出液体从溢出间隙632向下通过该间隙并向后进入储液容器604中,在那里被收集,用于再利用、再循环或处理。在这种方式中,不需要处理液返回管,并且不需要精制的液体收集系统以收集处理的剩余液体。
作为其它优点,杯状过滤器630和杯621内的阳极634的位置提供了进入杯的液体口的均匀分布。该均匀分布可有利地辅助在杯621顶部提供静止液体表面。以同样的方式,保持杯636的外壁和槽616的内壁之间的恒定距离以提供溢出间隙632,可以帮助提供从杯621出来和进入储液容器604内的液体的均匀流动。这还有利地帮助在杯621顶部提供处理液的所希望的静止状态。
下面介绍根据处理和其它操作需要而选择用于杯状过滤器620的材料。通常,过滤器具有能过滤0.1微米的颗粒的能力。同样,通过要被电镀到工件上的所希望的金属来介绍用于阳极634的材料选择。例如,主要由铜构成的阳极可用于将铜电镀到半导体晶片上。
这里已经特别介绍了用于电镀工艺的反应器,可以看出,对于需要液体流但不需要阳极的工艺,将提供从杯组件603除去阳极634的用于该工艺的静止液体池。在这种设置中,液体入口管625的端点631将被盖或塞而不是阳极634盖住或塞住。
为辅助保证处理液均匀溢出进入环形间隙632,杯上缘633是水平的,从而不会使液体以比阳极一侧快的速度流出杯621一侧。为实现这个目的,优选处理槽组件603提供有校平器。
参见图7,该图中以沿着表示的工件支架401的截面示出了表示的处理槽组件,以展示包括辅助电极1015的整个电镀组件。电镀室组件603优选地提供有校平器640(图中只示出一个校平器),容许电镀室组件相对于储液容器618校平。校平器可包括旋入模件盖板666的边缘内并与处理模件框架606接触的起重螺丝,以便相对于处理模件20升降处理槽组件603。处理槽组件603优选提供有围绕槽周边分布的三个这样的槽校平器。这就容许在X和Y轴方向校平或一般称为“左右校平和前后校平”。
由于处理槽组件603可以相对于液体储液容器604自由移动,当处理槽组件603紧密地装配在液体储液容器604内时,如图6所示,处理槽/液体储液容器接合具有设置于其间的配合槽密封665,以容许处理槽616相对于储液容器609内壁移动。配合密封665还防止处理液穿过处理槽和储液容器壁609之间的开口。
杯组件620优选地提供有杯高调节器641。这里所示和所述的杯高调节器由位于入口管625的外部周围的杯高度调节起重器643构成。杯621用杯锁定螺帽642固定于杯高度调节起重器643。在调节之后杯锁定螺帽642用于固定杯621在其高度位置。杯高度调节起重器641的上端提供有调节工具通道孔667以容许从槽的顶部而不是从下部调节杯的高度。杯高度调节器641可另外提供有设置在调节起重器643和杯底部623之间形成的环形空间内的液体密封件,如O形圈(未示出)。
处理槽组件602更优选地提供有用于阳极634的附加高度调节器。阳极高度调节器646是通过在螺纹阳极柱664上安装阳极634形成的。螺纹阳极调节套管663用于连接入口管625的螺纹上端。阳极调节套管663提供有套管开口668以容许液体从液体出口628穿过并进入入口增压室629。阳极柱664底部和液体入口管625上端之间并被阳极调节套管663界定的空间限定液体出口室662。在阳极柱664由于阳极634的高度调节而向上和向下移动时,液体出口室的体积是可变的。
在上述槽校平器640和高度调节器641和646上,另外还希望它们提供有锁定结构,一旦达到器件(即槽、杯或阳极)的所希望的定位,可以通过固定调节器来保持这个位置,从而使它们除了调节之外不会由于振动或其它物理事件而移动。
容许杯和阳极各相对于槽的独立高度调节,在调节处理槽组件603时提供了大的挠度,从而提供了宽的处理选择。
图8中示出了可使用用于就地清洗晶片电极接触的一个或多个外部电极的另外的电镀处理台。处理台900的两个主要部分是一般用906表示的晶片转子组件和电镀槽组件303。
图8表示电镀槽组件303。该槽组件包括具有外槽侧壁317、槽底部319和槽缘组件917的处理槽或电镀室316。处理槽的水平横截面最好为圆形并且处理槽的形状为大体圆柱形,但其它形状也可以。
槽组件303包括设置在处理槽室317内的杯组件320。杯组件320包括保持用于电镀处理的化学物质的液体杯部321。杯组件还具有在杯底部323下面延伸的相关裙边371,还可具有开口的凹槽,用于流体连通和释放在用液体填充室下面时收集的任何气体。该杯最好由聚丙烯或其它合适材料形成。
杯组件320的底壁中的下开口连接于聚丙烯立管330,其中立管330的高度通过螺纹连接是相对可调的。立管330的第一端固定于支撑阳极334的阳极屏蔽393的后部。液体入口管325设置于立管330内。立管330和液体入口管都用处理槽组件303通过装配部件362而固定。装配部件362可以容许调节立管和管325的高度。同样,装配部件362和立管330之间的连接便于阳极位置的垂直调节。入口管325优选地由导电材料如钛构成,并用于将电流传导到阳极324,并给杯输送液体。
处理液通过液体入口管325提供给杯并继续通过液体入口324。然后电镀液体被电镀液泵(未示出)或其它合适的输送装置输送时电镀液通过液体入口324填充室904。
杯侧壁322的上缘形成限制杯内的电镀液水平面的坝。选择该水平面使晶片W的底表面被电镀液接触。过量溶液通过该上缘表面注入溢出室345。通过用适当传感器和致动器监视液体水平面,室345中的液体水平面最好保持在用于稳定操作的希望范围内。这可以使用几个不同排放结构来实现。优选的结构是使用适当传感器检测高水平条件,然后通过排放管由控制阀控制排出液体。还可以使用储水管装置(未示出),用做优选电镀台中的最终排出保护装置。还可以采用更复杂的水平面控制。
从室345流出的液体最好返回到适当的储液容器中。然后可用电镀或其它处理液的附加电镀化学物质或其它成分处理该液体,可以再次使用。
在用于电镀的装置的最佳使用中,阳极334是与向半导体材料上电镀铜或其它金属相关使用的自耗阳极。可以根据电镀的金属和使用的电镀液的其它种类改变特殊阳极。商业上可买得到的大量不同消耗阳极可用做阳极334。
图8还示出了提供在阳极334上用于提供通过晶片W的液体电镀液更均匀地分布的扩散板375。在全部或部分扩散板375上提供液体通路,以容许液体流通。扩散板的高度是使用扩散器高度调节结构386而可调的。
阳极屏蔽393使用阳极屏蔽固定件394而固定于自耗阳极334的下侧,从而在电镀液进入处理室904时,防止被电镀液直接冲击。阳极屏蔽393和阳极屏蔽固定件394最好由绝缘材料制成,如聚偏氟乙烯或聚丙烯。阳极屏蔽最好为约2-5毫米厚,更优选为约3毫米厚。
阳极屏蔽用于电隔离和物理地保护阳极的背侧。还可以减少有机电镀液添加剂的消耗。虽然现在不可能知道准确的机理,但是相信阳极屏蔽可以防止长时间积累在阳极背面的某些材料的破坏。如果阳极未被屏蔽,则以相当大的速度消耗有机化学电镀添加剂。通过屏蔽就位,这些添加剂不会迅速被消耗。
晶片转子组件906保持晶片W在处理室904内旋转。晶片转子组件906包括具有相对于转子结构保持晶片的多个晶片啮合指状物979的转子组件984。指状物979优选地适于在晶片和电镀电源之间传导电流,并可以根据各种结构构成以作为电流取样器。
用于旋转转子组件984的各种元件设置在固定壳970中。该固定壳连接于水平延伸臂909,并依次连接于垂直延伸臂。臂908和909一起容许组件906从与槽组件啮合而升高和旋转,由此将晶片与晶片传送组件60传送到下一处理台。
工件支撑处理头保持晶片W在处理室904内旋转。转子组件984具有相对于转子结构而保持晶片的多个工件啮合指状物979。指状物979还优选地适用于在晶片和电镀电源(未示出)之间传导电流。
图8还示出了环形地设置在杯侧壁322周围的辅助电极1015。在清洗操作中,电镀液流过由杯侧壁322形成的坝并流过辅助电极1015进入壁317和322之间的区域。
工件支撑组件901包括由头操作机构907支撑的处理头906。头操作机构907包括可升降地调节以容许处理头的高度调节的上部908。头操作机构907还具有可操作地围绕水平旋转轴910旋转的头连接轴909。使用操作机构907的处理头的旋转作用容许处理头放置在开口或面向上的位置(未示出),用于装载和卸载晶片W。图7表示在准备处理时旋转到面向下位置的处理头。
提供升高和水平旋转操作的各种合适的头操作机构都可以用在该系统中。优选的操作机构还与表示处理头的升高且其角部位置围绕水平头旋转轴910旋转的位置编码器(未示出)配合。
图8和9表示处理头906的优选构成的附加的细节。处理头包括相对于旋转轴909运动和相对静止的主部件。主部件支撑将在下面更详细介绍的旋转组件。
主部件包括处理头外壳970和处理头框架982。处理头框架982包括门板983。门环部件984使用适合的固定件连接于板983,从而提供用做主要部件的门组件,在处理头与处理槽配合时,该主要部件覆盖处理槽的上开口。
处理头框架还包括框架-旋转轴连接件985,该框架-旋转轴连接件包括接收和牢固地与处理头旋转轴909连接的两个安装环。图9表示旋转轴连接安装环由两部分构成并被固定件(未示出)固定。旋转轴连接基座935使用固定件固定于门板983上。
当从平面图观看时,处理头906一般是圆形的。处理头主部件包括具有第一外壳部分971和第二外壳部分或外壳帽972的外壳970。处理头外壳970包围围绕处理头主部件机构室973的主部件暴露部分。室973用于包封附加的处理头元件,如旋转电机、指状致动器、和相关服务线路,如下面详细介绍的。
门环部件984的上表面提供有接收第一外壳部件971的下边缘的凹槽。门环部件的外周边最好还包括安装可膨胀的门密封件987的周边凹槽986。密封件987密封部分处理槽以在其中形成液密处理室。
门环部件984的下表面最好提供有在其中紧密地接收转子的顶部周边部分的环形转子接收槽988。该结构容许在门和转子之间进行气体清洁(未示出)以帮助防止处理蒸汽转移到转子后面并进入处理头的主部件中的各种机构中。门环部件的周边还提供有倒角的下边缘以便于与处理槽配合。
处理头还包括以工件固定器987形式的移动组件。工件固定器包括用于固定半导体工件的指状物979。在所示实施例中,致动器961用于相对于指状致动器960的上板658驱动驱动板683。当以这种方式操作时,指状致动器960使指状物979旋转并脱离晶片。板683和板658之间的脱离使致动器960驱动并旋转指状物979使其与晶片啮合。电极可以包括用于电极目的即它们必须符合反应条件并且导电的任何合适金属或金属的组合。这种金属包括铜、铂、钛或镀铂金属。
处理头主部件还包括相对于处理头的主部件移动工件固定器的工件固定器驱动器。优选的动作是,工件固定器驱动器是旋转工件固定器的转子驱动器形式。该转子驱动器可以是电机、气动发动机或其它合适驱动器。如图所示,处理头包括电子工件旋转电机980。
驱动电机980具有驱动电机轴918旋转运动的定子电枢916。驱动电机980由底部电机外壳922中的底部电机轴承921支撑。底部电机外壳922在门板983中的中心开口固定于处理头的主部件。电机980还被顶部电机外壳923保持在原位。驱动电机980被顶部电机轴承927旋转地与顶部电机外壳923隔离,顶部电机轴承927设置在旋转电机轴918和顶部电机外壳之间。电机外壳使用固定件924固定于处理头框架982上,其中固定件924向下延伸通过电机外壳并进入门板983。固定件924还向上延伸通过框架延伸件925。框架延伸件925支撑顶部框架部件926。盖972在沿着盖的下内部的配合螺纹螺旋到部件926上。
驱动电机最好是经布线通过旋转轴909或者延伸到处理头的其它部件提供电源的电机。
晶片支撑头906可用于在就地清洗晶片接触电极的过程中旋转晶片接触电极。在这种情况下,电极可以按从约每分钟1转到约每分钟300转或从约每分钟10转到约每分钟100转的近似范围内的角速度旋转。在一个清洗周期过程中,或从约每10秒到约每1分钟的近似范围内,旋转的方向可以至少改变一次。
为向电镀模件中的处理槽组件提供处理液,模件最好提供有液体传送设备。提供液体传送设备以从储液容器中引出处理液,将其输送到处理槽组件,并将其返回到公共收集点。该设备可包括安装在储液容器中的浸泵。反应室可以提供有这种泵,还包括从储液容器引出处理液的液体抽吸或泵抽吸联结装置。浸泵利用泵抽吸将液体抽入泵体中并通过液体排放装置或泵排放装置排出。浸泵最好被电泵电机驱动。
在本系统的另一实施例中,可以采用潜水泵。浸泵的优点是它可以很容易地卸下用于维修等。在又一实施例中,为每个处理槽组件配置单独的泵,或者处理槽组件可以共享一组公共泵。每个这样的泵可以具有处理液入口抽吸装置和处理液排放装置。
在不脱离其基本教导的情况下可以对前述系统做出各种修改。虽然已经参照一个或多个特殊实施例基本详细地介绍了本发明,但是本领域的技术人员应该认识到,在不脱离所附权利要求书所限定的本发明的范围和实质的情况下,可以做出各种修改。

Claims (34)

1.用于电镀半导体晶片的系统,包括:
与半导体晶片接触的第一电极,在半导体晶片的电镀过程中该第一电极和半导体晶片形成阴极;
在半导体晶片的电镀过程中形成阳极的第二电极;
限定反应室的反应容器,反应室包括导电电镀液,在半导体晶片的电镀过程中,第一电极、第二电极和半导体晶片的每个的至少一部分接触电镀液;
设置在反应室外部的辅助电极,该辅助电极定位成在清洗第一电极过程中与从反应室出来的电镀液接触,由此提供辅助电极和第一电极之间的导电路径;
电源系统,其连接成在半导体晶片的电镀过程中给第一和第二电极输送电镀电源,该电源系统还连接成在清洗第一电极过程中使第一电极作为阳极,和使辅助电极作为阴极。
2.根据权利要求1的系统,其中第二电极基本上完全设置在反应室的电镀液中,第一电极包括支撑半导体晶片的至少一个导电指状物,该至少一个导电指状物设置成支撑半导体晶片,从而在半导体晶片的电镀过程中只有半导体晶片的一面接触反应室中电镀液的表面。
3.根据权利要求1的系统,其中辅助电极设置在接受从反应室出来的电镀液的出口管中。
4.根据权利要求3的系统,还包括控制阀,该控制阀设置成在电镀液流向辅助电极时控制电镀液流过出口管。
5.根据权利要求3的系统,还包括颗粒过滤器,该颗粒过滤器设置成过滤来自从电镀槽出来之后的电镀液的残余物。
6.根据权利要求1的系统,还包括储液容器,反应容器至少部分地设置在储液容器中,从反应室出来的电镀液流进储液容器中。
7.根据权利要求6的系统,其中辅助电极设置在储液容器中。
8.根据权利要求7的系统,其中辅助电极设置在接受从储液容器出来的电镀液的出口管中。
9.根据权利要求8的系统,还包括控制阀,该控制阀设置成在电镀液流向辅助电极时控制电镀液流过出口管。
10.根据权利要求6的系统,其中储液容器附着于包括颗粒过滤器的电镀液出口管上。
11.根据权利要求1的系统,其中第二电极是自耗阳极,并且该系统还包括设置成防止阳极被流动的电镀液直接或倾斜地冲击的阳极屏蔽。
12.根据权利要求11的系统,其中阳极屏蔽是由绝缘材料制成的。
13.用于操作电镀半导体晶片的系统的方法,该系统包括:用于接触半导体晶片的第一电极、在半导体晶片的电镀过程中用做阳极的第二电极、设置在反应室中的导电电镀液,和设置在反应室和从反应室出来的电镀液的液体流动路径外部的辅助电极、在半导体晶片的电镀过程中半导体晶片和第一和第二电极与反应室中的电镀液接触,该方法包括以下步骤:
提供从反应室到辅助电极的流动的电镀液,从而在第一电极和辅助电极之间产生导电路径;
在第一电极和辅助电极之间施加电源,其中辅助电极用做阴极,第一电极用做阳极,由此在先前的半导体晶片电镀操作过程中除去电镀到第一电极上的至少一部分金属。
14.根据权利要求11的方法,还包括使含有被除去的电镀沉积物的电镀液穿过颗粒过滤器的步骤。
15.根据权利要求12的方法,还包括使过滤的电镀液返回到反应室的电镀槽中。
16.一种用于电镀半导体晶片的装置,该装置包括:
具有杯底部和杯侧面的液体杯,所述液体杯还具有用于连通电镀液流动进入液体杯的液体入口;
自耗阳极,设置在所述液体杯内从液体入口流进的电镀液的液体流路径中;
阳极屏蔽,相对于阳极设置以保护阳极不被从液体入口流进的电镀液流直接冲击。
17.根据权利要求16的装置,其中液体入口设置在杯底部,并提供进入液体杯中的电镀液的大体垂直流动。
18.根据权利要求17的装置,其中阳极屏蔽设置成保护阳极的底表面不被从液体入口流进的电镀液流直接冲击。
19.根据权利要求17的装置,其中阳极屏蔽连接到阳极上,以保护阳极底表面不被从液体入口流进的电镀液流直接冲击。
20.根据权利要求19的装置,其中阳极屏蔽连接到适于选择地在液体杯内调节阳极高度的阳极调节组件上。
21.根据权利要求16的装置,其中阳极屏蔽是由绝缘材料制成的。
22.一种用于电镀半导体晶片的装置,该装置包括:
具有底部和侧面的储液容器;
设置在所述储液容器内的液体杯,以便形成围绕液体杯和储液容器的侧面之间的液体杯周边延伸的液体流动区域,所述液体杯还具有设置在其中的液体入口,用于使电镀液流进入液体杯,流入液体杯的电镀液流在其从液体杯溢出时最终流进液体流动区域;
设置在所述液体杯中的阳极,阳极与杯底部隔开并叠加在杯底部上;
液体杯校平机构,用于校平液体杯以保证围绕液体杯周边的电镀液大体均匀地流进液体流动区域。
23.根据权利要求22的装置,其中液体入口设置在杯底部以使电镀液大体垂直地流进液体杯。
24.根据权利要求23的装置,其中阳极是自耗阳极,该装置还包括连接到阳极的阳极屏蔽,以保护阳极底表面不被从液体入口流进的电镀液流直接冲击。
25.根据权利要求24的装置,其中阳极屏蔽与适于在液体杯内选择地调节阳极高度的阳极调节组件连接。
26.一种用于电镀半导体晶片的装置,包括:
具有底部和侧面的储液容器;
设置在所述储液容器中的液体杯,以便形成所述液体杯和所述储液容器的所述侧面之间的第一空间,所述液体杯具有杯底部和杯侧面,所述杯还包括设置在所述杯内的液体入口,以便容许电镀液进入所述液体杯;
设置在所述液体杯内的阳极,使所述液体入口位于所述杯底部和所述阳极之间,所述阳极限定所述阳极和所述杯侧面之间的第二空间;和
其中在所述杯内向上升的电镀液可以围绕所述第二空间溢出,通过所述第一空间并进入所述储液容器。
27.根据权利要求26的装置,其中储液容器和液体杯的横截面是圆形的。
28.根据权利要求27的装置,其中阳极一般为圆形,并且所述第一和所述第二空间是环形的。
29.根据权利要求26的装置,还包括连接到液体杯的杯高度调节机构,用于相对于储液容器的底部调节液体杯的高度。
30.一种用金属电镀半导体晶片的方法,该方法包括:
提供电镀液,该电镀液具有上表面;
朝着电镀液降低半导体晶片直到半导体晶片的第一平坦表面接触电镀液的上表面为止;和
远离电镀液的上表面升高半导体晶片,以便在电镀液和半导体晶片的第一平坦表面之间产生弯液面;
提供流过阳极和半导体晶片之间的电镀液的电流,由此在半导体晶片的平坦表面上电镀金属。
31.根据权利要求30的方法,还包括在产生弯液面之后围绕垂直轴旋转半导体晶片的步骤。
32.一种用于就地清洗半导体电镀电极以从电极表面除去至少一种电镀金属的方法,所述电极用于在半导体晶片和电镀电源之间传导电流,以便向半导体晶片上电镀至少一种电镀金属,所述电镀电源使用第一极性给所述电极施加电流,该方法包括:
从包括作为其一部分的电极的半导体晶片支架上移去任何半导体晶片;
将电极放入电镀液中;
使用具有与所述第一极性相反的极性的第二极性在该电极和所述电镀液之间流过反向电流,所述流过的电流使电镀到电极上的金属溶解到电镀液中。
33.一种用于操作半导体电镀装置的方法,包括:
将半导体晶片放置在半导体晶片支架中,所述半导体晶片支架包括至少一个电极,该电极与半导体晶片接触以在其间传导电流;
将半导体晶片的至少一个表面放入电镀液中;
在正常操作循环过程中向半导体晶片的所述至少一个表面上电镀至少一种金属,所述电镀包括给电镀液和半导体晶片充电到具有第一极性关系的不同电压;
从半导体晶片支架上移去任何半导体晶片;
将该至少一个电极放入电镀液中;
使用具有与所述第一极性相反的极性的第二极性在该电极和所述电镀液之间流过反向电流,所述流过的电流使电镀到该至少一个电极上的金属电化学地溶解到电镀液中。
34.一种半导体晶片处理台,包括:
多个处理槽,每个所述处理槽具有槽底部和槽侧面;
具有储液容器顶部的处理液储液容器,所述储液容器顶部含有用于在其中接收每个所述处理槽的多个开口;
多个液体杯,各个所述液体杯设置在对应的各个所述处理槽中,以便在每个所述液体杯和所述每个槽侧面之间形成第一空间,每个所述液体杯具有杯底部和杯侧面,每个所述液体杯还包括设置在所述杯内的液体入口,以便容许液体进入所述杯中;和
其中每个所述槽底部与所述处理液储液容器是液体连通的,使在所述杯内上升的处理液可围绕所述第一环形空间溢出到所述储液容器中。
CNB988096072A 1997-09-30 1998-01-06 用于电镀半导体晶片的系统、装置和方法 Expired - Fee Related CN1168854C (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US08/940,930 US6099712A (en) 1997-09-30 1997-09-30 Semiconductor plating bowl and method using anode shield
US08/940,670 US6599412B1 (en) 1997-09-30 1997-09-30 In-situ cleaning processes for semiconductor electroplating electrodes
US08/940,930 1997-09-30
US08/940,670 1997-09-30
PCT/US1998/000126 WO1999016936A1 (en) 1997-09-30 1998-01-06 Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations

Publications (2)

Publication Number Publication Date
CN1291243A true CN1291243A (zh) 2001-04-11
CN1168854C CN1168854C (zh) 2004-09-29

Family

ID=27130143

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB988096072A Expired - Fee Related CN1168854C (zh) 1997-09-30 1998-01-06 用于电镀半导体晶片的系统、装置和方法

Country Status (7)

Country Link
US (2) US6270647B1 (zh)
EP (1) EP1027481A1 (zh)
JP (1) JP2003526004A (zh)
KR (1) KR20010024368A (zh)
CN (1) CN1168854C (zh)
AU (1) AU5907798A (zh)
WO (1) WO1999016936A1 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100346009C (zh) * 2002-01-31 2007-10-31 株式会社荏原制作所 电解加工装置和方法
CN100351434C (zh) * 2002-12-19 2007-11-28 大日本网目版制造株式会社 电镀装置以及电镀方法
CN1737207B (zh) * 2004-06-28 2010-09-01 兰姆研究有限公司 电镀头及其操作方法
CN101265606B (zh) * 2007-03-15 2011-06-22 台湾积体电路制造股份有限公司 化学电镀沉积装置及形成导电层结构的方法
CN101580945B (zh) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 电沉积系统
CN103741179A (zh) * 2013-12-26 2014-04-23 中国电子科技集团公司第五十五研究所 一种带通孔的半导体硅片的三维立体电镀金装置及方法
CN103857834A (zh) * 2011-09-14 2014-06-11 应用材料公司 金属电镀设备中的部件清洁
CN103741179B (zh) * 2013-12-26 2016-11-30 中国电子科技集团公司第五十五研究所 一种带通孔的半导体硅片的三维立体电镀金装置及方法
CN110268103A (zh) * 2017-03-13 2019-09-20 欧姆龙株式会社 镀层的形成方法
CN111304718A (zh) * 2020-03-31 2020-06-19 无锡雷德环保设备有限公司 一种防阴极导电座导电部件析出镀层金属的反剥电解装置
CN114534649A (zh) * 2022-01-05 2022-05-27 吉林大学 一种喷雾式自修复微胶囊的制备装置及方法
TWI771922B (zh) * 2020-09-11 2022-07-21 日商鎧俠股份有限公司 半導體裝置之製造方法及基板處理裝置

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980706A (en) * 1996-07-15 1999-11-09 Semitool, Inc. Electrode semiconductor workpiece holder
US6004440A (en) * 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
EP1027481A1 (en) 1997-09-30 2000-08-16 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6843894B2 (en) * 1997-12-18 2005-01-18 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
JP3702668B2 (ja) * 1998-09-28 2005-10-05 株式会社村田製作所 電子部品チップ供給装置
US6534116B2 (en) * 2000-08-10 2003-03-18 Nutool, Inc. Plating method and apparatus that creates a differential between additive disposed on a top surface and a cavity surface of a workpiece using an external influence
US6610190B2 (en) * 2000-11-03 2003-08-26 Nutool, Inc. Method and apparatus for electrodeposition of uniform film with minimal edge exclusion on substrate
US6413388B1 (en) * 2000-02-23 2002-07-02 Nutool Inc. Pad designs and structures for a versatile materials processing apparatus
US6902659B2 (en) * 1998-12-01 2005-06-07 Asm Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6585876B2 (en) * 1999-04-08 2003-07-01 Applied Materials Inc. Flow diffuser to be used in electro-chemical plating system and method
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US6423636B1 (en) * 1999-11-19 2002-07-23 Applied Materials, Inc. Process sequence for improved seed layer productivity and achieving 3mm edge exclusion for a copper metalization process on semiconductor wafer
US6361675B1 (en) * 1999-12-01 2002-03-26 Motorola, Inc. Method of manufacturing a semiconductor component and plating tool therefor
US8308931B2 (en) * 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) * 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US7622024B1 (en) * 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6695962B2 (en) * 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US7754061B2 (en) * 2000-08-10 2010-07-13 Novellus Systems, Inc. Method for controlling conductor deposition on predetermined portions of a wafer
US6921551B2 (en) 2000-08-10 2005-07-26 Asm Nutool, Inc. Plating method and apparatus for controlling deposition on predetermined portions of a workpiece
US6402909B1 (en) * 2000-10-02 2002-06-11 Advanced Micro Devices, Inc. Plating system with shielded secondary anode for semiconductor manufacturing
US6413390B1 (en) * 2000-10-02 2002-07-02 Advanced Micro Devices, Inc. Plating system with remote secondary anode for semiconductor manufacturing
US6946066B2 (en) * 2001-07-20 2005-09-20 Asm Nutool, Inc. Multi step electrodeposition process for reducing defects and minimizing film thickness
US20040170753A1 (en) * 2000-12-18 2004-09-02 Basol Bulent M. Electrochemical mechanical processing using low temperature process environment
US7172497B2 (en) * 2001-01-05 2007-02-06 Asm Nutool, Inc. Fabrication of semiconductor interconnect structures
US20040178065A1 (en) * 2001-03-16 2004-09-16 Semitool, Inc. Electrode semiconductor workpiece holder and processing methods
US6908540B2 (en) * 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
TW584899B (en) * 2001-07-20 2004-04-21 Nutool Inc Planar metal electroprocessing
US7150816B2 (en) * 2001-08-31 2006-12-19 Semitool, Inc. Apparatus and method for deposition of an electrophoretic emulsion
US6878258B2 (en) * 2002-02-11 2005-04-12 Applied Materials, Inc. Apparatus and method for removing contaminants from semiconductor copper electroplating baths
US20030159921A1 (en) * 2002-02-22 2003-08-28 Randy Harris Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US6991710B2 (en) * 2002-02-22 2006-01-31 Semitool, Inc. Apparatus for manually and automatically processing microelectronic workpieces
US7247223B2 (en) 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US6869516B2 (en) * 2002-10-18 2005-03-22 Applied Materials, Inc. Method for removing electrolyte from electrical contacts and wafer touching areas
US7025862B2 (en) * 2002-10-22 2006-04-11 Applied Materials Plating uniformity control by contact ring shaping
US7138039B2 (en) * 2003-01-21 2006-11-21 Applied Materials, Inc. Liquid isolation of contact rings
US7087144B2 (en) * 2003-01-31 2006-08-08 Applied Materials, Inc. Contact ring with embedded flexible contacts
US20050016857A1 (en) * 2003-07-24 2005-01-27 Applied Materials, Inc. Stabilization of additives concentration in electroplating baths for interconnect formation
US20050218000A1 (en) * 2004-04-06 2005-10-06 Applied Materials, Inc. Conditioning of contact leads for metal plating systems
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7285195B2 (en) * 2004-06-24 2007-10-23 Applied Materials, Inc. Electric field reducing thrust plate
US7214297B2 (en) * 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
CA2571232C (en) * 2004-07-30 2010-02-16 The University Of British Columbia Method for producing hydrocolloid foams
US20060183321A1 (en) * 2004-09-27 2006-08-17 Basol Bulent M Method for reduction of gap fill defects
US7247558B2 (en) * 2004-12-03 2007-07-24 Novellus Systems, Inc. Method and system for electroprocessing conductive layers
TW200641189A (en) * 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US20060228934A1 (en) * 2005-04-12 2006-10-12 Basol Bulent M Conductive materials for low resistance interconnects and methods of forming the same
US20060252254A1 (en) * 2005-05-06 2006-11-09 Basol Bulent M Filling deep and wide openings with defect-free conductor
US20070111523A1 (en) * 2005-11-17 2007-05-17 Ismail Emesh Process for conditioning conductive surfaces after electropolishing
WO2007062199A2 (en) 2005-11-23 2007-05-31 Materials And Technologies Corporation Device and method for holding a substrate
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
US7550070B2 (en) * 2006-02-03 2009-06-23 Novellus Systems, Inc. Electrode and pad assembly for processing conductive layers
US7485561B2 (en) * 2006-03-29 2009-02-03 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7625814B2 (en) * 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7981259B2 (en) 2006-06-14 2011-07-19 Applied Materials, Inc. Electrolytic capacitor for electric field modulation
US8500985B2 (en) 2006-07-21 2013-08-06 Novellus Systems, Inc. Photoresist-free metal deposition
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7732329B2 (en) * 2006-08-30 2010-06-08 Ipgrip, Llc Method and apparatus for workpiece surface modification for selective material deposition
US7842173B2 (en) * 2007-01-29 2010-11-30 Semitool, Inc. Apparatus and methods for electrochemical processing of microfeature wafers
US8197660B2 (en) 2007-09-10 2012-06-12 Infineon Technologies Ag Electro chemical deposition systems and methods of manufacturing using the same
US20090095634A1 (en) * 2007-10-15 2009-04-16 Natsuki Makino Plating method
EP2201160B1 (de) * 2008-06-19 2012-10-31 RENA GmbH Vorrichtung und verfahren zur einseitigen nasschemischen und/oder elektrolytischen behandlung von gut
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8475637B2 (en) * 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US7884016B2 (en) * 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9062388B2 (en) 2010-08-19 2015-06-23 International Business Machines Corporation Method and apparatus for controlling and monitoring the potential
WO2013074702A1 (en) 2011-11-15 2013-05-23 Ashwin-Ushas Corporation, Inc. Complimentary polymer electrochromic device
US8968531B2 (en) 2011-12-07 2015-03-03 Applied Materials, Inc. Electro processor with shielded contact ring
KR102092416B1 (ko) * 2012-03-30 2020-03-24 노벨러스 시스템즈, 인코포레이티드 역전류 디플레이팅을 이용한 전기도금 기판 홀더의 클리닝
US9909228B2 (en) 2012-11-27 2018-03-06 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating
US9207515B2 (en) 2013-03-15 2015-12-08 Ashwin-Ushas Corporation, Inc. Variable-emittance electrochromic devices and methods of preparing the same
US9670588B2 (en) 2013-05-01 2017-06-06 Lam Research Corporation Anisotropic high resistance ionic current source (AHRICS)
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9945044B2 (en) * 2013-11-06 2018-04-17 Lam Research Corporation Method for uniform flow behavior in an electroplating cell
CN104743351B (zh) * 2013-12-30 2016-09-07 基准精密工业(惠州)有限公司 加工室
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9988733B2 (en) 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
US9632059B2 (en) 2015-09-03 2017-04-25 Ashwin-Ushas Corporation, Inc. Potentiostat/galvanostat with digital interface
US9482880B1 (en) 2015-09-15 2016-11-01 Ashwin-Ushas Corporation, Inc. Electrochromic eyewear
US9945045B2 (en) 2015-12-02 2018-04-17 Ashwin-Ushas Corporation, Inc. Electrochemical deposition apparatus and methods of using the same
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
CN114592229B (zh) * 2022-03-14 2023-03-24 淮安市泽邦电子有限公司 一种电阻用加工装置及其加工方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3616284A (en) 1968-08-21 1971-10-26 Bell Telephone Labor Inc Processing arrays of junction devices
US4323433A (en) 1980-09-22 1982-04-06 The Boeing Company Anodizing process employing adjustable shield for suspended cathode
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
SE8101046L (sv) 1981-02-16 1982-08-17 Europafilm Anordning vid anleggningar, serskilt for matrisering av grammofonskivor och dylikt
US4378283A (en) 1981-07-30 1983-03-29 National Semiconductor Corporation Consumable-anode selective plating apparatus
US4466864A (en) * 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
JP2624703B2 (ja) * 1987-09-24 1997-06-25 株式会社東芝 バンプの形成方法及びその装置
US5168887A (en) 1990-05-18 1992-12-08 Semitool, Inc. Single wafer processor apparatus
US5000827A (en) 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
JP2737416B2 (ja) * 1991-01-31 1998-04-08 日本電気株式会社 めっき処理装置
JP2734269B2 (ja) * 1991-12-26 1998-03-30 日本電気株式会社 半導体製造装置
JP3200468B2 (ja) * 1992-05-21 2001-08-20 日本エレクトロプレイテイング・エンジニヤース株式会社 ウエーハ用めっき装置
JPH0625899A (ja) * 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
US5391285A (en) * 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
US5670034A (en) 1995-07-11 1997-09-23 American Plating Systems Reciprocating anode electrolytic plating apparatus and method
US5662788A (en) 1996-06-03 1997-09-02 Micron Technology, Inc. Method for forming a metallization layer
US6099712A (en) 1997-09-30 2000-08-08 Semitool, Inc. Semiconductor plating bowl and method using anode shield
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
EP1027481A1 (en) 1997-09-30 2000-08-16 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100346009C (zh) * 2002-01-31 2007-10-31 株式会社荏原制作所 电解加工装置和方法
CN100351434C (zh) * 2002-12-19 2007-11-28 大日本网目版制造株式会社 电镀装置以及电镀方法
CN1737207B (zh) * 2004-06-28 2010-09-01 兰姆研究有限公司 电镀头及其操作方法
CN101265606B (zh) * 2007-03-15 2011-06-22 台湾积体电路制造股份有限公司 化学电镀沉积装置及形成导电层结构的方法
CN101580945B (zh) * 2008-05-12 2012-12-05 盛美半导体设备(上海)有限公司 电沉积系统
CN103857834A (zh) * 2011-09-14 2014-06-11 应用材料公司 金属电镀设备中的部件清洁
CN103857834B (zh) * 2011-09-14 2016-08-17 应用材料公司 金属电镀设备中的部件清洁
CN103741179A (zh) * 2013-12-26 2014-04-23 中国电子科技集团公司第五十五研究所 一种带通孔的半导体硅片的三维立体电镀金装置及方法
CN103741179B (zh) * 2013-12-26 2016-11-30 中国电子科技集团公司第五十五研究所 一种带通孔的半导体硅片的三维立体电镀金装置及方法
CN110268103A (zh) * 2017-03-13 2019-09-20 欧姆龙株式会社 镀层的形成方法
CN111304718A (zh) * 2020-03-31 2020-06-19 无锡雷德环保设备有限公司 一种防阴极导电座导电部件析出镀层金属的反剥电解装置
TWI771922B (zh) * 2020-09-11 2022-07-21 日商鎧俠股份有限公司 半導體裝置之製造方法及基板處理裝置
CN114534649A (zh) * 2022-01-05 2022-05-27 吉林大学 一种喷雾式自修复微胶囊的制备装置及方法
CN114534649B (zh) * 2022-01-05 2024-03-22 吉林大学 一种喷雾式自修复微胶囊的制备装置及方法

Also Published As

Publication number Publication date
US20020017456A1 (en) 2002-02-14
CN1168854C (zh) 2004-09-29
US6270647B1 (en) 2001-08-07
EP1027481A1 (en) 2000-08-16
WO1999016936A1 (en) 1999-04-08
JP2003526004A (ja) 2003-09-02
KR20010024368A (ko) 2001-03-26
AU5907798A (en) 1999-04-23

Similar Documents

Publication Publication Date Title
CN1168854C (zh) 用于电镀半导体晶片的系统、装置和方法
US6921468B2 (en) Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
CN1220798C (zh) 用于通用材料加工设备的衬垫设计和结构
US7150816B2 (en) Apparatus and method for deposition of an electrophoretic emulsion
CN1217034C (zh) 具有改进的处理流体流的处理腔的工件处理装置
US6368475B1 (en) Apparatus for electrochemically processing a microelectronic workpiece
US20050121313A1 (en) Method and apparatus for executing plural processes on a microelectronic workpiece at a single processing station
CN1454266A (zh) 用于电镀和平面化导电层的阳极装置
CN1572911A (zh) 用于镀敷基片的装置和方法
CN1623012A (zh) 一旦进入金属沉积用来倾斜基片的方法和相关设备
KR20010029906A (ko) 도금장치 및 도금방법 및 도금처리설비
CN1659686A (zh) 衬底处理设备和衬底处理方法
CN1271683C (zh) 一种基板镀膜方法
CN1434492A (zh) 半导体衬底的化学溶液处理装置
TWI438308B (zh) 電化學電鍍裝置中的去鍍觸點
CN1751382A (zh) 镀覆装置
CN1454265A (zh) 用流体接触物体的喷泉床
CN1868600A (zh) 半导体处理的流体注射设备
CN1960799A (zh) 用于处理微特征工件的、具有流动搅拌器和/或多个电极的方法和系统
JP3877910B2 (ja) めっき装置
TW476992B (en) Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
EP1016738A2 (en) Electroplaner
JP4000341B2 (ja) 半導体基板のメッキ方法およびメッキ装置
CN210676158U (zh) 清洗槽及修整器清洗系统
JP3834316B2 (ja) めっき装置およびめっき方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
CI01 Publication of corrected invention patent application

Correction item: Agent

Correct: Li Hui|Gu Huimin

False: Li Hui|Hui Min

Number: 15

Page: 150

Volume: 17

Correction item: Priority day [32]

Correct: 1997.09.30 08/940,930

False: 1997.09.03 08/940,930

Number: 15

Page: 150

Volume: 17

CI02 Correction of invention patent application

Correction item: Agent

Correct: Li Hui|Gu Huimin

False: Li Hui|Hui Min

Number: 15

Page: The title page

Volume: 17

Correction item: Priority day [32]

Correct: 1997.09.30 08/940,930

False: 1997.09.03 08/940,930

Number: 15

Page: The title page

Volume: 17

COR Change of bibliographic data

Free format text: CORRECT: AGENT; FROM: LI HUI HUIMIN TO: LI HUI GU HUIMIN

Free format text: CORRECT: DATE OF PRIORITY ¢32!; FROM: 1997.9.3 08/940,930 TO: 1997.9.30 08/940,930

ERR Gazette correction

Free format text: CORRECT: AGENT; FROM: LI HUI HUIMIN TO: LI HUI GU HUIMIN

Free format text: CORRECT: DATE OF PRIORITY ¢32!; FROM: 1997.9.3 08/940,930 TO: 1997.9.30 08/940,930

C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: APPLIED MATERIALS INC.

Free format text: FORMER OWNER: SEMITOOL, INC.

Effective date: 20120521

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20120521

Address after: American California

Patentee after: Applied Materials Inc.

Address before: Montana

Patentee before: Semitool, INC.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20040929

Termination date: 20170106

CF01 Termination of patent right due to non-payment of annual fee