KR102566478B1 - 전기도금 동안 교차 플로우 및 충돌하는 전해질의 전달을 제어하기 위한 방법들 및 장치 - Google Patents

전기도금 동안 교차 플로우 및 충돌하는 전해질의 전달을 제어하기 위한 방법들 및 장치 Download PDF

Info

Publication number
KR102566478B1
KR102566478B1 KR1020207011107A KR20207011107A KR102566478B1 KR 102566478 B1 KR102566478 B1 KR 102566478B1 KR 1020207011107 A KR1020207011107 A KR 1020207011107A KR 20207011107 A KR20207011107 A KR 20207011107A KR 102566478 B1 KR102566478 B1 KR 102566478B1
Authority
KR
South Korea
Prior art keywords
electrolyte
resistive element
substrate
manifold
flow
Prior art date
Application number
KR1020207011107A
Other languages
English (en)
Other versions
KR20200045566A (ko
Inventor
스티븐 제이. 2세 바닉
아론 베르케
브라이언 엘. 버칼루
로버트 래쉬
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200045566A publication Critical patent/KR20200045566A/ko
Application granted granted Critical
Publication of KR102566478B1 publication Critical patent/KR102566478B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/741Apparatus for manufacturing means for bonding, e.g. connectors
    • H01L24/742Apparatus for manufacturing bump connectors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/028Electroplating of selected surface areas one side electroplating, e.g. substrate conveyed in a bath with inhibited background plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)

Abstract

본 명세서의 다양한 실시예들은 반도체 기판 상에 재료를 전기도금하기 위한 방법들 및 장치에 관한 것이다. 장치는 도금 챔버를 교차 플로우 매니폴드 (이온 저항성 엘리먼트 위) 및 이온 저항성 엘리먼트 매니폴드 (이온 저항성 엘리먼트 아래) 로 분리하는 이온 저항성 엘리먼트를 포함한다. 전해질은 기판의 표면을 전단하는 (shear) 교차 플로우 매니폴드, 및 이온 저항성 엘리먼트 매니폴드에 전달되고, 전해질이 교차 플로우 매니폴드로 진입할 때 기판에 충돌하도록 이온 저항성 엘리먼트 내 쓰루-홀들을 통과한다. 특정한 실시예들에서, (예를 들어, 측면 유입구를 통해) 교차 플로우 매니폴드 내로의 전해질의 플로우 및 이온 저항성 엘리먼트 매니폴드 내로의 전해질의 플로우는, 예를 들어 3-방향 밸브를 사용하여 능동적으로 제어된다. 이들 및 다른 경우들에서, 이온 저항성 엘리먼트는 전해질 분출구들을 포함할 수도 있다.

Description

전기도금 동안 교차 플로우 및 충돌하는 전해질의 전달을 제어하기 위한 방법들 및 장치
관련 출원들에 대한 교차 참조
본 출원은 명칭이 “METHODS AND APPARATUS FOR CONTROLLING DELIVERY OF CROSS FLOWING AND IMPINGING ELECTROLYTE DURING ELECTROPLATING”인 2017년 9월 18일에 출원된 미국 특허 출원 번호 제 15/707,805 호의 이익을 주장하고, 이의 전체 개시는 모든 목적들을 위해 참조로서 본 명세서에 인용된다.
개시된 실시예들은 전기도금 동안 전해질 유체역학을 제어하기 위한 방법들 및 장치에 관한 것이다. 보다 구체적으로, 본 명세서에 기술된 방법들 및 장치들은 예를 들어, 약 50 ㎛ 미만의 폭을 갖는 작은 마이크로범핑 (microbumping) 피처들 (예를 들어, 구리, 니켈, 주석 및 주석 합금 납땜들) 의 쓰루 레지스트 (through resist) 도금, 및 구리 TSV (Through Silicon Via) 피처들과 같은 반도체 웨이퍼 기판들 상에 금속들을 도금하는데 특히 유용하다.
전기화학적 증착은 이제 일반적으로 그리고 흔히 WLP (Wafer Level Packaging) 및 TSV 전기 접속 기술로 공지된 정교한 패키징 (packaging) 및 멀티칩 (multichip) 상호접속 기법들에 대한 상업적 필요성을 충족시킬 태세이다. 이들 기법들은 부분적으로 일반적으로 (FEOL (Front End Of Line) 상호 접속부들과 비교하여) 보다 큰 피처 사이즈들 및 고 종횡비로 인해 그들 자체로 매우 중요한 과제들을 제시한다.
패키징 피처들 (예를 들어, 쓰루 칩 연결 TSV, 상호접속 재분배 배선, 또는 칩 투 보드 (chip to board) 또는 칩 투 칩 (chip to chip) 본딩) 의 유형 및 적용예들에 따라, 도금된 피처들은 현재 기술에서, 보통 약 2 ㎛보다 크고 통상적으로 그들의 주요 치수에서 약 5 내지 100 ㎛이다 (예를 들어, 구리 필라들 (pillars) 은 약 50 ㎛일 수도 있다). 전력 버스들 (busses) 과 같은 일부 온-칩 (on-chip) 구조체들에 대해, 도금되는 피처는 100 ㎛보다 클 수도 있다. WLP 피처들의 종횡비들은 통상적으로 약 1:1 (높이 대 폭) 또는 보다 낮지만, 아마도 약 2:1 정도만큼 높은 범위일 수 있지만, TSV 구조체들은 매우 고 종횡비 (예를 들어, 약 20:1의 근처) 를 가질 수 있다.
본 명세서의 특정한 실시예들은 기판 상에 재료를 전기도금하기 위한 방법들 및 장치에 관한 것이다. 통상적으로, 기판은 반도체 웨이퍼이다.
본 명세서의 실시예들의 일 양태에서, 전기도금 장치가 제공되고, 장치는 (a) 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 포함하도록 구성된 도금 챔버로서, 기판은 실질적으로 평면인, 도금 챔버; (b) 기판의 도금 면이 도금 동안 전해질에 침지되고 애노드로부터 분리되도록 기판을 지지하도록 구성된 기판 홀더 (holder); (c) 전기도금 동안 이온 저항성 엘리먼트를 통해 이온 이송을 제공하도록 구성된 이온 저항성 엘리먼트로서, 이온 저항성 엘리먼트는 기판과 적어도 거의 같은 면적을 갖는 플레이트 및 플레이트에 형성된 복수의 쓰루-홀들 (through-holes) 을 포함하는, 이온 저항성 엘리먼트; (d) 이온 저항성 엘리먼트 아래에 위치된 이온 저항성 엘리먼트 매니폴드 (manifold) 로서, 전기도금 동안 전해질이 이온 저항성 엘리먼트 매니폴드로부터 이온 저항성 엘리먼트 내 쓰루-홀들을 통해 통과하는, 이온 저항성 엘리먼트 매니폴드; (e) 기판이 기판 홀더에 존재할 때 이온 저항성 엘리먼트 위 그리고 기판의 도금 면 아래에 위치된 교차 플로우 매니폴드; (f) 교차 플로우 매니폴드에 전해질을 도입하기 위한 측면 유입구; (g) 교차 플로우 매니폴드에서 흐르는 전해질을 수용하기 위한 측면 유출구로서, 측면 유입구 및 측면 유출구는 전기도금 동안 기판의 도금 면 상에 방위각적으로 반대 둘레 위치들에 근접하게 위치되고, 측면 유입구 및 측면 유출구는 전기도금 동안 교차 플로우 매니폴드에 교차 플로우 전해질을 생성하도록 구성되는, 측면 유출구; 및 (h) (i) 측면 유입구 및 (ii) 이온 저항성 엘리먼트 매니폴드 각각으로의 전해질의 전달을 제어하는 3-방향 (three-way) 밸브를 포함한다.
일부 실시예들에서, 장치는 기판 상의 전기도금 동안 적어도 제 1 플로우 패턴 및 제 2 플로우 패턴을 제공하기 위해 전기도금 동안 3-방향 밸브로 스위칭하도록 구성된 제어기를 더 포함한다. 제 2 플로우 패턴은 제 1 플로우 패턴과 비교하여 기판의 도금 면에 상대적으로 보다 큰 정도로 충돌하는 전해질을 제공할 수도 있다. 다수의 경우들에서, 3-방향 밸브는 측면 유입구 및 이온 저항성 엘리먼트 매니폴드로의 전해질의 전달 동안 독립적인 제어를 허용한다.
특정한 구현예들에서, 장치는 기판 상의 전기도금 동안 적어도 제 1 도금 레짐 (regime) 및 제 2 도금 레짐을 제공하기 위해 전기도금 동안 3-방향 밸브로 스위칭하도록 구성된 제어기를 더 포함하고, 제 1 도금 레짐 동안 제 1 플로우 패턴이 제공되고, 제 2 도금 레짐 동안, 제 2 플로우 패턴 및 제 3 플로우 패턴이 순환적으로 제공되고, 그리고 제 2 플로우 패턴은 제 1 플로우 패턴과 비교하여 기판의 도금 면 상에 상대적으로 보다 큰 정도로 충돌하는 전해질을 제공한다. 이러한 일부 경우들에서, 제어기는 (i) 제 1 플로우 패턴이 측면 유입구 및 이온 저항성 엘리먼트 매니폴드 모두에 전해질을 전달하고, 그리고 (ii) 제 2 플로우 패턴이 이온 저항성 엘리먼트 매니폴드에 전해질을 전달하지만 측면 유입구에는 전달하지 않도록 3-방향 밸브를 동작시키도록 구성된다. 일부 경우들에서, 제어기는 제 1 플로우 패턴 및 제 2 플로우 패턴 각각이 측면 유입구 및 이온 저항성 엘리먼트 매니폴드 모두에 전해질을 전달하도록 3-방향 밸브를 동작시키도록 구성되고, 측면 유입구로의 전해질의 플로우는 제 2 플로우 패턴과 비교하여 제 1 플로우 패턴에서 상대적으로 보다 크고, 그리고 이온 저항성 엘리먼트 매니폴드로의 전해질의 플로우는 제 1 플로우 패턴과 비교하여 제 2 플로우 패턴에서 상대적으로 보다 크다.
일부 실시예들에서, 3-방향 밸브는 측면 유입구로의 전해질의 플로우가 증가할 때 이온 저항성 엘리먼트 매니폴드로의 전해질의 플로우가 감소하고, 그리고 반대도 마찬가지이도록 비독립적 방식으로 측면 유입구 및 이온 저항성 엘리먼트 매니폴드로의 전해질의 전달을 제어한다.
다양한 구현예들에서, 이온 저항성 엘리먼트는 이온 저항성 엘리먼트의 상부 표면 상에 복수의 립들 (ribs) 을 포함하고, 립들은 선형이고 교차 플로우 매니폴드를 가로질러 연장한다. 이러한 일부 구현예들에서, 장치는 이온 저항성 엘리먼트 상의 립들에 형성된 복수의 전해질 분출구들 (jets) 을 더 포함한다. 복수의 전해질 분출구들로 전달된 전해질은 애노드로부터 전기적으로 절연될 수도 있다. 일부 실시예들에서, 장치는 전기도금 동안 기판으로부터 애노드를 분리하는 멤브레인을 지지하기 위한 멤브레인 프레임을 더 포함하고, 멤브레인 프레임은 이온 저항성 엘리먼트 매니폴드의 하단 표면을 규정하고, 그리고 장치는 멤브레인 프레임 내 및 이온 저항성 엘리먼트 내에 형성된 전해질 도관을 더 포함하며, 전해질 도관은 복수의 전해질 분출구에 전해질을 제공한다. 일부 경우들에서 제 2 3-방향 밸브가 제공될 수도 있다. 제 2 3-방향 밸브는 멤브레인 프레임 내 및 이온 저항성 엘리먼트 내에 형성된 전해질 도관 및 이온 저항성 엘리먼트 매니폴드로의 전해질의 전달을 제어할 수도 있다.
본 명세서의 실시예들의 추가 양태에서, 전기도금 장치가 제공되고, 장치는 (a) 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 도금 챔버로서, 기판은 실질적으로 평면인, 도금 챔버; (b) 기판의 도금 면이 도금 동안 전해질에 침지되고 애노드로부터 분리되도록 기판을 지지하도록 구성된 기판 홀더; (c) 전기도금 동안 이온 저항성 엘리먼트를 통해 이온 이송을 제공하도록 구성된 이온 저항성 엘리먼트로서, 이온 저항성 엘리먼트는: 기판과 적어도 거의 같은 면적을 갖는 플레이트, 플레이트에 형성된 복수의 쓰루-홀들, 및 플레이트의 상단 표면 상에 복수의 립들을 포함하고, 립들의 적어도 일부는 전기도금 동안 기판의 도금 면을 향해 전해질을 전달하는 전해질 분출구들을 포함하고, 전해질 분출구들을 통해 전달된 전해질은 애노드로부터 전기적으로 절연되는, 이온 저항성 엘리먼트; (d) 이온 저항성 엘리먼트 아래에 위치된 이온 저항성 엘리먼트 매니폴드로서, 전기도금 동안 전해질이 이온 저항성 엘리먼트 매니폴드로부터 이온 저항성 엘리먼트 내 쓰루-홀들을 통해 통과하는, 이온 저항성 엘리먼트 매니폴드; (e) 기판이 기판 홀더에 존재할 때 기판의 도금 면 아래 그리고 이온 저항성 엘리먼트 위에 위치된 교차 플로우 매니폴드; (f) 교차 플로우 매니폴드에 전해질을 도입하기 위한 측면 유입구; (g) 교차 플로우 매니폴드에서 흐르는 전해질을 수용하기 위한 측면 유출구로서, 측면 유입구 및 측면 유출구는 전기도금 동안 기판의 도금 면 상에 방위각적으로 반대 둘레 위치들에 근접하게 위치되고, 측면 유입구 및 측면 유출구는 전기도금 동안 교차 플로우 매니폴드에 교차 플로우 전해질을 생성하도록 구성되는, 측면 유출구를 포함한다.
일부 구현예들에서, 립들은 선형이고 이들의 가장 긴 치수가 측면 유입구와 측면 유출구 사이의 방향에 평행하도록 배향된다. 일부 다른 구현예들에서, 립들은 선형이고 이들의 가장 긴 치수가 측면 유입구와 측면 유출구 사이의 방향에 수직이도록 배향된다. 장치는 (i) 전해질 분출구들, 및 (ii) 이온 저항성 엘리먼트 매니폴드 모두로의 전해질의 전달을 제어하는 제 1 3-방향 밸브를 더 포함할 수도 있다. 장치는 또한 (i) 측면 유입구, 및 (ii) 제 1 3-방향 밸브 모두로의 전해질의 전달을 제어하는 제 2 3-방향 밸브를 더 포함할 수도 있다.
본 명세서의 실시예들의 추가 양태에서, 전기도금의 방법이 제공된다. 방법은 본 명세서에 기술된 임의의 장치에 기판을 제공하고, 기판 상에 재료를 전기증착하는 단계를 수반한다. 본 명세서에 기술된 바와 같이 임의의 다양한 플로우 패턴들 및 플로우 레짐들이 사용될 수도 있다.
이들 및 다른 특징들은 이하의 관련된 도면들을 참조하여 기술될 것이다.
도 1a는 전기도금 동안 기판 표면 상의 교차 플로우 및 충돌 (impinging) 플로우의 조합을 활용하는 전기도금 장치를 예시한다.
도 1b는 도 1a에 도시된 전기도금 장치를 통한 전해질의 플로우를 도시한다.
도 2a 내지 도 2c는 기판의 도금 면 근처 교차 플로우 매니폴드 내 전해질의 플로우에 관련된 모델링 결과들을 예시한다.
도 3a는 측면 유입구 및 이온 저항성 엘리먼트 매니폴드로 전해질을 전달하기 위해 3-방향 밸브를 갖는 전기도금 장치를 도시한다.
도 3b는 교차 플로우 매니폴드 내 교차 플로우 전해질의 간략화된 도면이고, 도 3c는 이온 저항성 엘리먼트를 통과하는 충돌 전해질의 간략화된 도면이다.
도 4a는 전해질 도관과 기판의 표면 근처에 전해질을 전달하는 복수의 전해질 분출구들의 부가와 함께, 도 3a에 도시된 것과 유사한 전기도금 장치의 간략화된 도면이다.
도 4b 내지 도 4h는 전해질이 전해질 분출구들을 통해 (도 4b, 도 4e), 이온 저항성 엘리먼트를 통해 (도 4c, 도 4f), 전해질 분출구들 및 쓰루-홀들을 통해 (도 4d, 도 4g), 그리고 측면 유입구를 통해 (도 4h) 전달되는, 내부에 형성된 전해질 분출구들을 갖는 이온 저항성 엘리먼트의 확대도들을 예시한다.
도 4i는 내부에 형성된 전해질 분출구들을 갖는 이온 저항성 엘리먼트의 확대 상면도를 도시하고, 전해질 분출구들은 이온 저항성 엘리먼트 상의 립들에 형성된다.
도 4j는 내부에 형성된 전해질 분출구들을 갖는 이온 저항성 엘리먼트의 일부의 단면도를 도시한다.
도 4k 내지 도 4m은 특정한 실시예들에 따라 사용될 수도 있는 상이하게 성형된 전해질 분출구들의 상면도들을 도시한다.
도 4n 및 도 4o는 복수의 전해질 분출구들을 포함하는 립들을 갖는 이온 저항성 엘리먼트의 상면도 (도 4n) 및 단면도 (도 4o) 를 도시하고, 전해질 분출구들 내로 전해질의 흐름을 도시한다.
도 5a 및 도 5b는 상부에 립들을 갖는 이온 저항성 엘리먼트들의 상면도들을 예시하고, 립들은 교차 플로우 전해질의 방향에 수직으로 배향되고 (도 5a), 또는 립은 교차 플로우 전해질의 방향에 평행하게 배향된다 (도 5b).
도 6은 특정한 실시예들에 따른 멀티-툴 (multi-tool) 전기도금 장치의 간략도를 도시한다.
도 7a 및 도 7b는 다양한 실시예들에 따라 기판 상에 재료를 전기도금하는 방법들에 대한 플로우차트들을 제시한다.
본 출원에서, 용어들 “반도체 웨이퍼”, “웨이퍼”, “기판”, “웨이퍼 기판”, 및 “부분적으로 제작된 집적 회로”는 상호 교환 가능하게 사용된다. 당업자는 용어 “부분적으로 제조된 집적 회로” 가 집적 회로 제조의 많은 단계들 중 임의의 단계 동안 실리콘 웨이퍼를 참조할 수 있다는 것을 이해할 수 있다. 반도체 디바이스 산업에서 사용된 웨이퍼 또는 기판은 통상적으로 200 mm, 또는 300 mm, 또는 450 mm의 직경을 갖는다. 또한, 용어들 “전해질”, “도금 욕 (bath)”“욕”, 및 “도금 용액”은 상호 교환 가능하게 사용된다. 이하의 상세한 기술 (description) 은 실시예들이 웨이퍼 상에 구현된다고 가정한다. 그러나, 실시예들은 이렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 개시된 실시예들의 이점을 취할 수도 있는 다른 워크피스들은 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 거울들, 광학 엘리먼트들, 마이크로-기계적 디바이스들 등과 같은 다양한 물품들을 포함한다.
이하의 기술에서, 제시된 실시예들의 완전한 이해를 제공하기 위해 수많은 구체적 상세들이 제시된다. 개시된 실시예들은 이들 구체적 상세들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 공지된 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시예들이 구체적 실시예들과 함께 기술될 것이지만, 이는 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
본 명세서에 기술된 것은 기판 상에 하나 이상의 금속들을 전기도금하기 위한 장치 및 방법들이다. 실시예들은 기판이 반도체 웨이퍼인 것으로 일반적으로 기술되지만, 실시예들은 이렇게 제한되지 않는다.
도 1a 및 도 1b는 전기도금 장치의 간략화된 단면도들을 도시한다. 도 1b는 다양한 실시예들에서 전기도금 동안 전해질의 플로우를 도시하는 화살표들을 포함한다. 도 1a는 기판 홀더 (103) 에 위치된 기판 (102) 과 함께 전기도금 셀 (101) 을 도시한다. 기판 홀더 (103) 는 종종 컵으로서 지칭되고, 이의 주변부에 기판 (102) 을 지지할 수도 있다. 애노드 (104) 가 전기도금 셀 (101) 의 하단부 근처에 위치된다. 애노드 (104) 는 멤브레인 프레임 (106) 에 의해 지지되는 멤브레인 (105) 에 의해 기판 (102) 으로부터 분리된다. 멤브레인 프레임 (106) 은 때때로 애노드를 하우징하는 (housing) 애노드 챔버의 상단부를 규정하기 때문에 애노드 챔버 멤브레인 프레임으로서 지칭된다. 또한, 애노드 (104) 는 이온 저항성 엘리먼트 (107) 에 의해 기판 (102) 으로부터 분리된다. 이온 저항성 엘리먼트 (107) 는 전해질로 하여금 기판 (102) 에 충돌하도록 이온 저항성 엘리먼트 (107) 를 통해 이동하게 하는 개구부들을 포함한다. 전면 삽입부 (108) 가 기판 (102) 의 주변부에 근접하게, 이온 저항성 엘리먼트 (107) 위에 위치된다. 전면 삽입부 (108) 는 링-형상일 수도 있고, 도시된 바와 같이 방위각적으로 불균일할 수도 있다. 전면 삽입부 (108) 는 또한 때때로 교차 플로우 한정 링으로서 지칭된다.
애노드 챔버 (112) 가 멤브레인 (105) 아래에 있고, 그리고 애노드 (104) 가 위치되는 곳이다. 이온 저항성 엘리먼트 매니폴드 (111) 가 멤브레인 (105) 위 그리고 이온 저항성 엘리먼트 (107) 아래에 있다. 관개 플루트 (flute) (116) 가 이온 저항성 엘리먼트 매니폴드 (111) 에 음극액을 전달하고, 전기도금 동안 멤브레인 (105) 에 관개 작용할 수도 있다. 이 예에서, 관개 플루트 (116) 는 음극액 유입구 (118) 를 통과하는 전해질이 피딩된다 (fed). 교차 플로우 매니폴드 (110) 가 이온 저항성 엘리먼트 (107) 위 그리고 기판 (102) 아래에 있다. 교차 플로우 매니폴드의 높이는 기판 (102) 과 이온 저항성 엘리먼트 (107) 의 평면 (존재한다면 이온 저항성 엘리먼트 (107) 의 상부 표면 상의 립들 (115) 을 제외하고) 사이의 거리로 간주된다. 일부 경우들에서, 교차 플로우 매니폴드는 약 1 mm 내지 4 mm, 또는 약 0.5 mm 내지 15 mm의 높이를 가질 수도 있다. 교차 플로우 매니폴드 (110) 는 전면 삽입부 (108) 에 의해 그 측면이 규정되고, 교차 플로우 매니폴드 (110) 에 교차 플로우 전해질을 담도록 작용한다. 교차 플로우 매니폴드 (110) 로의 측면 유입구 (113) 가 교차 플로우 매니폴드 (110) 로의 측면 유출구 (114) 의 방위각적으로 반대편에 제공된다. 측면 유입구 (113) 및 측면 유출구 (114) 는 전면 삽입부 (108) 에 의해 적어도 부분적으로 형성될 수도 있다. 도 1b에서 화살표들에 의해 도시된 바와 같이, 전해질은 음극액 유입구 (118) 로부터, 측면 유입구 (113) 를 통해, 교차 플로우 매니폴드 (110) 내로, 그리고 측면 유출구 (114) 밖으로 이동한다. 또한, 전해질은 이온 저항성 엘리먼트 매니폴드 (111) 로의 하나 이상의 유입구들 (예를 들어, 관개 플루트 (116) 의 유입구들 및/또는 다른 유입구들) 을 통해, 이온 저항성 엘리먼트 매니폴드 (111) 내로, 이온 저항성 엘리먼트 (107) 내 개구부들을 통해, 교차 플로우 매니폴드 (110) 내로, 그리고 측면 유출구 (114) 밖으로 이동할 수도 있다. 측면 유출구 (114) 를 통과한 후, 전해질은 둑 (weir) 벽 (109) 에 넘친다. 전해질은 회수되고 재생될 수도 있다.
특정한 실시예들에서, 이온 저항성 엘리먼트 (107) 는 기판 (캐소드) 의 근접부에서 거의 일정하고 균일한 전류 소스 (source) 에 근접하며, 이와 같이 일부 맥락에서 HRVA (High Resistance Virtual Anode) 또는 CIRP (channeled ionically resistive element) 로서 지칭될 수도 있다. 일반적으로, 이온 저항성 엘리먼트 (107) 는 웨이퍼에 대해 매우 근접하게 배치된다. 대조적으로, 기판에 동일한 매우 근접도의 애노드가 웨이퍼에 거의 일정한 전류를 공급하기에 훨씬 덜 적절할 수 있지만, 단지 애노드 금속 표면에서 일정한 전위 평면을 지지하고, 따라서 전류로 하여금 애노드 평면으로부터 말단으로 (예를 들어, 웨이퍼 상의 주변 접점들로) 의 순 저항이 보다 작으면 최대가 되도록 한다. 따라서, 이온 저항성 엘리먼트 (107) 는 HRVA로 지칭되었지만, 이는 전기화학적으로 두 가지가 상호 교환 가능하다는 것을 암시하지는 않는다. 특정한 동작 조건들 하에서, 이온 저항성 엘리먼트 (107) 는 이온 저항성 엘리먼트 (107) 의 상부 평면을 가로질러 거의 일정한 전류가 공급되는 가상의 균일한 전류 소스로서 보다 근접하고 아마도 보다 낫게 기술될 것이다.
이온 저항성 엘리먼트 (107) 는 공간적으로 그리고 이온적으로 서로 분리되고, 모든 구현예들에서는 아니지만 많은 구현예들에서 이온 저항성 엘리먼트의 바디 내에 상호 접속 채널들을 형성하지 않는 마이크로 사이즈 (통상적으로 0.04'' 미만) 쓰루-홀들을 포함한다. 이러한 쓰루-홀들은 종종 비연통 (non-communicating) 쓰루-홀들로서 지칭된다. 그것들은 통상적으로 웨이퍼의 도금된 표면에 대해 반드시 직교는 아니지만, 종종 1차원으로 연장된다 (일부 실시예들에서 비연통 홀들은 일반적으로 이온 저항성 엘리먼트 전면에 평행한 웨이퍼에 대해 비스듬하다). 종종 쓰루-홀들은 서로 평행하다. 종종 홀들은 정사각형 배열로 배열된다. 다른 시간들에서 레이아웃 (layout) 은 오프셋 (offset) 나선형 패턴이다. 이들 쓰루-홀들은, 쓰루-홀들이 표면에 평행한 이온 전류 플로우 및 (특정한 경우들에서) 유체 플로우 모두를 재구성하고, 그리고 웨이퍼 표면을 향해 전류 및 유체 플로우 모두의 경로를 곧게 하기 때문에, 채널들이 3차원들에서 연장하고 상호접속 공극 (pore) 구조체들을 형성하는 3-D 다공성 네트워크들과 구별된다. 그러나, 특정한 실시예들에서, 공극들의 상호접속된 네트워크를 갖는 이러한 다공성 플레이트는 이온 저항성 엘리먼트로서 사용될 수도 있다. 플레이트의 상단 표면으로부터 웨이퍼로의 거리가 작을 때 (예를 들어, 웨이퍼 반경의 사이즈의 약 1/10의 갭, 예를 들어 약 5 mm 미만), 전류 플로우 및 유체 플로우 모두의 발산이 이온 저항성 엘리먼트 채널들을 사용하여 국부적으로 제한되고, 부여되며 이온 저항성 엘리먼트 채널들과 정렬된다.
일 예시적인 이온 저항성 엘리먼트 (107) 는 이온적으로 그리고 전기적으로 저항성인 고체, 비다공성 유전체 재료로 이루어진 디스크이다. 재료는 또한 사용되는 도금 용액에서 화학적으로 안정하다. 특정한 경우들에서, 이온 저항성 엘리먼트 (107) 는 약 6,000 내지 12,000 개의 비연통 쓰루-홀들을 갖는 세라믹 재료 (예를 들어, 알루미늄 산화물, 주석 산화물, 티타늄 산화물, 또는 금속 산화물들의 혼합물들) 또는 플라스틱 재료 (예를 들어, 폴리에틸렌, 폴리프로필렌, PVDF (polyvinylidene difluoride), 폴리테트라플루오로에틸렌, 폴리술폰, PVC (polyvinyl chloride), 폴리카보네이트, 등) 로 이루어진다. 많은 실시예들에서, 이온 저항성 엘리먼트 (107) 는 실질적으로 웨이퍼와 같은 면적을 갖고 (예를 들어, 이온 저항성 엘리먼트 (107) 는 300 nm 웨이퍼와 함께 사용될 때 약 300 mm의 직경을 가짐) 웨이퍼에 매우 근접하여, 예를 들어 웨이퍼 하향 대면 전기도금 장치에서 웨이퍼 바로 아래에 상주한다. 바람직하게, 웨이퍼의 도금된 표면은 이온 저항성 엘리먼트 표면의 약 10 mm 내에 상주하고, 보다 바람직하게는 최근접한 약 5 mm 내이다. 이를 위해, 이온 저항성 엘리먼트 (107) 의 상단 표면은 평평하거나 실질적으로 평평할 수도 있다. 종종, 이온 저항성 엘리먼트 (107) 의 상단 표면 및 하단 표면 모두는 평평하거나 실질적으로 평평하다. 그러나, 많은 실시예들에서, 이온 저항성 엘리먼트 (107) 의 상단 표면은 이하에 더 기술된 바와 같이 일련의 선형 립들을 포함한다.
상기와 같이, 플레이트 (107) 의 전체 이온 및 플로우 저항은 플레이트의 두께 및 전체 다공성 (플레이트를 통한 플로우에 이용 가능한 영역의 분율) 및 홀의 사이즈/직경 모두에 의존한다. 낮은 다공성들의 플레이트들은 보다 높은 충돌 플로우 속도들 및 이온 저항들을 가질 것이다. 보다 작은 직경의 1-D 홀들 (따라서 보다 많은 수의 1-D 홀들) 을 갖는 동일한 다공성의 플레이트들을 비교하는 것은 동일한 갭에 걸쳐 확산될 수 있는 포인트 소스들로서 더 작용하는, 보다 많은 개별적인 전류 소스들이 있기 때문에 웨이퍼 상의 전류의 보다 초균일 분포를 갖고, 그리고 또한 보다 높은 총 압력 강하 (높은 점성 플로우 저항) 를 가질 것이다.
일부 경우들에서, 이온 저항성 엘리먼트 (107) 의 약 1 내지 10 %는 이온 전류가 통과할 수 있는 (그리고 개구부들을 막는 다른 엘리먼트가 없으면 전해질이 통과하는) 개방 영역이다. 특정한 실시예들에서, 이온 저항성 엘리먼트 (107) 의 약 2 내지 5 %는 개방 영역이다. 특정한 예에서, 이온 저항성 엘리먼트 (107) 의 개방 영역은 약 3.2 %이고 유효 총 개방 단면적은 약 23 ㎠이다. 일부 실시예들에서, 이온 저항성 엘리먼트 (107) 에 형성된 비연통 홀들은 약 0.01 내지 0.08 인치의 직경을 갖는다. 일부 경우들에서, 홀들은 약 0.02 내지 0.03 인치의 직경, 또는 약 0.03 내지 0.06 인치의 직경을 갖는다. 다양한 실시예들에서 홀들은 이온 저항성 엘리먼트 (107) 와 웨이퍼 사이의 갭 거리의 최대 약 0.2 배인 직경을 갖는다. 홀들은 일반적으로 단면이 원형이지만, 그럴 필요는 없다. 또한, 구성을 용이하게 하기 위해, 이온 저항 엘리먼트 (107) 의 모든 홀들은 동일한 직경을 가질 수도 있다. 그러나, 이 경우일 필요는 없으며, 특정한 요구사항들이 지시할 수도 있는 바와 같이, 홀들의 개별 사이즈 및 국부적 밀도 모두는 이온 저항성 엘리먼트 표면에 걸쳐 가변할 수도 있다.
도 1a 및 도 1b에 도시된 이온 저항성 엘리먼트 (107) 는 페이지 내외로 연장하는 일련의 선형 립들 (115) 을 포함한다. 립들 (115) 은 때때로 돌기들 (protuberances) 로서 지칭된다. 립들 (115) 은 이온 저항성 엘리먼트 (107) 의 상단 표면 상에 위치되며, 많은 경우들에서 립은 길이 (예를 들어, 가장 긴 치수) 가 교차 플로우 전해질의 방향에 수직이도록 배향된다. 이하에 더 논의된 특정한 실시예에서, 립들 (115) 은 길이가 교차 플로우 전해질의 방향에 평행하도록 배향될 수도 있다. 립들 (115) 은 교차 플로우 매니폴드 (110) 내의 유체 플로우 및 전류 분포에 영향을 미친다. 예를 들어, 전해질의 교차 플로우는 립들 (115) 의 상단 표면 위의 영역으로 대체로 한정되고, 이 영역에서 높은 레이트의 전해질 교차 플로우를 생성한다. 인접한 립들 (115) 사이의 영역들에서, 이온 저항성 엘리먼트 (107) 를 통해 상향으로 전달된 전류는 재분배되고, 기판 표면으로 전달되기 전에 보다 균일해진다.
도 1a 및 도 1b에서, 교차 플로우 전해질의 방향은 좌측에서 우측이고 (예를 들어, 측면 유입구 (113) 에서 측면 유출구 (114) 로), 립들 (115) 은 길이들이 페이지 내외로 연장하도록 배향된다. 특정한 실시예들에서, 립들 (115) 은 약 0.5 mm 내지 1.5 mm, 또는 약 0.25 mm 내지 10 mm의 폭 (도 1a의 좌측에서 우측으로 측정됨) 을 가질 수도 있다. 립들 (115) 은 약 1.5 mm 내지 3.0 mm, 또는 약 0.25 mm 내지 7.0 mm의 높이 (도 1a의 위에서 아래로 측정됨) 를 가질 수도 있다. 립들 (115) 은 약 5/1 내지 2/1, 또는 약 7/1 내지 1/7의 높이 대 폭 종횡비 (높이/폭) 를 가질 수도 있다. 립들 (115) 은 약 10 mm 내지 30 mm, 또는 약 5 mm 내지 150 mm의 피치 (pitch) 를 가질 수도 있다. 립들 (115) 은 이온 저항성 엘리먼트 (107) 의 면을 가로질러 연장하는 가변 길이들 (도 1a에서 페이지의 내외로 측정됨) 을 가질 수도 있다. 립들 (115) 의 상부 표면과 기판 (102) 의 표면 사이의 거리는 약 1 mm 내지 4 mm, 또는 약 0.5 mm 내지 15 mm일 수도 있다. 립들 (115) 은 도 1a 및 도 1b에 도시된 바와 같이, 기판과 거의 같은 면적을 갖는 영역 위에 제공될 수도 있다. 이온 저항성 엘리먼트 (107) 의 채널들/개구부들은 인접한 립들 (115) 사이에 위치될 수도 있거나, 립들 (115) 을 통해 연장할 수도 있다 (즉, 립들 (115) 은 채널링될 수도 있고 되지 않을 수도 있다). 일부 다른 실시예들에서, 이온 저항성 엘리먼트 (107) 는 평평한 상부 표면을 가질 수도 있다 (예를 들어, 립들 (115) 을 포함하지 않음). 상부에 립들을 갖는 이온 저항성 엘리먼트를 포함하는 도 1a 및 도 1b에 도시된 전기도금 장치는, 명칭이 “ENHANCEMENT OF ELECTROLYTE HYDRODYNAMICS FOR EFFICIENT MASS TRANSFER DURING ELECTROPLATING”인 미국 특허 번호 제 9,523,155 호에 더 논의되고, 이는 전체가 참조로서 본 명세서에 인용된다.
장치는 특정한 적용예에 필요한 바와 같이 다양한 부가적인 엘리먼트들을 포함할 수도 있다. 일부 경우들에서, 에지 (edge) 플로우 엘리먼트가 교차 플로우 매니폴드 내에서 기판의 주변부에 근접하여 제공될 수도 있다. 에지 플로우 엘리먼트는 기판의 에지들 근처에서 고도의 전해질 플로우 (예를 들어, 교차 플로우) 를 촉진하도록 성형되고 위치될 수도 있다. 특정한 실시예들에서 에지 플로우 엘리먼트는 링 형상 또는 호 형상일 수도 있고, 방위각적으로 균일하거나 불균일할 수도 있다. 에지 플로우 엘리먼트들은 2015년 10월 27에 출원되고 명칭이 “EDGE FLOW ELEMENT FOR ELECTROPLATING APPARATUS”인 미국 특허 출원 번호 제 14/924,124 호에 더 논의되고, 이는 전체가 참조로서 본 명세서에 인용된다.
일부 경우들에서, 장치는 교차 플로우 매니폴드를 일시적으로 시일링하기 (sealing) 위한 시일링 부재를 포함할 수도 있다. 시일링 부재는 링 형상 또는 호 형상일 수도 있으며, 교차 플로우 매니폴드의 에지들에 근접하여 위치될 수도 있다. 링 형상 시일링 부재가 전체 교차 플로우 매니폴드를 시일링할 수도 있지만, 호 형상 시일링 부재는 교차 플로우 매니폴드의 일부를 시일링할 수도 있다 (일부 경우들에서 측면 유출구를 개방된 채로 둔다). 전기도금 동안, 시일링 부재는 교차 플로우 매니폴드를 시일링하고 언시일링하기 (unseal) 위해 반복적으로 인게이지되고 (engaged) 디스인게이지될 (disengaged) 수도 있다. 시일링 부재는 기판 홀더, 이온 저항성 엘리먼트, 전면 삽입부, 또는 시일링 부재와 인게이지하는 장치의 다른 부분을 이동시킴으로써 인게이지되고 디스인게이지될 수도 있다. 시일링 부재들 및 교차 플로우를 조절하는 방법들은 이하 미국 특허 출원들에 더 논의되고, 각각은 전체가 참조로서 본 명세서에 인용된다: 2016년 8월 1일에 출원되고, 명칭이 “DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURING ELECTROPLATING”인 미국 특허 출원 번호 제 15/225,716 호; 및 2016년 5월 20일에 출원되고, 명칭이 “DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURING ELECTROPLATING”인 미국 특허 출원 번호 제 15/161,081 호.
다양한 실시예들에서, 하나 이상의 전해질 분출구는 이온 저항성 엘리먼트 위에 부가적인 전해질을 전달하도록 제공될 수도 있다. 전해질 분출구는 기판의 주변부에 근접하여, 기판의 중심에 보다 가까운 위치에, 또는 모두에 전해질을 전달할 수도 있다. 전해질 분출구는 임의의 위치에 배향될 수도 있고, 교차 플로우 전해질, 충돌 전해질, 또는 이들의 조합을 전달할 수도 있다. 전해질 분출구들은 2017년 3월 9일에 출원되고, 명칭이 “ELECTROPLATING APPARATUS AND METHODS UTILIZING INDEPENDENT CONTROL OF IMPINGING ELECTROLYTE”인 미국 특허 출원 번호 제 15/455,011 호에 더 기술되고, 이는 전체가 참조로서 본 명세서에 인용된다.
도 1a 및 도 1b에 도시된 장치의 일 이점은 기판 표면 위의 플로우의 두 가지 상이한 유형들을 확립한다는 것이다. 이온 저항성 엘리먼트 (107) 를 통해 위로 이동하는 전해질은 기판의 표면에 충돌하고, 교차 플로우 매니폴드 (110) 를 통한 교차 플로우 전해질은 기판의 표면을 전단한다. 이들 두 플로우들은 고품질 도금 결과들을 제공하기 위해 결합한다. 그러나, 특정한 조건들 하에서, 교차 플로우 전해질은 충돌 전해질의 영향을 효과적으로 제거한다는 것이 발견되었다. 이는 이온 저항성 엘리먼트 매니폴드 (111) 내로 그리고 이온 저항성 엘리먼트 (107) 를 통한 전해질의 플로우와 비교할 때, 교차 플로우 매니폴드 (110) 내에서 상대적으로 높은 교차 플로우의 결과일 수도 있다.
이러한 문제점을 해결하기 위한 일 기법은 전해질을 상이한 시간들에서의 장치의 상이한 부분들로 전달함으로써, 기판을 도금하는 과정에 걸쳐 상이한 시간들에서 기판에 걸쳐 상이한 플로우들을 확립하는 것을 수반한다. 예를 들어, 장치는 (a) 기판 표면에, 예를 들어 측면 유입구 (113) 로부터 주로 발생하는 전해질과 함께 교차 플로우 전해질을 전달하는 제 1 플로우 패턴, (b) 기판 표면에, 예를 들어 이온 저항성 엘리먼트 매니폴드 (111) 로부터 주로 발생하고 이온 저항성 엘리먼트 (107) 를 통해 이동하는 전해질과 함께 충돌 전해질을 전달하는 제 2 플로우 패턴 사이에서 주기적으로 또는 간헐적으로 스위칭할 수도 있다. 플로우 패턴 각각은 어느 정도의 교차 플로우 전해질, 뿐만 아니라 어느 정도의 충돌 전해질을 제공할 수도 있다. 그러나, 측면 유입구 (113) 를 통해 그리고 이온 저항성 엘리먼트 매니폴드 (111) 로부터 이온 저항성 엘리먼트 (107) 를 통해 전달된 결합된 전해질 플로우를 고려할 때, 제 1 플로우 패턴은 보다 높은 비율의 측면 유입구 (113) 를 통해 전달되는 플로우를 갖지만, 제 2 플로우 패턴은 보다 높은 비율의 이온 저항성 엘리먼트 매니폴드 (111) 로부터 전달되는 플로우를 갖는다.
일부 경우들에서, 상이한 플로우 패턴들은 전기증착된 재료에서 목표된 조성을 달성하도록 사용될 수도 있다. 전해질 대류의 정도는 특정한 금속들이 전기증착된 재료에 포함되는 정도에 영향을 미칠 수 있다. 예를 들어, 은은 상대적으로 고도의 대류가 있다면 전기증착된 재료 (예를 들어, 구리-은 또는 주석-은 합금) 에 보다 쉽게 포함될 수 있다. 이와 같이, 본 명세서에 기술된 방법들은 증착된 재료에 상대적으로 많은 양의 은을 포함하고 유지하는 것이 바람직한 적용예들에 사용될 수도 있다. 이들 또는 다른 경우들에서, 상이한 플로우 패턴들은 전기도금 동안 임의의 미리 결정된 시간에서 피처의 형상에 기반하여 맞춤되는 유체역학 조건들을 제공하도록 사용될 수도 있다. 예를 들어, 피처가 고 종횡비를 가질 때 일 플로우 패턴 또는 플로우 패턴들의 세트가 사용될 수도 있고, 피처가 보다 더 충진되고, 그리고 따라서 보다 저 종횡비를 가질 때 또 다른 플로우 패턴 또는 플로우 패턴들의 세트가 사용될 수도 있다. 일부 실시예들에서, 플로우 패턴들은 증착 과정에 걸쳐 증착된 재료에서 상대적으로 균일한 조성 (예를 들어, 실버 (또는 다른 금속) 의 정도) 을 달성하도록 (예를 들어, 피처 내로 깊이 증착된 재료는 피처에서 보다 얕은 나중에 증착된 재료와 균일한 조성을 갖도록) 선택될 수도 있다. 예들이 이하에 기술된다.
도 2a 내지 도 2c는 기판 (102) 의 표면 근처의 교차 플로우 매니폴드 (110) 에서 달성될 수 있는 상이한 플로우 패턴들을 도시하는 모델링 결과들을 예시한다. 기판 (102) 은 기판 내 형성된 피처들 (119) 을 포함한다. 피처들 (119) 은 기판 (102) 의 표면 내로 리세스된다 (recessed). 화살표들은 유속 벡터들을 나타낸다. 도 2a는 측면 유입구 (113) 를 통해 전달되는 전해질이 거의 없거나 전혀 없이, 전해질이 이온 저항성 엘리먼트 매니폴드 (111) 로부터 이온 저항성 엘리먼트 (107) 를 통해 교차 플로우 매니폴드 (110) 로 전달될 때 달성된 플로우 패턴을 도시한다. 이 경우에, 기판의 표면 상에 충돌하는 상당한 전해질 플로우가 있을 것이다. 대조적으로, 도 2c는 이온 저항성 엘리먼트 매니폴드 (111)/이온 저항성 엘리먼트 (107) 를 통해 전달되는 전해질이 거의 없거나 전혀 없이, 전해질이 측면 유입구 (113) 로부터 교차 플로우 매니폴드 (110) 로 전달될 때 달성된 플로우 패턴을 도시한다. 이 경우에, 본질적으로 충돌 플로우 없이 기판의 표면 위에 상당한 전해질 교차 플로우가 있을 것이다. 도 2b는 측면 유입구 (113) 및 이온 저항성 엘리먼트 매니폴드 (111)/이온 저항성 엘리먼트 (107) 모두를 통해 전해질이 교차 플로우 매니폴드 (110) 에 전달될 때 달성된 플로우 패턴을 도시한다. 다양한 실시예들에서, 단일 기판을 전기도금하는 과정의 도 2a 내지 도 2c의 두 가지 이상의 플로우 패턴들을 활용하는 것이 유리하다.
도 3a는 도 1a 및 도 1b에 도시된 것과 유사한 전기도금 장치를 예시하고, 간결성을 위해서 차이점들만이 논의될 것이다. 도 3a에서, 장치는 전해질이 어떻게 장치 내에 전달되는지에 영향을 미치는 3-방향 밸브 (120) 를 포함한다. 3-방향 밸브 (120) 는 적어도 밸브들 (120a 및 120b) 을 포함한다. 밸브 (120a) 가 개방될 때, 전해질은 음극액 유입구 (118) 로부터, 밸브 (120a) 를 통해, 측면 유입구 (113) 를 통해, (전해질이 전단 방식으로 기판의 표면 위로 흐르는) 교차 플로우 매니폴드 (110) 를 통해, 측면 유출구 (114) 밖으로, 그리고 둑 벽 (109) 위로 통과한다. 밸브 (120b) 가 개방될 때, 전해질은 음극액 유입구 (118) 로부터, 밸브 (120b) 를 통해, 관개 플루트 (116) 를 통해, 이온 저항성 엘리먼트 매니폴드 (111) 내로, 이온 저항성 엘리먼트 (107) 를 통해, (전해질이 기판의 표면에 충돌하는) 교차 플로우 매니폴드 (110) 내로, 측면 유출구 (114) 밖으로, 그리고 둑 벽 (109) 위로 통과한다. 밸브들 (120a 및 120b) 은 독립적으로 또는 동시에 (in tandem) 제어될 수도 있다.
도 3b는 밸브 (120a) 가 개방되고 밸브 (120b) 가 폐쇄될 때 교차 플로우 매니폴드 (110) 내에서 달성된 일반적인 플로우 패턴을 도시한다. 이런 경우에, 기판 표면에서의 전해질 플로우는 주로 교차 플로우 전해질이다. 이온 저항성 엘리먼트 매니폴드 (111) 로 그리고 이온 저항성 엘리먼트 (107) 를 통해 전달되는 전해질이 거의 없거나 전혀 없기 때문에, 기판 표면 상에 충돌하는 플로우가 상대적으로 거의 없다. 대조적으로, 도 3c는 밸브 (120a) 가 폐쇄되고 밸브 (120b) 가 개방될 때 달성된 플로우 패턴을 도시한다. 이런 경우에, 기판 표면에서 전해질 플로우는 주로 충돌하는 전해질이다. 측면 유입구 (113) 를 통해 전달되는 전해질이 거의 없거나 전혀 없기 때문에, 기판 표면 상에 교차 플로우가 상대적으로 거의 없다.
다양한 구현예들에서, 3-방향 밸브 (120) 는 단일 기판을 도금하는 과정에 걸쳐 상이한 플로우 패턴들을 확립하도록 전기도금 동안 작동된다. 일례에서, 3-방향 밸브 (120) 는 전기도금 프로세스의 적어도 일부 동안 도 3b에 도시된 플로우 패턴과 도 3c에 도시된 플로우 패턴 사이에서 순환적으로 변화하도록 작동된다. 이 경우에서, 밸브 (120a) 는 밸브 (120b) 가 폐쇄될 때 개방되고, 반대도 마찬가지이다. 또 다른 실시예에서, 밸브 (120a) 는 전기도금하는 과정에 걸쳐 개방된 채로 남아있지만, 밸브 (120b) 는 전기도금하는 과정에 걸쳐 개방되고 폐쇄된다. 또 다른 실시예에서, 밸브 (120b) 는 전기도금하는 과정에 걸쳐 개방된 채로 남아있지만, 밸브 (120a) 는 전기도금하는 과정에 걸쳐 개방되고 폐쇄된다. 또 다른 실시예에서, 밸브 (120a) 및 밸브 (120b) 각각은 밸브들 중 하나 또는 둘 다 전기도금하는 과정에 걸쳐 부분적으로 폐쇄되고 다시 개방되면서 전기도금하는 과정에 걸쳐 개방된 채로 남아있다. 밸브를 부분적으로 폐쇄하는 것은 밸브를 통한 전해질의 플로우를 감소시키지만, 중단되지는 않는다.
표 1은 밸브들 (120a 및 120b) 을 제어함으로써 확립될 수 있는 플로우 패턴들의 상이한 유형들의 다양한 예들을 제공한다. 일반적으로, 교차 플로우의 정도는 측면 유입구 (113) 에 전달된 전해질의 플로우를 제어하는 밸브 (120a) 의 위치에 의해 결정된다. 충돌 플로우의 정도는 (a) 관개 플루트 (116) 에 전달된 전해질의 플로우를 제어하는 밸브 (120b) 의 위치에 의해, 그리고 또한 (b) 밸브 (120a) 의 위치에 의해 결정된다. 충돌 플로우의 정도는 측면 유입구 (113) 를 통해 전달된 고도의 교차 플로우 전해질이 이온 저항성 엘리먼트 (107) 를 통해 전달된 충돌 전해질을 제거하도록 작용할 수 있기 때문에 밸브 (120a) 의 위치에 의해 영향을 받는다. 반대로, 고도의 교차 플로우는 상당한 충돌 플로우가 있더라도 유지될 수 있다.
3-방향 밸브 (120) 는 본 명세서에 기술된 임의의 두 가지 이상의 플로우 패턴들 사이에서 주기적으로 또는 간헐적으로 스위칭하도록 전기도금 동안 작동될 수도 있다.
도 4a는 도 3a에 도시된 것과 유사한 전기도금 장치를 예시하고, 간결성을 위해서 차이점들만이 논의될 것이다. 도 4a의 장치는 이온 저항성 엘리먼트 (107) 의 립들 (115) 에 형성되는 복수의 전해질 분출구들 (125) 에 전해질을 전달하는 전해질 도관 (122) 을 포함한다. 도 4a에 도시된 립들 (115) 이 도 3a의 립들과 비교하여 보다 넓고 멀리 떨어져 있지만, 이는 전해질 분출구들 (125) 을 예시하기 위한 것이다. 또 다른 실시예에서, 전해질 분출구들 (125) 은 도 3a에 도시된 립들과 유사한 보다 얇고 보다 서로 가까운 립들 (115) 에 형성될 수도 있다.
도 4a에서, 전해질 도관 (122) 은 멤브레인 프레임 (106) 내 그리고 이온 저항성 엘리먼트 (107) 내에 형성된다. 전해질 도관 (122) 은 유체 접합부 (123) 와 유체로 연통한다. 유체 접합부 (123) 는 밸브 (120b) 가 개방될 때 전해질을 수용한다. 일부 경우들에서, 유체 접합부 (123) 는 밸브 (120) 와 유사한 자체 밸브 (예를 들어, 3-방향 밸브, 미도시) 를 포함한다. 다른 경우들에서, 도 4a에 도시된 바와 같이 이 밸브는 생략될 수도 있다. 밸브가 유체 접합부 (123) 에 포함되면, 전해질 도관 (122) 및 관개 플루트 (116) 로의 전해질의 전달을 독립적으로 제어하도록 사용될 수 있다.
전해질 분출구들 (125) 은 기판의 표면에 충돌 전해질을 전달한다. 전해질 분출구들 (125) 의 일 이점은 그들이 기판 (102) 의 표면에 립들 (115) 의 상단 표면의 근접성으로 인해 기판 표면에 매우 가깝게 전해질을 전달한다는 것이다. 이 예에서, 전해질 분출구들 (125) 은 이온 저항성 엘리먼트 내 다른 개구부들 (예를 들어, 이온 저항성 엘리먼트 (107) 의 비상승된/비-리브된 (non-ribbed) 평면 부분 상의 개구부들) 에 비교하여 기판의 표면에 상당히 보다 가깝게 전해질을 전달한다. 그 결과, 충돌 전해질이 교차 플로우 매니폴드 (110) 내 교차 플로우 전해질에 의해 극복되고/제거되지 않고 기판 (102) 의 표면에 도달한다는 것을 보장하는 것이 보다 쉽다.
도 4a에 도시된 바와 같이 전해질 분출구들 (125) 을 제공하는 또 다른 이점은 전해질 분출구들 (125) 이 애노드 (104) 로부터 전기적으로 절연되는 전해질을 전달한다는 것이다. 대조적으로, 이온 저항성 엘리먼트 (107) 를 통해 전달된 이온 저항성 엘리먼트 매니폴드 (111) 로부터 발생하는 전해질은 애노드 (104) 와 전기적으로 통신한다. 애노드로부터 전기적으로 절연되는 전해질을 전달하는 전해질 분출구들 (125) 을 포함함으로써, 장치 내의 전해질 플로우 및 전류 분포는 각각 최적화될 수 있다. 유사하게, 적절한 위치에서 (예를 들어, 전해질 도관 (122) 및 이온 저항성 엘리먼트 매니폴드 (111), 모두로의 전해질의 전달에 영향을 미치는 위치, 예를 들어 유체 접합부 (123) 에서) 밸브의 포함은 전기도금하는 과정에 걸쳐 기판의 표면에서 전해질 플로우 및 전류 분포의 정도 및 타이밍에 대한 정밀 제어를 가능하게 한다.
도 4b 내지 도 4d는 립들 (115) 이 전해질 분출구들 (125) 을 형성하도록 구성되는, 이온 저항성 엘리먼트들 상에 형성된 선형 립들 (115) 을 갖는 이온 저항성 엘리먼트들 (107) 의 확대 사시도들을 예시한다. 경우 각각에서, 이온 저항성 엘리먼트 (107) 는 개구부들의 두 가지 유형들: (1) 쓰루-홀들 (126) 및 (2) 전해질 분출구들 (125) 을 포함한다. 쓰루-홀들 (126) 은 전해질로 하여금 이온 저항성 엘리먼트 매니폴드 (111) 로부터, 이온 저항성 엘리먼트 (107) 를 통해, 교차 플로우 매니폴드 (110) 내로 이동하게 한다. 쓰루-홀들 (126) 은 또한 채널들 또는 공극들로서 지칭될 수도 있다. 쓰루-홀들 (126) 은 애노드 (104) 와 전기적으로 통신하는 전해질을 제공하지만, 전해질 분출구들 (125) 은 애노드 (104) 와 전기적으로 통신하지 않는 전해질을 제공한다. 도 4b에서, 전해질은 전해질 분출구들 (125) 을 통하지만 쓰루-홀들 (126) 은 통하지 않고 전달된다. 이는 유체 접합부 (123) 의 밸브 (미도시) 로 하여금 전해질이 전해질 도관 (122) 내로 통과하지만 관개 플루트 (116) 내로는 통과하지 않게 하는 동안, 밸브 (120b) 가 개방되는 것을 보장함으로써 달성될 수 있다. 결과로서, 교차 플로우 매니폴드 (110) 내로 전달된 전해질은 실질적으로 애노드 (104) 로부터 전기적으로 절연된다 (하지만 애노드 (104) 와 전기적으로 통신하는 일부 전해질은 전해질이 이온 저항성 엘리먼트 매니폴드 (111) 로 활발히 전달되지 않을 때에도 이온 저항성 엘리먼트 (107) 를 통해 교차 플로우 매니폴드 (110) 내로 누설될 수도 있다는 것이 이해된다). 도 4c에서, 전해질은 쓰루-홀들 (126) 을 통하지만, 전해질 분출구들 (125) 을 통하지는 않고 전달된다. 이는 유체 접합부 (123) 의 밸브 (미도시) 로 하여금 전해질이 관개 플루트 (116) 내로 통과하지만 전해질 도관 (122) 내로는 통과하지 않게 하는 동안 밸브 (120b) 가 개방되는 것을 보장함으로써 달성될 수 있다. 도 4d에서, 전해질은 전해질 분출구들 (125) 및 쓰루-홀들 (126) 모두를 통해 교차 플로우 매니폴드 (110) 내로 전달된다. 이는 밸브 (120b) 가 개방되며, (a) 유체 접합부 (123) 에 제공된 밸브가 없거나, (b) 유체 접합부 (123) 의 밸브 (미도시) 가 전해질로 하여금 유체 도관 (122) 및 관개 플루트 (116) 모두 내로 통과하게 하도록 개방되는 것을 보장함으로써 달성될 수 있다.
도 4e 내지 도 4g는 도 4a 내지 도 4d에 도시된 바와 같이 이온 저항성 엘리먼트들 (107) 의 단면도들을 예시한다. 도 4e는 도 4b와 유사한 전해질 분출구들 (125) 을 통한 전해질의 플로우를 도시한다. 도 4f는 도 4c와 유사한 쓰루-홀들 (126) 을 통한 전해질의 플로우를 도시한다. 도 4g는 도 4d와 유사한 전해질 분출구들 (125) 및 쓰루-홀들 (126) 모두를 통한 전해질의 플로우를 도시한다. 도 4h는 측면 유입구 (113) 로부터 교차 플로우로서 전해질 플로우가 발생하는 교차 플로우 매니폴드 (110) 를 통한 전해질의 플로우를 예시한다. 이들 플로우들이 특정한 적용예에 대해 목표된 바와 같이 결합될 수도 있다는 것이 이해된다.
도 4i는 도 4a 내지 도 4h에 도시된 바와 같이 이온 저항성 엘리먼트 (107) 의 일부의 확대 상면도를 예시한다. 전해질 분출구들 (125) 은 립들 (115) 상에 제공되고, 쓰루-홀들 (126) 은 이온 저항성 엘리먼트 (107) 의 비-리브된 평면 부분 상에 제공된다.
도 4j는 도 4a 내지 도 4i에 대해 기술된 바와 같이 이온 저항성 엘리먼트 (107) 의 확대 단면도를 도시한다. 도면에서, 챔버 (128) 를 알아볼 수 있다. 챔버 (128) 는 립들 (115) 에 평행하게 이온 저항성 엘리먼트 (107) 의 길이를 따라 늘어난다. 도 4j에서, 챔버 (128) 는 페이지 내외로 연장한다. 챔버 (128) 는 전해질 도관 (122) 또는 애노드 (104) 로부터 전기적으로 절연되는 전해질의 또 다른 소스에 의해 피딩될 (또는 통합될) 수도 있다. 챔버 (128) 는 전해질 분출구들 (125) 에 전해질을 전달한다. 일례에서, 챔버 (128) 는 드릴링 (drilling) 에 의해 형성될 수도 있고, 이 경우에 층 (129) 은 드릴링 후 부착될 수도 있다. 또 다른 예에서, 이온 저항성 엘리먼트 (107) 는 3D 프린팅 기법들을 통해 형성될 수도 있고, 이 경우에 챔버 (128) 를 드릴링할 필요가 없다.
도 4k 내지 도 4m은 도 4a 내지 도 4j에 대해 기술된 바와 같이 립들 내에 형성된 상이한 형상의 전해질 분출구들 (125) 을 갖는 립들 (115) 의 상면도들을 도시한다. 도 4k에서, 전해질 분출구들 (125) 은 원형 개구부들이다. 도 4l에서, 전해질 분출구들 (125) 은 립들 (115) 의 길이/최장 치수와 평행한 타원형의 최장 치수를 갖는 타원형 개구부들이다. 도 4m에서, 전해질 분출구들 (125) 은 립들 (115) 의 길이/최장 치수와 평행한 직사각형의 최장 치수를 갖는 직사각형 개구부들이다. 다른 개구부 형상들 및 사이즈들이 또한 전해질 분출구 (125) 에 대해 사용될 수도 있다.
도 4n 및 도 4o는 전해질 분출구들 (125) 을 포함하는 립들 (115) 을 갖는 이온 저항성 엘리먼트 (107) 의 상면도 (도 4n) 및 단면도 (도 4o) 를 도시한다. 이들 도면들은 특정한 실시예들에 따라 전해질이 어떻게 립/전해질 분출구들에 그리고 립/전해질 분출구들 내에서 전달되는지를 도시한다. 명확성을 위해, (이온 저항성 엘리먼트 매니폴드로부터, 이온 저항성 엘리먼트를 통해, 교차 플로우 매니폴드 내로 전해질을 전달하는) 이온 저항성 엘리먼트 (107) 의 두께를 통해 연장하는 쓰루-홀들이 생략된다. 이 예에서, 립들 (115) 각각은 립들 (115) 의 길이를 따라 연장하는 챔버 (128) 를 포함한다. 유사한 챔버 (128) 가 도 4j에 페이지 내외로 연장하는 것으로 도시되었다. 챔버 (128) 는 복수의 전해질 분출구들 (125) 에 전해질을 전달한다. 도 4n 및 도 4o에서, 립들 (115) 각각의 챔버 (128) 는 제 1 전해질 분출구 유입구들 (130a) 및 제 2 전해질 분출구 유입구들 (130b) 로부터 전해질이 피딩된다. 제 1 및 제 2 전해질 분출구 유입구들 (130a 및 130b) 은 립들 (115) 의 반대편 단부들 상에 위치된다. 유사한 실시예에서, 전해질 분출구 유입구들 (130a 또는 130b) 의 일 세트는 립들 (115) 내에 흐르는 과잉 전해질을 수용하고 이를 전기도금 장치 내의 또 다른 채널 또는 영역에 (예를 들어, 과잉 전해질을 수용하고 이를 도금 챔버로부터 즉시 제거하는 채널에, 또는 이온 저항성 엘리먼트 매니폴드에, 또는 교차 플로우 매니폴드에, 등) 전달하는 전해질 분출구 유출구들로 대체될 수도 있다. 다양한 전해질 분출구 유입구들 (130a 및 130b) 은 측면 유입구 (113) 의 다양한 개별적인 유입구들을 피딩하는 매니폴드와 유사한 하나 이상의 공통 전해질 분출구 유입구 매니폴드들 (미도시) 로부터 피딩될 수도 있다. 사용된 전해질 분출구 유출구들은 연결된 매니폴드에 유사하게 피딩될 수도 있다. 전해질 분출구 유입구 (및 유출구) 매니폴드들은 이온 저항성 엘리먼트 (107), 또는 멤브레인 프레임, 전면 삽입부, 후면 삽입부, 또는 이온 저항성 엘리먼트 (107) 의 주변부 근처에 위치된 또 다른 하드웨어의 부품과 같은 또 다른 컴포넌트에 형성될 수도 있다.
본 명세서의 다양한 도면들은 립이 립의 최장 치수 (예를 들어, 립의 길이) 가 교차 플로우 전해질의 방향에 수직 (예를 들어, 측면 유입구 (113) 와 측면 유출구 (114) 사이의 방향에 수직) 이도록 배향되는, 상부에 립들 (115) 을 갖는 이온 저항성 엘리먼트 (107) 를 예시한다. 도 5a는 이 방식으로 배향된 립들 (115) 을 갖는 이온 저항성 엘리먼트 (107) 의 상면도를 도시한다. 일부 경우들에서, 립들 (115) 은 상이하게 배향될 수도 있다. 도 5b에 도시된 예에서, 립들 (115) 은 교차 플로우 전해질의 방향에 평행하게 배향된다. 도 5a에 도시된 배향의 일 이점은 전해질이 측면 유입구 (113) 에서 측면 유출구 (114) 로 이동하는 것과 같이 립들 (115) 각각에 걸쳐 통과해야만 한다는 것이다. 이는 립들 (115) 각각 위의 영역에 높은 레이트의 전해질 교차 플로우를 달성한다. 도 5b에 도시된 배향의 일 이점은 교차 플로우가 인접한 립들 (115) 사이에 형성된 채널들에서 교차 플로우가 방해받지 않는다는 것이다. 충돌 플로우는 립들 (115) 에 형성된 전해질 분출구들 (125) (도 5a 또는 도 5b에 미도시) 을 통해 전달될 수 있다. 기판이 회전할 때, 기판의 부분 각각은 (a) 교차 플로우 전해질 (예를 들어, 인접한 립들 (115) 사이에 형성된 채널의 위/채널에 근접할 때), 및 (b) 충돌 전해질 (예를 들어, 립들 (115) 의 위/립들 (115) 에 근접할 때) 순환적 노출을 경험한다. 이 순환적 노출은 립들 (115) 이 교차 플로우의 방향과 정렬될 때 특히 두드러진다. 본 명세서에 기술된 임의의 실시예들은 립이 도 5a에 도시된 바와 같이 배향되도록 수정될 수 있다.
전해질 도관 (122)/전해질 분출구 (125) 가 전해질 도관 (122) 및 이온 저항성 엘리먼트 매니폴드 (111) 로의 유체 전달을 제어하는 밸브 (예를 들어, 유체 접합부 (123) 의 밸브) 와 조합하여 제공되면, 장치에서 달성될 수 있는 플로우 패턴들의 수는 실질적으로 증가한다. 표 1을 참조하면, 표에 도시된 각각의 플로우 패턴들은, 예를 들어 유체 접합부 (123) 의 밸브가 관개 플루트 (116) 에 전해질의 전달을 가능하게 하지만, 전해질 도관 (122) 에는 전달하지 않는다는 것을 보장함으로써 달성될 수 있다. 또한, 표에 도시된 플로우 패턴 각각은 (a) 유체 접합부 (123) 의 밸브가 전해질 도관 (122) 내로 전해질의 전달을 가능하게 하지만, 관개 플루트 (116) 에는 전달하지 않는다는 것을 보장함; (b) (존재한다면) 유체 접합부 (123) 의 밸브가 유체 도관 (122) 내로 그리고 관개 플루트 (116) 내로 전해질의 전달을 가능하게 한다는 것을 보장함; (c) 전해질 도관 (122) 및/또는 관개 플루트 (116) 내로 감소된 플로우를 가능하게 하도록 유체 접합부 (123) 의 밸브를 부분적으로 개방함으로써 상이한 플로우 패턴들을 제공하도록 수정될 수 있다. 많은 상이한 플로우 패턴들 및 플로우 패턴들의 조합들이 사용될 수 있다.
도 3a 및 도 4a가 전기도금 장치 내의 특정한 위치에서 밸브 (120) 를 예시하지만, 밸브가 (예를 들어, 측면 유입구 (113) 를 통해) 이온 저항성 엘리먼트 위 그리고 (예를 들어, 이온 저항성 엘리먼트 매니폴드 (111) 내로, 일부 경우들에서 관개 플루트 (116) 를 통해) 이온 저항성 엘리먼트 아래 모두에 전해질의 플로우를 제어할 수 있는 한, 이러한 밸브가 또 다른 위치에 제공될 수도 있다는 것이 이해된다. 통상적으로, 이들 플로우들을 제어하는 밸브는 도금 챔버의 내부로부터 원격으로 위치된다. 도 3a 및 도 4a에 도시된 밸브 (120) 의 위치는 측면 유입구 (113) 및 이온 저항성 엘리먼트 매니폴드 (111) 모두의 근처이기 때문에 특히 유용하다. 이와 같이, 설정들이 밸브 (120) (또는 유사하게 관련 전해질 플로우들 근처인 유체 접합부 (123) 에 위치된 밸브) 상에서 변경될 때, 장치 내에서 전해질 플로우는 매우 빨리 변경된다. 관련 밸브가 장치의 관련 부분들로부터 멀리 떨어진 위치에 위치되는 경우에, 전해질 플로우들은 밸브 설정들의 변화들에 보다 느리게 반응할 수도 있다.
일부 실시예들에서, 도금 시작 근처의 일 플로우 패턴 또는 플로우 패턴들의 조합, 그리고 도금 종료 근처의 또 다른 플로우 패턴 또는 플로우 패턴들의 조합을 장려하는 것이 이로울 수도 있다. 예를 들어, 고 종횡비들을 갖는 리세스된 피처들은 전해질 플로우가 교차 플로우가 우세한 조건들 하에서 보다 잘 충진될 수도 있지만, 저 종횡비들을 갖는 리세스된 피처들은 상대적으로 보다 큰 충돌 플로우가 있는 (예를 들어, 전해질 플로우가 교차 플로우에 의해 덜 우세하도록) 조건들 하에서 보다 잘 충진될 수도 있다. 이들 이유들에 대해, 최적의 전해질 플로우 패턴은 피처 형상이 변화함에 따라 도금의 과정 동안 변화될 수도 있다.
다양한 예들에서, 기판 내 형성된 고 종횡비 피처들을 갖는 기판이 두 가지의 상이한 도금 레짐들 하에 도금된다. 도금 레짐 각각은 본 명세서에 기술된 하나 이상의 플로우 패턴들을 활용할 수도 있다. 제 1 도금 레짐 동안 (예를 들어, 도금의 시작을 향해), 하나 이상의 플로우 패턴들이 사용되고, 이 중 적어도 하나는 상대적으로 고도의 교차 플로우 전해질을 제공한다. 제 2 도금 레짐 동안 (예를 들어, 도금의 종료를 향해), 하나 이상의 플로우 패턴들이 사용되고, 이 중 적어도 하나는 (제 1 플로우 레짐에 사용된 플로우 패턴들과 비교하여) 상대적으로 보다 적은 정도의 교차 플로우 전해질 및/또는 상대적으로 보다 큰 정도의 충돌 전해질을 제공한다.
도 7a는 기판을 전기도금하는 방법에 대한 플로우차트를 제시한다. 방법은 기판이 전기도금 장치에서 전해질에 침지되는 동작 (701) 에서 시작한다. 전기도금 장치는 다양한 실시예들에서 도 3a 또는 도 4a에 도시된 바와 같을 수도 있다. 다음으로, 동작 (703) 이다. 재료는 제 1 플로우 패턴에 따라 전해질을 흘리는 동안 기판 상에 전기도금된다. 플로우 패턴은 전기도금 장치의 기하구조 및 전기도금 장치로의 전해질의 전달을 제어하는 다양한 밸브 및 펌프 설정들에 의해 결정된다. 도 3a 및 도 4a를 참조하면, 밸브 (120) 에 관련된 설정들 및 (존재한다면) 유체 접합부 (123) 의 밸브에 관련된 설정들은 특히 관련된다. 제 1 플로우 패턴을 사용하여 기판 상에 재료를 전기도금한 후, 방법은 밸브 설정들이 변경되고 제 2 플로우 패턴에 따라 전해질을 흘리는 동안 기판 상에 재료가 전기도금되는 동작 (705) 에서 계속된다. 제 2 플로우 패턴은 변경된 밸브 설정들로 인해 제 1 플로우 패턴과 상이하다. 제 1 플로우 패턴 및 제 2 플로우 패턴 모두는 음극액 유입구 (118) 로부터 (a) 측면 유입구 (113), (b) 이온 저항성 엘리먼트 매니폴드 (111), 예를 들어 관개 플루트 (116) 를 통해, 그리고 (c) 전해질 도관 (122)/전해질 분출구들 (125) 중 임의의 하나 이상으로 전해질이 전달되는, 본 명세서에 기술된 임의의 플로우 패턴일 수 있다. 임의의 이들 플로우들을 증가시키고 그리고/또는 감소시키는 것은 전기도금 장치 내에서 플로우 패턴을 변경시킬 것이다. 일례에서, 제 2 플로우 패턴은 제 1 플로우 패턴과 비교하여 기판 표면에서 상대적으로 보다 큰 정도의 충돌 플로우, 그리고/또는 기판 표면에서 상대적으로 보다 적은 정도의 교차 플로우를 확립한다. 그러나, 플로우 패턴들의 많은 조합들이 가능하다. 다음으로, 동작 (707) 에서, 기판은 전해질로부터 제거된다.
유사한 예에서, 동작들 (703 및 705) 은 제 1 플로우 패턴 및 제 2 플로우 패턴이 전기도금 동안 전기도금 장치에서 순환적으로 확립되도록 순환될 수도 있다. 또 다른 예에서, 부가적인 플로우 패턴들 (예를 들어, 제 3 플로우 패턴, 제 4 플로우 패턴, 등) 이 동작 (705) 후 및 동작 (707) 전 확립될 수도 있다.
도 7b는 기판을 전기도금하는 방법에 대한 또 다른 플로우차트를 제시한다. 이 예에서, 두 가지의 상이한 도금 레짐들이 사용된다. 도금 레짐 각각 동안, 하나 이상의 플로우 패턴들은 전기도금 장치 내에서 확립될 수도 있다. 단일 도금 레짐 동안 두 가지 이상의 플로우 패턴들이 사용되면, 플로우 패턴들은 도금 레짐 동안 함께 순환될 수도 있다. 방법은 기판이 전해질에 침지되는 동작 (701) 에서 시작한다. 다음으로, 동작 (711) 에서 재료는 제 1 도금 레짐에 따라 전해질을 흘리는 동안 기판 상에 전기도금된다. 동작 (711) 을 달성하기 위해, 다수의 단계들이 취해질 수도 있다. 첫 번째로, 단계 (712) 에서 밸브 설정들이 제 1 플로우 패턴을 확립하기 위해 설정되거나 변경된다. 도 3a 및 도 4a를 참조하면, 밸브 (120) 에 관련된 설정들 및 (존재한다면) 유체 접합부 (123) 의 밸브에 관련된 설정들은 특히 관련된다. 다음으로, 단계 (714) 에서 제 1 도금 레짐 동안 플로우 패턴 순환이 목표되었는지 여부가 결정된다. 순환이 목표되지 않았다면, 방법은 제 1 플로우 패턴이 제 1 도금 레짐의 종료까지 유지되는 단계 (716) 로 계속된다. 순환이 목표되는 경우들에서, 방법은 밸브 설정들이 제 2 플로우 패턴을 확립하기 위해 변경되는 동작 (718) 으로 계속된다. 제 1 플로우 패턴 및 제 2 플로우 패턴은 제 1 도금 레짐의 종료까지 (예를 들어, 필요에 따라 밸브 설정들을 순환시킴으로써) 함께 순환된다.
제 1 도금 레짐이 완료된 후, 방법은 제 2 도금 레짐에 따라 전해질을 흘리는 동안 기판 상에 재료를 전기도금함으로써 동작 (719) 에서 계속된다. 제 2 도금 레짐을 달성하기 위해, 제 1 도금 레짐과 유사한 다수의 단계들이 취해질 수도 있다. 단계 (722) 에서, 밸브 설정들은 제 3 플로우 패턴을 확립하도록 변경된다. 단계 (724) 에서, 제 2 도금 레짐 동안 플로우 패턴 순환이 결정되는지 여부가 결정된다. 순환이 목표되지 않았다면, 방법은 제 3 플로우 패턴이 제 2 도금 레짐의 종료까지 유지되는 단계 (726) 로 계속된다. 순환이 목표되는 경우에서, 방법은 밸브 설정들이 제 4 플로우 패턴을 확립하도록 변경되는 동작 (728) 로 계속된다. 제 3 플로우 패턴 및 제 4 플로우 패턴은 제 2 도금 레짐의 종료까지 (예를 들어, 필요에 따라 밸브 설정들을 순환시킴으로써) 함께 순환된다. 다음으로, 동작 (707) 에서 기판은 전해질로부터 제거된다.
도 7b가 두 가지의 도금 레짐들만을 도시하지만, 임의의 수의 도금 레짐들이 사용될 수도 있다. 유사하게, 도 7b가 도금 레짐 각각 동안 두 가지까지의 플로우 패턴들만을 도시하지만, 단일 도금 레짐 동안 임의의 수의 플로우 패턴들이 사용될 수도 있다.
두 가지 이상의 플로우 패턴들이 단일 도금 레짐 동안 사용되는 경우들에서, 플로우 패턴들은 단계 (718) 를 단계 (712) 에 연결하고, 단계 (728) 를 단계 (722) 에 연결하는 화살표들에 의해 나타난 바와 같이, 관련 플로우 패턴들을 복수의 횟수를 통해 반복적으로 순환시키기 위해 스위칭될 수도 있다. 플로우 패턴들이 단일 도금 레짐에서 순환되는 경우들에서, 플로우 패턴들이 변화되는 빈도 (예를 들어, 플로우 패턴들을 제어하는 하나 이상의 밸브들이 스위칭되는 빈도) 는 초당 약 0.5 내지 2 회, 또는 초당 약 0.1 내지 10 회일 수도 있다. 플로우 패턴 각각에서 소요된 지속기간 (예를 들어, 밸브 설정들의 관련 세트 각각에서 소요된 지속기간) 은 균일하거나 불균일할 수도 있고, 특정한 도금 레짐에서 또는 상이한 도금 레짐들 사이에서 시간에 걸쳐 증가하거나 감소할 수도 있다. 제 1 플로우 패턴을 활용하는 제 1 도금 레짐 하에서, 이후 제 2 플로우 패턴과 제 3 플로우 패턴 사이에서 스위칭하는 제 2 도금 레짐 하에서 기판이 도금되는 일례에서, 제 2 플로우 패턴의 반복 각각에서 소요된 지속기간은 제 3 플로우 패턴의 반복 각각에서 소요된 지속기간과 동일하거나, 보다 짧거나, 보다 길 수도 있다. 일 도금 레짐에서 또 다른 도금 레짐으로 스위칭하는 시간은 피처의 순간 종횡비, 피처의 순간 깊이, 기판에 통과되는 전하의 양 또는 전하 밀도를 포함하지만, 이에 제한되지는 않는 다양한 특성들에 기반하여 선택될 수도 있다. 예를 들어, 프로세스는 문턱값 종횡비에 도달될 때, 문턱값 피처 깊이에 도달될 때, 전하의 양 또는 전하 밀도의 문턱값에 도달될 때, 등에 일 도금 레짐에서 또 다른 도금 레짐으로 스위칭될 수도 있다. 일례에서, 기판 상의 피처들이 문턱값 종횡비에 도달할 때 상대적으로 보다 큰 교차 플로우를 제공하는 제 1 도금 레짐이 상대적으로 보다 큰 충돌 플로우를 제공하는 제 2 도금 레짐으로 스위칭된다.
특정한 예가 도 3a를 참조하여 기술된다. 이 예에서, 기판 (102) 은 두 가지 상이한 도금 레짐들 하에서 도금된다. 도금의 시작을 향한 제 1 도금 레짐 동안, 밸브 (120a) 는 고도의 교차 플로우가 달성되도록 완전히 개방된다. 관개 플루트 (116) 를 통해 이온 저항성 엘리먼트 매니폴드 (111) 로의 전해질의 전달을 제어하는 밸브 (120b) 는 완전히 개방되거나, 부분적으로 개방되거나, 또는 폐쇄될 수도 있다. 고도의 교차 플로우는 기판 (102) 상의 고 종횡비 피처들의 충진을 돕는다. 피처들이 충진됨에 따라, 그들의 종횡비가 감소하고, 그리고 이는 보다 큰 정도의 충돌 플로우를 제공하기 위해 바람직할 수도 있다. 따라서, 도금의 종료를 향한 제 2 도금 레짐 동안, 밸브 (120) 는 지속적으로 또는 주기적으로 중간 또는 고도의 충돌 플로우를 달성하도록 제어된다. 예를 들어, 제 2 도금 레짐 동안, 밸브 (120) 는 교차 플로우가 거의 없거나 전혀 없고 중간 또는 고도의 충돌 플로우가 있도록 표 1로부터 플로우 패턴 5 또는 8을 달성하기 위해 제어될 수도 있다. 또 다른 예에서, 제 2 도금 레짐 동안 밸브 (120) 는 (a) 플로우 패턴 5 또는 8과 (b) 임의의 플로우 패턴들 1 내지 8 사이에서 스위칭하도록 제어될 수도 있다. 또 다른 예에서, 도 4a의 장치가 사용되고, 제 2 도금 레짐 동안 달성된 적어도 하나의 플로우 패턴은 전해질 분출구들 (125) 을 통해 전해질을 전달하는 것을 수반한다.
전기도금 시스템들
본 명세서에 기술된 방법들은 임의의 적합한 시스템/장치에 의해 수행될 수도 있다. 적합한 장치가 본 실시예들에 따라 프로세스 동작들을 달성하기 위한 하드웨어 및 프로세스 동작들을 제어하기 위한 인스트럭션들 (instructions) 을 갖는 시스템 제어기를 포함한다. 예를 들어, 일부 실시예들에서, 하드웨어는 프로세스 툴에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수도 있다.
도 6은 예시적인 전기증착 장치의 상면도의 개략도를 도시한다. 전기증착 장치 (600) 는 세 개의 분리된 전기도금 모듈들 (602, 604, 및 606) 을 포함할 수 있다. 전기증착 장치 (600) 는 또한 다양한 프로세스 동작들을 위해 구성된 세 개의 분리된 모듈들 (612, 614, 및 616) 을 포함할 수 있다. 예를 들어, 일부 실시예들에서, 하나 이상의 모듈들 (612, 614, 및 616) 은 SRD (Spin Rinse Drying) 모듈일 수도 있다. 다른 실시예들에서, 하나 이상의 모듈들 (612, 614, 및 616) 은 PEM들 (Post-Electrofill Modules) 일 수도 있고, 각각은 기판들이 하나 이상의 전기도금 모듈들 (602, 604, 및 606) 에 의해 프로세싱된 후 기판들의 에지 베벨 (bevel) 제거, 후면 에칭, 및 산성 세정과 같은 기능을 수행하도록 구성된다.
전기증착 장치 (600) 는 중앙 전기증착 챔버 (624) 를 포함한다. 중앙 전기증착 챔버 (624) 는 전기도금 모듈들 (602, 604, 및 606) 에서 전기도금 용액으로서 사용된 화학적 용액을 홀딩하는 챔버이다. 전기증착 장치 (600) 는 또한 전기도금 용액들에 대한 첨가제들을 저장하고 전달할 수도 있는 도징 (dosing) 시스템 (626) 을 포함한다. 화학적 희석 모듈 (622) 이 에천트 (etchant) 로서 사용되는 화학물질들을 저장하고 혼합할 수도 있다. 필터 및 펌핑 유닛 (628) 이 중앙 전기증착 챔버 (624) 를 위한 전기도금 용액을 필터링하고 이를 전기도금 모듈들에 펌핑할 수도 있다.
시스템 제어기 (630) 가 전기증착 장치 (600) 를 작동시키기 위해 필요한 전자장치 제어 및 인터페이스 제어를 제공한다. (하나 이상의 물리적 또는 논리적 제어기들을 포함할 수도 있는) 시스템 제어기 (630) 는 전기도금 장치 (600) 의 일부 또는 모든 특성들을 제어한다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (630) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적 예들은 질량 유량 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 써모커플들 (thermocouples), 광학 위치 센서들, 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
핸드-오프 (hand-off) 툴 (640) 이 카세트 (cassette) (642) 또는 카세트 (644) 와 같은 기판 카세트로부터 기판을 선택할 수도 있다. 카세트들 (642 또는 644) 은 FOUP들 (Front Opening Unified Pods) 일 수도 있다. FOUP는 제어된 분위기에서 기판들을 단단하고 안전하게 홀딩하도록, 그리고 기판들로 하여금 적절한 로드 포트들 및 로봇 핸들링 시스템들을 구비한 툴들에 의해 프로세싱 또는 측정을 위해 제거되도록 설계된 인클로저 (enclosure) 이다. 핸드-오프 툴 (640) 은 진공 부착 또는 일부 다른 부착 메커니즘을 사용하여 기판을 홀딩할 수도 있다.
핸드-오프 툴 (640) 은 웨이퍼 핸들링 스테이션 (632), 카세트들 (642 또는 644), 이송 스테이션 (650), 또는 얼라이너 (aligner) (648) 와 인터페이스할 수도 있다. 이송 스테이션 (650) 으로부터, 핸드 오프 툴 (646) 은 기판으로의 액세스를 획득할 수도 있다. 이송 스테이션 (650) 은 얼라이너 (648) 를 거치지 않고 기판들을 통과할 수도 있는 핸드-오프 툴들 (640 및 646) 로부터 그리고 핸드-오프 툴들 (640 및 646) 로의 슬롯 또는 위치일 수도 있다. 그러나, 일부 실시예들에서, 기판이 전기도금 모듈로 정확한 전달을 위해 핸드-오프 툴 (646) 상에 적절하게 정렬된다는 것을 보장하도록, 핸드-오프 툴 (646) 은 얼라이너 (648) 로 기판을 정렬할 수도 있다. 핸드-오프 툴 (646) 은 또한 전기도금 모듈들 (602, 604, 또는 606) 중 하나 또는 다양한 프로세스 동작들을 위해 구성된 세 개의 분리된 모듈들 (612, 614, 및 616) 중 하나에 기판을 전달할 수도 있다.
상기 기술된 방법들에 따른 프로세스 동작의 일 예는 이하: (1) 전기도금 모듈 (604) 에서 기판 상에 구리 또는 또 다른 재료를 전기증착함; (2) 모듈 (612) 의 SRD에서 기판을 린싱 (rinse) 하고 건조함; 그리고, (3) 모듈 (614) 에서 에지 베벨 제거를 수행함과 같이 진행할 수도 있다.
순차적인 도금, 린싱, 건조, 및 PEM 프로세스 동작들을 통해 기판들의 유효한 순환을 가능하게 하도록 구성된 장치가 제작 분위기에서 사용하기 위한 구현예들에 대해 유용할 수도 있다. 이를 달성하기 위해, 모듈 (612) 은 스핀 린스 (spin rinse) 건조기 또는 에지 베벨 제거 챔버로서 구성될 수 있다. 이러한 모듈 (612) 과 함께, 구리 도금 및 EBR 동작들을 위해 기판은 전기도금 모듈 (604) 과 모듈 (612) 사이로만 이송되어야 한다. 일부 실시예들에서 본 명세서에 기술된 방법들은 전기도금 장치 및 스텝퍼 (stepper) 를 포함하는 시스템에서 구현될 것이다.
시스템 제어기
일부 구현예들에서, 제어기는, 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 전에, 프로세싱 동안에 그리고 프로세싱 후에 그들의 동작을 제어하기 위해 전자장치들에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 “제어기” 로서 지칭될 수도 있다. 제어기는, 프로세싱 조건들 및/또는 시스템의 유형에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블 (enable) 하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치들로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 으로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 “클라우드” 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 유형 및 수행될 프로세스의 유형에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산된 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, 원격으로 위치한 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (Atomic Layer Deposition) 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터 그리고 툴 위치들 및/또는 로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (18)

  1. (a) 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 도금 챔버로서, 상기 기판은 실질적으로 평면인, 상기 도금 챔버;
    (b) 상기 기판의 도금 면이 도금 동안 상기 전해질에 침지되고 상기 애노드로부터 분리되도록 상기 기판을 지지하도록 구성된 기판 홀더 (holder);
    (c) 전기도금 동안 이온 저항성 엘리먼트를 통해 이온 이송을 제공하도록 구성된 상기 이온 저항성 엘리먼트로서, 상기 이온 저항성 엘리먼트는 상기 기판과 적어도 거의 같은 면적을 갖는 (coextensive) 플레이트 및 상기 플레이트에 형성된 복수의 쓰루-홀들 (through-holes) 을 포함하는, 상기 이온 저항성 엘리먼트;
    (d) 상기 이온 저항성 엘리먼트 아래에 위치된 이온 저항성 엘리먼트 매니폴드 (manifold) 로서, 전기도금 동안 전해질이 상기 이온 저항성 엘리먼트 매니폴드로부터 상기 이온 저항성 엘리먼트 내 상기 쓰루-홀들을 통해 통과하는, 상기 이온 저항성 엘리먼트 매니폴드;
    (e) 상기 기판이 상기 기판 홀더에 존재할 때 상기 이온 저항성 엘리먼트 위 그리고 상기 기판의 상기 도금 면 아래에 위치된 교차 플로우 매니폴드;
    (f) 상기 교차 플로우 매니폴드에 전해질을 도입하기 위한 측면 유입구;
    (g) 상기 교차 플로우 매니폴드에서 흐르는 전해질을 수용하기 위한 측면 유출구로서, 상기 측면 유입구 및 상기 측면 유출구는 전기도금 동안 상기 기판의 상기 도금 면 상에 방위각적으로 반대 둘레 위치들에 근접하게 위치되고, 상기 측면 유입구 및 상기 측면 유출구는 전기도금 동안 상기 교차 플로우 매니폴드에 교차 플로우 전해질을 생성하도록 구성되는, 상기 측면 유출구; 및
    (h) (i) 상기 측면 유입구 및 (ii) 상기 이온 저항성 엘리먼트 매니폴드 각각으로의 전해질의 전달을 제어하는 3-방향 (three-way) 밸브를 포함하는, 전기도금 장치.
  2. 제 1 항에 있어서,
    상기 기판 상의 전기도금 동안 적어도 제 1 플로우 패턴 및 제 2 플로우 패턴을 제공하기 위해 전기도금 동안 상기 3-방향 밸브로 스위칭하도록 구성된 제어기를 더 포함하는, 전기도금 장치.
  3. 제 2 항에 있어서,
    상기 제 2 플로우 패턴은 상기 제 1 플로우 패턴과 비교하여 상기 기판의 상기 도금 면에 상대적으로 보다 큰 정도로 충돌하는 전해질을 제공하는, 전기도금 장치.
  4. 제 1 항에 있어서,
    상기 3-방향 밸브는 상기 측면 유입구 및 상기 이온 저항성 엘리먼트 매니폴드로의 전해질의 전달 동안 독립적인 제어를 허용하는, 전기도금 장치.
  5. 제 1 항에 있어서,
    상기 기판 상의 전기도금 동안 적어도 제 1 도금 레짐 (regime) 및 제 2 도금 레짐을 제공하기 위해 전기도금 동안 상기 3-방향 밸브로 스위칭하도록 구성된 제어기를 더 포함하고, 상기 제 1 도금 레짐 동안 제 1 플로우 패턴이 제공되고, 상기 제 2 도금 레짐 동안, 제 2 플로우 패턴 및 제 3 플로우 패턴이 순환적으로 제공되고, 그리고 상기 제 2 플로우 패턴은 상기 제 1 플로우 패턴과 비교하여 상기 기판의 상기 도금 면 상에 상대적으로 보다 큰 정도로 충돌하는 전해질을 제공하는, 전기도금 장치.
  6. 제 5 항에 있어서,
    상기 제어기는 (i) 상기 제 1 플로우 패턴이 상기 측면 유입구 및 상기 이온 저항성 엘리먼트 매니폴드 모두에 전해질을 전달하고, 그리고 (ii) 상기 제 2 플로우 패턴이 상기 이온 저항성 엘리먼트 매니폴드에 전해질을 전달하지만 상기 측면 유입구에는 전달하지 않도록 상기 3-방향 밸브를 동작시키도록 구성되는, 전기도금 장치.
  7. 제 5 항에 있어서,
    상기 제어기는 상기 제 1 플로우 패턴 및 상기 제 2 플로우 패턴 각각이 상기 측면 유입구 및 상기 이온 저항성 엘리먼트 매니폴드 모두에 전해질을 전달하도록 상기 3-방향 밸브를 동작시키도록 구성되고, 상기 측면 유입구로의 전해질의 플로우는 상기 제 2 플로우 패턴과 비교하여 상기 제 1 플로우 패턴에서 상대적으로 보다 크고, 그리고 상기 이온 저항성 엘리먼트 매니폴드로의 전해질의 플로우는 상기 제 1 플로우 패턴과 비교하여 상기 제 2 플로우 패턴에서 상대적으로 보다 큰, 전기도금 장치.
  8. 제 1 항에 있어서,
    상기 3-방향 밸브는 상기 측면 유입구로의 전해질의 플로우가 증가할 때 상기 이온 저항성 엘리먼트 매니폴드로의 전해질의 플로우가 감소하고, 그리고 반대도 마찬가지이도록 비독립적 방식으로 상기 측면 유입구 및 상기 이온 저항성 엘리먼트 매니폴드로의 전해질의 전달을 제어하는, 전기도금 장치.
  9. 제 1 항에 있어서,
    상기 이온 저항성 엘리먼트는 상기 이온 저항성 엘리먼트의 상부 표면 상에 복수의 립들 (ribs) 을 포함하고, 상기 립들은 선형이고 상기 교차 플로우 매니폴드를 가로질러 연장하는, 전기도금 장치.
  10. 제 9 항에 있어서,
    상기 이온 저항성 엘리먼트 상의 상기 립들에 형성된 복수의 전해질 분출구들 (jets) 을 더 포함하는, 전기도금 장치.
  11. 제 10 항에 있어서,
    상기 복수의 전해질 분출구들로 전달된 전해질은 상기 애노드로부터 전기적으로 절연되는, 전기도금 장치.
  12. 제 11 항에 있어서,
    전기도금 동안 상기 기판으로부터 상기 애노드를 분리하는 멤브레인을 지지하기 위한 멤브레인 프레임을 더 포함하고, 상기 멤브레인 프레임은 상기 이온 저항성 엘리먼트 매니폴드의 하단 표면을 규정하고, 그리고
    상기 멤브레인 프레임 내 및 상기 이온 저항성 엘리먼트 내에 형성된 전해질 도관을 더 포함하며, 상기 전해질 도관은 상기 복수의 전해질 분출구에 전해질을 제공하는, 전기도금 장치.
  13. 제 12 항에 있어서,
    상기 멤브레인 프레임 내 및 상기 이온 저항성 엘리먼트 내에 형성된 상기 전해질 도관 및 상기 이온 저항성 엘리먼트 매니폴드로의 전해질의 전달을 제어하는 제 2 3-방향 밸브를 더 포함하는, 전기도금 장치.
  14. (a) 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 도금 챔버로서, 상기 기판은 실질적으로 평면인, 상기 도금 챔버;
    (b) 상기 기판의 도금 면이 도금 동안 상기 전해질에 침지되고 상기 애노드로부터 분리되도록 상기 기판을 지지하도록 구성된 기판 홀더;
    (c) 전기도금 동안 이온 저항성 엘리먼트를 통해 이온 이송을 제공하도록 구성된 상기 이온 저항성 엘리먼트로서,
    상기 이온 저항성 엘리먼트는,
    상기 기판과 적어도 거의 같은 면적을 갖는 플레이트,
    상기 플레이트에 형성된 복수의 쓰루-홀들, 및
    상기 플레이트의 상단 표면 상에 복수의 립들을 포함하고, 상기 립들의 적어도 일부는 전기도금 동안 상기 기판의 상기 도금 면을 향해 전해질을 전달하는 전해질 분출구들을 포함하고, 상기 전해질 분출구들을 통해 전달된 상기 전해질은 상기 애노드로부터 전기적으로 절연되는, 상기 이온 저항성 엘리먼트;
    (d) 상기 이온 저항성 엘리먼트 아래에 위치된 이온 저항성 엘리먼트 매니폴드로서, 전기도금 동안 전해질이 상기 이온 저항성 엘리먼트 매니폴드로부터 상기 이온 저항성 엘리먼트 내 상기 쓰루-홀들을 통해 통과하는, 상기 이온 저항성 엘리먼트 매니폴드;
    (e) 상기 기판이 상기 기판 홀더에 존재할 때 상기 기판의 상기 도금 면 아래 그리고 상기 이온 저항성 엘리먼트 위에 위치된 교차 플로우 매니폴드;
    (f) 상기 교차 플로우 매니폴드에 전해질을 도입하기 위한 측면 유입구;
    (g) 상기 교차 플로우 매니폴드에서 흐르는 전해질을 수용하기 위한 측면 유출구로서, 상기 측면 유입구 및 상기 측면 유출구는 전기도금 동안 상기 기판의 상기 도금 면 상에 방위각적으로 반대 둘레 위치들에 근접하게 위치되고, 상기 측면 유입구 및 상기 측면 유출구는 전기도금 동안 상기 교차 플로우 매니폴드에 교차 플로우 전해질을 생성하도록 구성되는, 상기 측면 유출구; 및
    (h) (i) 상기 측면 유입구 및 (ii) 상기 이온 저항성 엘리먼트 매니폴드 각각으로의 전해질의 전달을 제어하는 3-방향 밸브를 포함하는, 전기도금 장치.
  15. 제 14 항에 있어서,
    상기 립들은 선형이고 이들의 가장 긴 치수가 상기 측면 유입구와 상기 측면 유출구 사이의 방향에 평행하도록 배향되는, 전기도금 장치.
  16. 제 14 항에 있어서,
    상기 립들은 선형이고 이들의 가장 긴 치수가 상기 측면 유입구와 상기 측면 유출구 사이의 방향에 수직이도록 배향되는, 전기도금 장치.
  17. 제 14 항에 있어서,
    (i) 상기 전해질 분출구들, 및 (ii) 상기 이온 저항성 엘리먼트 매니폴드 모두로의 전해질의 전달을 제어하는 제 2 3-방향 밸브를 더 포함하는, 전기도금 장치.
  18. 삭제
KR1020207011107A 2017-09-18 2018-09-18 전기도금 동안 교차 플로우 및 충돌하는 전해질의 전달을 제어하기 위한 방법들 및 장치 KR102566478B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/707,805 2017-09-18
US15/707,805 US10781527B2 (en) 2017-09-18 2017-09-18 Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
PCT/US2018/051447 WO2019055962A1 (en) 2017-09-18 2018-09-18 METHODS AND APPARATUS FOR CONTROLLING INCIDENT AND TRANSVERSE FLOW ELECTROLYTE DISTRIBUTION DURING ELECTROLYTIC DEPOSITION

Publications (2)

Publication Number Publication Date
KR20200045566A KR20200045566A (ko) 2020-05-04
KR102566478B1 true KR102566478B1 (ko) 2023-08-14

Family

ID=65719917

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207011107A KR102566478B1 (ko) 2017-09-18 2018-09-18 전기도금 동안 교차 플로우 및 충돌하는 전해질의 전달을 제어하기 위한 방법들 및 장치

Country Status (7)

Country Link
US (1) US10781527B2 (ko)
JP (1) JP2020534430A (ko)
KR (1) KR102566478B1 (ko)
CN (1) CN111094636B (ko)
SG (1) SG11202002273QA (ko)
TW (1) TW201923162A (ko)
WO (1) WO2019055962A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US20220298667A1 (en) * 2019-09-03 2022-09-22 Lam Research Corporation Low angle membrane frame for an electroplating cell
CN114787426A (zh) * 2019-10-08 2022-07-22 应用材料公司 机械驱动的振荡流搅动
PL3910095T3 (pl) * 2020-05-11 2022-05-23 Semsysco Gmbh Układ rozprowadzania płynu procesowego do chemicznej i/lub elektrolitycznej obróbki powierzchni obracalnego podłoża
JP2022059250A (ja) * 2020-10-01 2022-04-13 株式会社荏原製作所 めっき装置の気泡除去方法及びめっき装置
US20230092346A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. Electroplating co-planarity improvement by die shielding
WO2024081507A1 (en) * 2022-10-11 2024-04-18 Lam Research Corporation Electrodeposition system with ion-exchange membrane irrigation

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160002076A1 (en) * 2013-03-15 2016-01-07 Hydronovation, Inc. Electrochemical water softening system

Family Cites Families (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
DE3108358C2 (de) 1981-03-05 1985-08-29 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum partiellen Galvanisieren von zu elektrisch leitenden Bändern, Streifen oder dgl. zusammengefaßten Teilen im Durchlaufverfahren
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298A (ja) 1983-03-07 1984-09-13 Kawasaki Steel Corp 金属ストリツプの高電流密度めつき方法
US4738272A (en) 1984-05-21 1988-04-19 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4633893A (en) 1984-05-21 1987-01-06 Cfm Technologies Limited Partnership Apparatus for treating semiconductor wafers
US4856544A (en) 1984-05-21 1989-08-15 Cfm Technologies, Inc. Vessel and system for treating wafers with fluids
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
DE3585797D1 (de) 1985-06-24 1992-05-07 Cfm Technologies Inc Behandlung von halbleiterscheiben mit einer fluessigkeitsstroemung.
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
EP0283681B1 (de) 1987-02-23 1992-05-06 Siemens Aktiengesellschaft Galvanisiereinrichtung zur Erzeugung von Höckern auf Chip-Bauelementen
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
AU7403694A (en) 1994-07-19 1996-02-16 American Plating Systems, Inc. Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5626736A (en) 1996-01-19 1997-05-06 Shipley Company, L.L.C. Electroplating process
US20020066464A1 (en) 1997-05-09 2002-06-06 Semitool, Inc. Processing a workpiece using ozone and sonic energy
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
KR20010024368A (ko) 1997-09-30 2001-03-26 세미툴 인코포레이티드 접촉식 세정 작업을 위한 주 반응 챔버 외측에 보조전극을 구비하는 전기도금 시스템
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
AU2233399A (en) 1998-02-12 1999-08-30 Acm Research, Inc. Plating apparatus and method
US6261433B1 (en) 1998-04-21 2001-07-17 Applied Materials, Inc. Electro-chemical deposition system and method of electroplating on substrates
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6022465A (en) 1998-06-01 2000-02-08 Cutek Research, Inc. Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
JP2000087299A (ja) 1998-09-08 2000-03-28 Ebara Corp 基板メッキ装置
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7204924B2 (en) 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
JP3331332B2 (ja) 1999-08-25 2002-10-07 日本エレクトロプレイテイング・エンジニヤース株式会社 カップ式めっき装置
US6454918B1 (en) 1999-03-23 2002-09-24 Electroplating Engineers Of Japan Limited Cup type plating apparatus
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
JP4288010B2 (ja) 1999-04-13 2009-07-01 セミトゥール・インコーポレイテッド 処理流体の流れ具合を向上させる処理チャンバを備えた加工物処理装置
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
TW499329B (en) 1999-09-17 2002-08-21 Product System Inc Chemically inert megasonic transducer system
JP3635217B2 (ja) 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6562204B1 (en) 2000-02-29 2003-05-13 Novellus Systems, Inc. Apparatus for potential controlled electroplating of fine patterns on semiconductor wafers
KR100804714B1 (ko) 2000-03-17 2008-02-18 가부시키가이샤 에바라 세이사꾸쇼 도금장치 및 방법
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US7273535B2 (en) 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US7456113B2 (en) 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US20020062839A1 (en) 2000-06-26 2002-05-30 Steven Verhaverbeke Method and apparatus for frontside and backside wet processing of a wafer
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US6610189B2 (en) 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
JP2002289568A (ja) 2001-03-23 2002-10-04 Dainippon Screen Mfg Co Ltd 基板洗浄装置およびそれに用いる超音波振動エレメント
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6605525B2 (en) 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP4043234B2 (ja) 2001-06-18 2008-02-06 株式会社荏原製作所 電解加工装置及び基板処理装置
US7608356B2 (en) 2001-07-13 2009-10-27 William M. Risen, Jr. Ion conducting polymer membranes
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US6881318B2 (en) 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
CA2456919A1 (en) 2001-08-22 2003-03-06 Optical Forming Corporation Electroforming apparatus and electroforming method
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
TWI224531B (en) 2001-09-11 2004-12-01 Ebara Corp Substrate processing apparatus and method
JP3681670B2 (ja) 2001-09-25 2005-08-10 シャープ株式会社 半導体集積回路の製造装置および製造方法
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
TWI261875B (en) 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US8002962B2 (en) 2002-03-05 2011-08-23 Enthone Inc. Copper electrodeposition in microelectronics
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
DE10229001B4 (de) 2002-06-28 2007-02-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Steuern der Ionenverteilung während des galvanischen Auftragens eines Metalls auf eine Werkstückoberfläche
US7077585B2 (en) 2002-07-22 2006-07-18 Yoshitake Ito Developing method and apparatus for performing development processing properly and a solution processing method enabling enhanced uniformity in the processing
US20040118694A1 (en) 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
JP2004068158A (ja) 2002-08-08 2004-03-04 Texas Instruments Inc Ecd反応器内の電流密度改善および機構充填制御方法並びに装置
US20040084318A1 (en) 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
JP2004250785A (ja) 2003-01-31 2004-09-09 Ebara Corp 電解処理装置及び基板処理装置
US7374646B2 (en) 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
WO2004112093A2 (en) 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
US8261757B2 (en) 2003-06-24 2012-09-11 Lam Research Ag Device and method for wet treating disc-like substrates
JP2005133160A (ja) 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP4681221B2 (ja) 2003-12-02 2011-05-11 ミライアル株式会社 薄板支持容器
US7553401B2 (en) 2004-03-19 2009-06-30 Faraday Technology, Inc. Electroplating cell with hydrodynamics facilitating more uniform deposition across a workpiece during plating
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
JP4583811B2 (ja) 2004-05-31 2010-11-17 吉田 英夫 めっき処理方法
CN101120433B (zh) 2004-06-04 2010-12-08 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7563348B2 (en) 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
TW200633038A (en) 2004-11-19 2006-09-16 Asm Nutool Inc Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US7935240B2 (en) 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7255970B2 (en) 2005-07-12 2007-08-14 Az Electronic Materials Usa Corp. Photoresist composition for imaging thick films
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
KR100657600B1 (ko) 2005-10-24 2006-12-19 (주)씨-넷 엘씨디모듈의 백라이트 유니트용 커넥터
JP2009536450A (ja) 2006-05-05 2009-10-08 ラム・リサーチ・アクチエンゲゼルシヤフト プレート状基材を湿式処理するための装置と方法
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
CN101220500A (zh) 2007-08-29 2008-07-16 中国电子科技集团公司第二研究所 晶圆凸点制造挂具
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
JP2010040849A (ja) 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
JP4902821B1 (ja) 2010-04-28 2012-03-21 パナソニック株式会社 抵抗変化型不揮発性記憶装置及びその製造方法
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
WO2012174732A1 (en) 2011-06-24 2012-12-27 Acm Research (Shanghai) Inc. Methods and apparatus for uniformly metallization on substrates
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
CN102719865B (zh) 2012-07-13 2016-02-24 曲悦峰 一种镀膜模具
KR102214898B1 (ko) * 2012-12-12 2021-02-10 노벨러스 시스템즈, 인코포레이티드 전기도금 동안 효율적인 대량 전달을 위한 전해질 유체역학의 향상
US20140299476A1 (en) 2013-04-09 2014-10-09 Ebara Corporation Electroplating method
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US10190232B2 (en) * 2013-08-06 2019-01-29 Lam Research Corporation Apparatuses and methods for maintaining pH in nickel electroplating baths
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
US9567685B2 (en) * 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9481942B2 (en) * 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10014170B2 (en) * 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US20170342590A1 (en) 2016-05-24 2017-11-30 Lam Research Corporation Modulation of applied current during sealed rotational electroplating
US20180258546A1 (en) 2017-03-09 2018-09-13 Lam Research Corporation Electroplating apparatus and methods utilizing independent control of impinging electrolyte
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160002076A1 (en) * 2013-03-15 2016-01-07 Hydronovation, Inc. Electrochemical water softening system

Also Published As

Publication number Publication date
WO2019055962A1 (en) 2019-03-21
SG11202002273QA (en) 2020-04-29
TW201923162A (zh) 2019-06-16
CN111094636A (zh) 2020-05-01
CN111094636B (zh) 2023-04-28
US10781527B2 (en) 2020-09-22
KR20200045566A (ko) 2020-05-04
US20190085479A1 (en) 2019-03-21
JP2020534430A (ja) 2020-11-26

Similar Documents

Publication Publication Date Title
KR102566478B1 (ko) 전기도금 동안 교차 플로우 및 충돌하는 전해질의 전달을 제어하기 위한 방법들 및 장치
CN111032927B (zh) 用于在电镀期间流动隔离和聚焦的方法和装置
KR102423978B1 (ko) 전기도금 동안 교차 플로우 매니폴드의 동적 변조
KR102533812B1 (ko) 균일한 전기도금을 위한 전해액 플로우 역학의 제어
KR102563118B1 (ko) 혼합된 피처 전기도금을 위한 대류 최적화
TWI662160B (zh) 非等向性高電阻離子電流源
KR102142159B1 (ko) 전기도금 장치용 교차류 매니폴드
KR20200139839A (ko) 도금 셀들로부터 기포들 제거
US10760178B2 (en) Method and apparatus for synchronized pressure regulation of separated anode chamber
US20230175162A1 (en) Cross flow conduit for foaming prevention in high convection plating cells
TWI835818B (zh) 分離式陽極腔室的同步壓力調節方法及設備
TW202248466A (zh) 用於電鍍期間之特定流體動力學的空間及尺寸上非均勻之通道板
US20240141541A1 (en) Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant