CN111094636B - 用于在电镀期间控制横流和冲击电解液的输送的方法和装置 - Google Patents

用于在电镀期间控制横流和冲击电解液的输送的方法和装置 Download PDF

Info

Publication number
CN111094636B
CN111094636B CN201880060645.0A CN201880060645A CN111094636B CN 111094636 B CN111094636 B CN 111094636B CN 201880060645 A CN201880060645 A CN 201880060645A CN 111094636 B CN111094636 B CN 111094636B
Authority
CN
China
Prior art keywords
electrolyte
flow
ion
resistive element
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880060645.0A
Other languages
English (en)
Other versions
CN111094636A (zh
Inventor
斯蒂芬·J·巴尼克二世
亚伦·贝尔克
布莱恩·L·巴卡柳
罗伯特·拉什
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111094636A publication Critical patent/CN111094636A/zh
Application granted granted Critical
Publication of CN111094636B publication Critical patent/CN111094636B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • H01L24/741Apparatus for manufacturing means for bonding, e.g. connectors
    • H01L24/742Apparatus for manufacturing bump connectors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/028Electroplating of selected surface areas one side electroplating, e.g. substrate conveyed in a bath with inhibited background plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)

Abstract

本文的各种实施方案涉及用于将材料电镀到半导体衬底上的方法和装置。该装置包括将镀敷室分隔成横流歧管(在离子阻性元件上方)和离子阻性元件歧管(在离子阻性元件下方)的离子阻性元件。电解液被输送到横流歧管,在这里剪切通过衬底的表面上,并且被输送到离子阻性元件歧管,在这里,电解液通过离子阻性元件的通孔,在进入横流歧管时撞击到衬底上。在某些实施方案中,例如使用三通阀主动地控制进入横流歧管(例如,通过侧入口)的电解液的流动和进入离子阻性元件歧管的电解液的流动。在这些或其他情况下,离子阻性元件可包括电解液喷嘴。

Description

用于在电镀期间控制横流和冲击电解液的输送的方法和装置
相关申请的交叉引用
本申请要求于2017年9月18日提交的并且名称为“METHODS AND APPARATUS FORCONTROLLING DELIVERY OF CROSS FLOWING AND IMPINGING ELECTROLYTE DURINGELECTROPLATING”的美国专利申请序列No.15/707,805的利益,在此通过引用将其全部内容并出于所有目的并入本文。
背景技术
本公开的实施方案涉及用于在电镀期间控制电解液流体动力学的方法和装置。更具体地,在本发明中描述的方法和装置对于在半导体晶片衬底上镀敷金属特别有用,例如,通过具有小于例如约50μm的宽度的小的微凸起特征(例如,铜、镍、锡和锡合金焊料)以及铜穿硅通孔(TSV)特征的贯穿抗蚀剂镀敷(through resist plating)。
电化学沉积现在正准备满足对复杂的封装和多芯片互连技术的商业需求,该技术通常通俗地称为晶片级封装(WLP)以及穿硅通孔(TSV)电气连接技术。部分由于通常较大的特征尺寸(相比于前端制程(FEOL)互连)和高深宽比,这些技术对它们自身提出非常巨大的挑战。
根据封装特征的类型和应用(例如,通过芯片连接TSV,互连再分配布线,或芯片到板或芯片焊接,例如倒装芯片柱),在目前的技术中的经镀敷的特征通常大于约2μm,并且典型地其主要维度为约5-100μm(例如,铜柱可以是约50μm)。对于诸如电源总线之类的一些芯片上结构,待镀特征可以大于100μm。WLP特征的高宽比通常为约1:1(高度比宽度)或更低,但是其范围可能高达2:1左右,而TSV结构可具有非常高的深宽比(例如,在约20:1附近)。
发明内容
本文的某些实施方案涉及用于电镀材料到衬底上的方法和装置。通常,衬底是半导体衬底。
在本文的实施方案的一个方面,提供了一种电镀装置,该装置包含:(a)镀敷室,其被配置成在将金属电镀到衬底上时容纳电解液和阳极,所述衬底基本上是平坦的;(b)衬底保持器,其被配置成支撑所述衬底,使得在镀敷期间将所述衬底的镀敷面浸入所述电解液中并与所述阳极分离;(c)离子阻性元件,其适于在电镀期间提供通过所述离子阻性元件的离子传输,其中所述离子阻性元件包含与所述衬底至少大致共同延伸的板以及形成于所述板中的多个通孔;(d)离子阻性元件歧管,其定位在所述离子阻性元件下方,其中,在电镀期间,电解液从所述离子阻性元件歧管传送通过所述离子阻性元件中的所述通孔;(e)横流歧管,其定位在所述离子阻性元件上方,且当所述衬底存在于所述衬底保持器中时在所述衬底的所述镀敷面下方;(f)侧入口,其用于将电解液导入至所述横流歧管;(g)侧出口,其用于接收流动于所述横流歧管中的电解液,其中,在电镀期间,所述侧入口与所述侧出口位于靠近所述衬底的所述镀敷面上的方位角相反的周边位置处,并且其中,所述侧入口与所述侧出口适于在电镀期间在所述横流歧管中产生横流电解液;以及(h)三通阀,其控制电解液向(i)所述侧入口及(ii)所述离子阻性元件歧管中的每一者的输送。
在一些实施方案中,所述装置还包含控制器,所述控制器被配置成在电镀期间切换所述三通阀,以在所述衬底上电镀期间提供至少第一流动模式和第二流动模式。相较于所述第一流动模式,所述第二流动模式可以在所述衬底的所述镀敷面处提供相对较大程度的冲击电解液。在许多情况下,所述三通阀使得能对电解液向所述侧入口和所述离子阻性元件歧管的输送进行独立控制。
在某些实现方式中,所述装置还包含控制器,所述控制器被配置成在电镀期间切换所述三通阀,以在所述衬底上电镀期间提供至少第一镀敷状态和第二镀敷状态,其中,在所述第一镀敷状态期间提供第一流动模式,其中,在所述第二镀敷状态期间循环地提供第二流动模式和第三流动模式,且其中,相较于所述第一流动模式,所述第二流动模式在所述衬底的所述镀敷面上提供相对较大程度的冲击电解液。在一些这样的情况下,所述控制器被配置成操作所述三通阀,使得(i)所述第一流动模式将电解液输送至所述侧入口和所述离子阻性元件歧管两者,并且(ii)所述第二流动模式将电解液输送至所述离子阻性元件歧管,而不输送至所述侧入口。在一些情况下,所述控制器被配置成操作所述三通阀,使得所述第一流动模式与所述第二流动模式各自将电解液输送至所述侧入口和所述离子阻性元件歧管两者,其中,相较于所述第二流动模式,在所述第一流动模式中流向所述侧入口的电解液的流动是相对较多的,且其中,相较于所述第一流动模式,在所述第二流动模式中流向所述离子阻性元件歧管的电解液的流动是相对较多的。
在一些实施方案中,所述三通阀以非独立方式控制电解液向所述侧入口和所述离子阻性元件歧管的输送,使得当流向所述侧入口的电解液的流动增加时,流向所述离子阻性元件歧管的电解液的流动减少,并且反之亦然。
在多种实现方式中,所述离子阻性元件包含在所述离子阻性元件的上表面上的多个肋部,所述肋部为线性的且延伸横跨所述横流歧管。在一些这样的实现方式中,所述装置还包含形成于所述离子阻性元件上的所述肋部中的多个电解液喷嘴。在一些情况下,被输送至所述多个电解液喷嘴的电解液与所述阳极可以是电气隔离的。在一些实施方案中,所述装置还包含隔膜框架,其用于支撑隔膜,所述隔膜在电镀期间将所述阳极与所述衬底分隔开,其中所述隔膜框架限定所述离子阻性元件歧管的底表面,所述装置还包含电解液管道,其形成于所述隔膜框架和所述离子阻性元件中,其中所述电解液管道将电解液提供至所述多个电解液喷嘴。在某些情况下,可以提供第二三通阀。第二三通阀可以控制电解液向所述离子阻性元件歧管以及向形成于所述隔膜框架和所述离子阻性元件中的所述电解液管道的输送。
在本文的实施方案的另一方面,提供了一种电镀装置。该装置包含:(a)镀敷室,其被配置成在将金属电镀到衬底上时容纳电解液和阳极,所述衬底基本上是平坦的;(b)衬底保持器,其被配置成支撑所述衬底,使得在镀敷期间将所述衬底的镀敷面浸入所述电解液中并与所述阳极分离;(c)离子阻性元件,其适于在电镀期间提供通过所述离子阻性元件的离子传输,其中所述离子阻性元件包含:与所述衬底至少大致共同延伸的板,形成于所述板中的多个通孔,以及位于所述板的顶表面上的多个肋部,其中所述肋部中的至少一些包含电解液喷嘴,所述电解液喷嘴在电镀期间将电解液朝向所述衬底的所述镀敷面输送,其中被输送通过所述电解液喷嘴的所述电解液与所述阳极是电气隔离的;(d)离子阻性元件歧管,其定位在所述离子阻性元件下方,其中,在电镀期间,电解液从所述离子阻性元件歧管传送通过所述离子阻性元件中的所述通孔;(e)横流歧管,其定位在所述离子阻性元件上方,且当所述衬底存在于所述衬底保持器中时在所述衬底的所述镀敷面下方;(f)侧入口,其用于将电解液导入至所述横流歧管;以及(g)侧出口,其用于接收流动于所述横流歧管中的电解液,其中,在电镀期间,所述侧入口与所述侧出口位于靠近所述衬底的所述镀敷面上的方位角相反的周边位置处,并且其中,所述侧入口与所述侧出口适于在电镀期间在所述横流歧管中产生横流电解液。
在一些实现方式中,所述肋部为线性的,且被定位成使得其最长维度平行于所述侧入口与所述侧出口之间的方向。在一些其他实现方式中,所述肋部为线性的,且被定位成使得其最长维度垂直于所述侧入口与所述侧出口之间的方向。所述装置还可以包含第一三通阀,所述第一三通阀控制电解液向(i)所述电解液喷嘴和(ii)所述离子阻性元件歧管两者的输送。所述装置还可以包含第二三通阀,所述第二三通阀控制电解液向(i)所述侧入口和(ii)所述第一三通阀两者的输送。
在本文的实施方案的另外的方面中,提供一种电镀方法。该方法涉及将衬底提供至本文所述装置的任一者、以及将材料电镀至衬底上。可使用任何种类的流动模式及流动状态,如本文所述。
这些和其他特征将在下面参考相关附图进行描述。
附图说明
图1A示出了一种电镀装置,该电镀装置在电镀期间利用在衬底表面上的横流和冲击流的组合。
图1B示出了通过图1A所示的电镀装置的电解液的流动。
图2A至图2C示出了与在衬底的镀面附近的横流歧管内的电解液的流动有关的建模结果。
图3A描绘了具有三通阀的电镀装置,该三通阀用于将电解液输送到侧入口和离子阻性元件歧管。
图3B是横流歧管内的横流电解液的简化图,而图3C是穿过离子阻性元件的撞击电解液的简化视图。
图4A是类似于图3A所示的电镀装置的简化视图,其中,增加了电解液导管和在衬底表面附近输送电解液的多个电解液喷嘴。
图4B-4H示出了其中形成有电解液喷嘴的离子阻性元件的特写视图,其中电解液通过电解液喷嘴(图4B和4E),通过离子阻性元件中的通孔(图4C,4F),通过电解液喷嘴和通孔(图4D,4G),以及通过侧入口(图4H)。
图4I示出了其中形成有电解液喷嘴的离子阻性元件的特写俯视图,其中电解液喷嘴形成在离子阻性元件上的肋部中。
图4J示出了其中形成有电解液喷嘴的离子阻性元件的一部分的截面图。
图4K-4M示出了根据某些实施方案可以使用的不同形状的电解液喷嘴的俯视图。
图4N和图4O描绘了离子阻性元件的俯视图(图4N)和截面图(图4O),该离子阻性元件具有包括多个电解液喷嘴的肋部,示出了电解液向电解液喷嘴中的流动。
图5A和5B是其上具有肋部的离子阻性元件的俯视图,其中肋部的方向定位成垂直于横流电解液的方向(图5A),或者肋部的方向定位成平行于横流电解液的方向(图5B)。
图6描绘了根据某些实施方案的多工具电镀装置的简化图。
图7A和7B呈现了根据各种实施方案的将材料电镀到衬底上的方法的流程图。
具体实施方式
在本申请中,术语“半导体衬底”、“晶片”、“衬底”、“晶片衬底”和“部分制造的集成电路”可互换使用。本领域的普通技术人员应理解,术语“部分制造的集成电路”可以指的是在其上的集成电路制造的许多阶段中的任何阶段过程中的硅晶片。半导体器件工业中使用的晶片或衬底的直径通常为200毫米、或300毫米或450毫米。此外,术语“电解液”、“镀浴”、“浴”和“镀液”可互换使用。下文的详细描述假定实施方案在晶片上实现。然而,实施方案并不受此限制。工件可以具有各种形状、尺寸和材料。除了半导体晶片以外,可利用本公开的实施方案的其他工件包括各种物品,如印刷电路板、磁记录介质、磁记录传感器、镜子、光学元件、微机械设备等。
在下文的描述中,阐述了许多具体细节,以便提供对所呈现的实施方案的透彻理解。所公开的实施方案可以在没有这些具体细节中的某些或所有的情况下实施。在其它示例中,公知的处理操作未被详细描述,以避免不必要地使本方明的实施方案不清楚。虽然本发明的实施方案将结合特定实施方案进行描述,但应理解它并不意在限制本发明的实施方案。
本文描述了用于将一种或多种金属电镀到衬底上的装置和方法。总体上描述了实施方案,其中衬底是半导体晶片。然而,实施方案不限于此。
图1A和1B示出了电镀装置的简化截面图。图1B包括示出了在各个实施方案中在电镀期间电解液的流动的箭头。图1A描绘了电镀池101,其中衬底102位于衬底保持器103中。衬底保持器103通常被称为杯,并且其可以在其外围支撑衬底102。阳极104位于电镀池101的底部附近。阳极104通过由隔膜框架106支撑的隔膜105与衬底102分离。隔膜框架106当其限定容纳阳极的阳极室的顶部时有时被称为阳极室隔膜框架。此外,阳极104通过离子阻性元件107与衬底102分离。离子阻性元件107包括开口,该开口允许电解液行进通过离子阻性元件107以撞击在衬底102上。放置前侧插入件108在离子阻性元件107的上方,靠近衬底102的外围。前侧插入件108可以是环形的,并且在方位角上可以是不均匀的,如图所示。前侧插入件108有时也称为横流限制环。
阳极室112在隔膜105下方,并且是在阳极104所在的位置。离子阻性元件歧管111在隔膜105上方且在离子阻性元件107下方。灌溉槽116将电解液输送至离子阻性元件歧管111,且可在电镀期间用于灌洗隔膜105。在此示例中,灌溉槽116由通过阴极电解液入口118的电解液馈送。横流歧管110在离子阻性元件107上方且在衬底102下方。横流歧管的高度被认为是在衬底102和离子阻性元件107的平面之间的距离(不包括离子阻性元件107的上表面上的肋部115,如果肋部存在的话)。在某些情况下,横流歧管的高度可能在约1毫米至4毫米之间,或者在约0.5毫米至15毫米之间。横流歧管110在其侧面上由前侧插入件108限定,前侧插入件108用于将横流电解液容纳在横流歧管110内。横流歧管110的侧入口113在方位角上与横流歧管110的侧出口114相对地设置。侧入口113和侧出口114可以至少部分地由前侧插入件108形成。如在图1B中的箭头所示,电解液从电解液入口118行进通过侧入口113,进入横流歧管110,并流出侧出口114。此外,电解液可以行进通过一个或多个入口到达离子阻性元件歧管111(例如,灌溉槽116中的入口及/或其他入口),进入离子阻性元件歧管111,通过离子阻性元件107中的开口,进入横流歧管110,并从侧出口114流出。电解液在通过侧出口114之后,溢出堰壁109。电解液可以被回收和再循环。
在某些实施方案中,离子阻性元件107使得在衬底(阴极)附近的电流源近似于几乎恒定且均匀,并且因此可以被称为高电阻虚拟阳极(HRVA)或在某些情况下称为离子通道电阻元件(CIRP)。通常,将离子阻性元件107相对于晶片紧邻放置。相反,与衬底有相同的紧邻程度的阳极将明显不大可能向晶片提供几乎恒定的电流,而将仅在阳极金属表面上支撑恒定的电位平面,从而使得在从阳极平面到端点(例如,到晶片上的外围接触点)的净电阻较小的情况下电流最大。因此,尽管将离子阻性元件107称为高电阻虚拟阳极(HRVA),但这并不意味着两者在电化学上是可互换的。在某些操作条件下,离子阻性元件107将更接近虚拟均匀电流源,并且可能更好地描述为虚拟均匀电流源,而几乎恒定的电流从离子阻性元件107的整个上表面提供。
离子阻性元件107包含在空间上和离子上彼此隔离的微尺寸(通常小于0.04英寸)通孔,并且在许多但不是所有的实现方式中,不会在离子阻性元件的主体内形成互连通道。这样的通孔通常被称为非连通通孔。它们通常沿一维延伸,通常但不一定垂直于晶片的电镀表面(在一些实施方案中,非连通孔相对于晶片成一定角度,该晶片通常平行于离子阻性元件的前表面)。通常,通孔彼此平行。通常,孔以正方形阵列布置。其他时候,布局采用偏移螺旋图案。这些通孔与3-D多孔网络(其中的通道在三个维度上延伸并形成相互连接的孔结构)不同,因为通孔可重组平行于其中的表面的离子电流和(在某些情况下)流体流,并使电流和流体流向晶片表面的路径变直。然而,在某些实施方案中,这种具有互连的孔网络的多孔板可以用作离子阻性元件。当从板的顶表面到晶片的距离较小时(例如,间隙大约为晶片半径的大小的1/10,例如小于约5mm),电流和流体的发散都受到离子阻性元件通道局部限制、被赋予离子阻性元件通道并与离子阻性元件通道对齐。
一个示例性离子阻性元件107是由固体、无孔的具有离子阻性和电阻性的介电材料制成的圆盘。该材料在使用的电镀液中也是化学稳定的。在某些情况下,离子阻性元件107由陶瓷材料(例如,氧化铝、氧化锡、氧化钛或金属氧化物的混合物)或塑料(例如,聚乙烯、聚丙烯、聚偏二氟乙烯(PVDF)、聚四氟乙烯、聚砜、聚氯乙烯(PVC)、聚碳酸酯等)制成,具有介于约6,000-12,000之间的不连通的通孔。在许多实施方案中,离子阻性元件107与晶片基本上共延伸(例如,当与300mm晶片一起使用时,离子阻性元件107具有约300mm的直径),并且紧邻晶片(例如,在晶片面朝下的电镀装置中的晶片正下方)放置。优选地,晶片的经镀敷表面位于最接近的离子阻性元件表面的约10mm之内,更优选地在约5mm之内。为此,离子阻性元件107的顶表面可以是平坦的或基本平坦的。离子阻性元件107的顶表面和底表面通常都是平坦的或基本平坦的。然而,在许多实施方案中,离子阻性元件107的顶表面包括一系列线性肋部,如下文进一步描述的。
如上所述,离子阻性元件107的总离子和流动阻力取决于板的厚度以及总孔隙率(可用于流过板的面积的比例)和孔的尺寸/直径。孔隙率较低的板将具有较高的撞击流速和离子电阻。比较具有相同孔隙率的板时,具有较小直径的1-D孔(因而有更多数量的1-D孔)的板将使得在晶片上具有更微级均匀的电流分布,因为存在更多的单独电流源,其充当可以散布在同一间隙上的更多的点源,并且总压降也更高(高粘性流阻)。
在一些情况下,离子阻性元件107的约1-10%是离子电流可以通过的开口区域(如果没有其他元件阻塞开口,则是电解液可以通过的开口区域)。在特定实施方案中,约2-5%的离子阻性元件107是开口区域。在特定示例中,离子阻性元件107的开口区域为约3.2%,有效的总开口截面面积为约23cm2。在一些实施方案中,在离子阻性元件107中形成的非连通孔具有约0.01至0.08英寸的直径。在某些情况下,孔的直径为约0.02至0.03英寸,或在约0.03-0.06英寸之间。在各种实施方案中,孔的直径最大为离子阻性元件107与晶片之间的间隙距离的约0.2倍。这些孔的横截面通常是圆形的,但不是必须的。此外,为了简化构造,离子阻性元件107中的所有孔可以具有相同的直径。然而,不必是这种情况,并且孔的单个尺寸和局部密度都可以在离子阻性元件的整个表面上变化,这具体取决于特定的要求。
图1A和1B所示的离子阻性元件107包括延伸到页面内/延伸出页面的一系列线性肋部115。肋部115有时被称为突起。肋部115定位于离子阻性元件107的顶表面上,并且在许多情况下,其定位成使得其长度(例如,它们的最长维度)垂直于横流电解液的方向。在以下进一步讨论的特定实施方案中,肋部115可定向成使得其长度平行于横流电解液的方向。肋部115影响横流歧管110内的流体流动和电流分布。例如,电解液的横流主要被限制在肋部115的顶表面上方的区域,从而在该区域中产生高速率的电解液横流。在相邻的肋部115之间的区域中,通过离子阻性元件107向上传送的电流在被传送到衬底表面之前被重新分配,变得更加均匀。
在图1A和1B中,横向流动的电解液的方向是从左到右(例如,从侧入口113到侧出口114),并且肋部115被设置成使得它们的长度延伸到页面内/页面外。在某些实施方案中,肋部115的宽度(在图1A中从左至右测量)可以在约0.5mm至1.5mm之间,或者可以在约0.25mm至10mm之间。肋部115的高度(在图1A中按上下方向测量)可以在约1.5mm至3.0mm之间,或者可以在约0.25mm至7.0mm之间。肋部115的高度与宽度的高宽比(高度/宽度)可以在约5/1至2/1之间,或者可以在约7/1至1/7之间。肋部115的节距可以在约10mm至30mm之间,或者可以在约5mm至150mm之间。肋部115可以具有可变的长度(进/出在图1A的页面的方向进行测量),该可变的长度延伸跨越离子阻性元件107的表面。肋部115的上表面与衬底102的表面之间的距离可以是介于约1毫米至4毫米之间,或介于约0.5毫米至15毫米之间。肋部115可以设置在与衬底大致共同延伸的区域上,如图1A和1B所示。离子阻性元件107中的通道/开口可以位于相邻的肋部115之间,或者它们可以延伸穿过肋部115(换句话说,肋部115可以被导通或可以不导通)。在一些其他实施方案中,离子阻性元件107可以具有平坦的上表面(例如,不包括肋部115)。图1A和1B所示的包括在其上具有肋部的离子阻性元件的电镀装置在名称为“ENHANCEMENT OF ELECTROLYTE HYDRODYNAMICS FOR EFFICIENT MASSTRANSFER DURING ELECTROPLATING,”的美国专利No.9,523,155进一步讨论,在此全文引入作为参考。
该装置可以包括特定应用所需的各种附加元件。在一些情况下,可以在横流歧管内靠近衬底的外围设置边缘流动元件。边缘流动元件的形状和位置可以被设置成促进在衬底的边缘附近的高度的电解液流动(例如,横流)。在某些实施方案中,边缘流动元件可以是环形或弧形的,并且可以是方位角上均匀的或不均匀的。边缘流动元件在2015年10月27日提交的名称为“EDGE FLOW ELEMENT FOR ELECTROPLATING APPARATUS,”的美国专利申请No.14/924,124中进一步讨论,其通过引用整体并入本文。
在某些情况下,该装置可以包括用于临时密封横流歧管的密封构件。密封构件可以是环形或弧形的,并且可以被定位成靠近横流歧管的边缘。环形密封构件可密封整个横流歧管,而弧形密封构件可对横流歧管的一部分进行密封(在某些情况下,侧出口保持打开状态)。在电镀期间,密封构件可以重复地接合和分离,以密封和拆封横流歧管。可以通过移动衬底保持器、离子阻性元件、前侧插入件或装置的与密封件接合的其他部分来接合和脱开密封件。在以下美国专利申请中进一步讨论了密封构件和调节横流的方法:2016年8月1日提交的名称为“DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURINGELECTROPLATING”的美国专利申请No.15/225,716;和2016年5月20日提交名称为“DYNAMICMODULATION OF CROSS FLOW MANIFOLD DURING ELECTROPLATING”的美国专利申请No.15/161,081,在此通过引用将其全部内容合并于此。
在各种实施方案中,可提供一个或多个电解液喷嘴以将额外的电解液输送到离子阻性元件上方。电解液喷嘴可在接近衬底的外围或在更靠近衬底的中心的位置处或两者上输送电解液。电解液喷嘴可以定位在任何位置,并且可以输送横流的电解液、撞击的电解液或其组合。电解液喷嘴在2017年3月9日提交的名称为“ELECTROPLATING APPARATUS ANDMETHODS UTILIZING INDEPENDENT CONTROL OF IMPINGING ELECTROLYTE,”的美国专利申请No.15/455,011中进一步描述,该专利申请通过引用整体并入本文。
图1A及1B中所示装置的一个优点为其在衬底表面上建立两种不同类型的流动。向上行进通过离子阻性元件107的电解液冲击衬底的表面,而横流通过横流歧管110的电解液剪切通过衬底表面。此两种流动相结合以提供高质量的镀敷结果。然而,已发现,在某些情况下,横流电解液有效地淹没冲击电解液的效应。这可能是,相较于进入离子阻性元件歧管111并通过离子阻性元件107的电解液的流动,在横流歧管110内相对大的横流的结果。
应对此问题的一种技术涉及在不同时间将电解液输送至装置的不同部分,从而在电镀衬底的过程中在不同时间于衬底上建立不同的流动。例如,该装置可周期性或间歇性地在(a)将横流电解液输送至衬底表面的第一流动模式(例如,其中电解液主要源自侧入口113)与(b)将冲击电解液输送至衬底表面的第二流动模式(例如,其中电解液主要源自离子阻性元件歧管111并行进通过离子阻性元件107)之间切换。各流动模式可提供某种程度的横流电解液,以及某种程度的冲击电解液。然而,当考虑输送通过侧入口113、与从离子阻性元件歧管111输送通过离子阻性元件107的组合电解液时,第一流动模式具有较高比例的输送通过侧入口113的流量,而第二流动模式具有较高比例的从离子阻性元件歧管111输送的流量。
在一些情况下,可使用不同的流动模式以在电沉积材料中达到期望的组成。电解液对流的程度会影响某些金属被掺入电沉积材料的程度。例如,在存在相对高度的对流存在的情况下,银可更容易地被掺入电沉积材料(例如,铜-银或锡-银合金)中。因此,本文所述方法可用于以下应用中:需要在沉积材料中掺入及维持相对高数量的银的应用。在这些或其他情况下,可使用不同的流动模式,以提供基于在电镀期间任何给定时间的特征的形状而设定的流体动力条件。例如,当特征具有高深宽比时,可使用一个流动模式或一组流动模式,而当特征被填充更多时(因此具有较低的深宽比)时,可使用另一个流动模式或另一组流动模式。在一些实施方案中,可选择流动模式,以在沉积过程中于沉积材料中达到相对均匀的组成(例如,银(或其他金属)的程度)(例如,使得沉积于特征深处的材料具有与较晚沉积的材料一致的组成,较晚沉积的材料在特征中是较浅的)。示例描述以下。
图2A-2C说明显示不同流动模式的模型化结果,这些流动模式可在靠近衬底102表面的横流歧管110中实现。衬底102包含形成于其中的特征119。特征119凹入衬底102的表面中。箭头代表流体速度向量。图2A描绘当电解液由离子阻性元件歧管111通过离子阻性元件107而被输送至横流歧管110时所实现的流动模式,其中少量或没有电解液被输送通过侧入口113。在此情况下,有大量电解液流动冲击衬底表面。相对而言,图2C描绘当电解液由侧入口113被输送至横流歧管110时所实现的流动模式,其中少量或没有电解液被输送通过离子阻性元件歧管111/离子阻性元件107。在此情况下,衬底表面上有大量电解液横流,且基本上没有冲击流。图2B描绘当电解液通过侧入口113及离子阻性元件歧管111/离子阻性元件107两者而被输送至横流歧管110时所实现的流动模式。在许多实施方案中,于电镀单一衬底期间利用图2A-2C中的流动模式的二或更多者是有利的。
图3A说明类似于图1A及1B所示电镀装置的电镀装置,且为了简单起见,仅讨论不同之处。图3A中,装置包含三通阀120,其影响电解液在装置内输送的方式。三通阀120包含至少阀120a和120b。当阀120a为开启时,电解液由阴极电解液入口118通过阀120a、通过侧入口113、通过横流歧管110(其中电解液以剪切方式流过衬底表面)、从侧出口114离开、并越过堰壁109。当阀120b为开启时,电解液由阴极电解液入口118通过阀120b、通过灌溉槽116、进入离子阻性元件歧管111、通过离子阻性元件107、进入横流歧管110(其中电解液冲击衬底表面)、从侧出口114离开、并越过堰壁109。可独立或协同控制阀120a和120b。
图3B显示当阀120a为开启且阀120b为关闭时在横流歧管110内所实现的一般流动模式。在此情况下,在衬底表面处的电解液流动主要为横流的电解液。由于少量或没有电解液被输送至离子阻性元件歧管111并通过离子阻性元件107,因而在衬底表面上存在相对少量的冲击流。相对地,图3C显示当阀120a为关闭且阀120b为开启时所实现的流动模式。在此情况下,在衬底表面处的电解液流动主要为冲击电解液。由于少量或没有电解液被输送通过侧入口113,故在衬底表面上存在相对少量的横流。
在许多实现方式中,于电镀期间操作三通阀120以在电镀单一衬底的过程中建立不同的流动模式。在一示例中,在电镀处理的至少一部分期间,操作三通阀120以在图3B所示流动模式与图3C所示流动模式之间循环地变换。在此情况下,当阀120b为关闭时,阀120a为开启的,且反之亦然。在另一实施方案中,阀120a在电镀过程中维持开启,而阀120b在电镀过程中开启和关闭。在另一实施方案中,阀120b在电镀过程中维持开启,而阀120a在电镀过程中开启和关闭。在另一实施方案中,阀120a及阀120b在电镀过程中各自维持开启,其中这些阀中的一或两者在电镀过程中部分关闭并重新开启。将阀部分关闭使得通过阀的电解液流动减少但不中止。
表1提供不同类型的流动模式的各种示例,这些流动模式可通过控制阀120a及120b而建立。一般而言,横流的程度由阀120a的位置所决定,该阀120a控制被输送至侧入口113的电解液的流动。冲击流的程度由(a)阀120b的位置所决定,该阀120b控制被输送至灌溉槽116的电解液的流动,且冲击流的程度还由(b)阀120a的位置所决定。冲击流的程度受到阀120a的位置影响,因为输送通过侧入口113的高度横流电解液可用于将输送通过离子阻性元件107的冲击电解液淹没。相反地,即使在存在大量冲击流的情况下,仍可维持高度横流。
【表1】
Figure GDA0004015308110000131
可在电镀期间操作三通阀120,以在本文所述流动模式的任何二或多者之间周期性或间歇性地切换。
图4A说明了与图3A所示的电镀装置相似的电镀装置,且为了简单起见,仅讨论不同之处。图4A的装置包含将电解液输送至多个电解液喷嘴125的电解液管道122,这些电解液喷嘴125形成于离子阻性元件107的肋部115中。虽然图4A所示的肋部115与图3A所示的相比更宽且相隔较远,但这是为了说明电解液喷嘴125。在另一实施方案中,电解液喷嘴125可形成于较薄且较靠近彼此的肋部115中,相似于图3A中所示的那些。
图4A中,电解液管道122形成于隔膜框架106中与离子阻性元件107中。电解液管道122与流体连接部123流体连通。当阀120b为开启时,流体连接部123接收电解液。在一些情况下,连接部123包含其自身的阀(例如三通阀,未图示),类似于阀120。在其他情况下,可省略此阀,如图4A所示。当在连接部123处包含阀时,其可用于独立控制电解液向电解液管道122与向灌溉槽116的输送。
电解液喷嘴125将冲击电解液输送至衬底的表面。由于肋部115的顶表面靠近于衬底102的表面,因此电解液喷嘴125的一个优点为其将电解液输送至相当靠近衬底表面处。在此示例中,与离子阻性元件中的其他开口(例如离子阻性元件107的非凸起/非肋状的平面部分上的开口)相比,电解液喷嘴125将电解液输送至明显更靠近衬底表面处。因此,更加容易确保冲击电解液到达衬底102的表面,而不会被横流歧管110中的横流电解液抑制/淹没。
设置如图4A所示的电解液喷嘴125的另一优点为电解液喷嘴125输送与阳极104电气隔离的电解液。相比之下,来自离子阻性元件歧管111的被输送通过离子阻性元件107的电解液与阳极104电气连通。通过包含输送与阳极电气隔离的电解液的电解液喷嘴125,可使装置内的电解液流动及电流分布各自优化。类似地,在适当位置处包含阀(例如,影响电解液向电解液管道122与离子阻性元件歧管111两者输送的位置,例如在流体连接部123处)能在电镀过程中实现对于衬底表面处的电解液流动的程度和时序及电流分布的精细控制。
图4B-4D说明具有线性肋部115形成于其上的离子阻性元件107的特写立体图,其中肋部115适于形成电解液喷嘴125。在各情况下,离子阻性元件107包含两种类型的开口:(1)通孔126以及(2)电解液喷嘴125。通孔126容许电解液由离子阻性元件歧管111通过离子阻性元件107行进至横流歧管110中。通孔126也可被称为通道或孔隙。通孔126提供与阳极104电气连通的电解液,而电解液喷嘴125提供未与阳极104电气连通的电解液。图4B中,电解液被输送通过电解液喷嘴125,而非通过通孔126。此可通过以下方式而实现:确保阀120b为开启的,同时在流体连接部123处的阀(未图示)容许电解液进入电解液管道122,而不进入灌溉槽116。因此,被输送至横流歧管110中的电解液实质上与阳极104电气隔离(然而应理解,即使在未使电解液主动输送至离子阻性元件歧管111时,与阳极104电气连通的一些电解液可能渗漏通过离子阻性元件107进入横流歧管110)。图4C中,电解液被输送通过通孔126,而不通过电解液喷嘴125。这可通过以下方式实现:确保阀120b为开启的,同时在流体连接部123处的阀(未图示)容许电解液进入灌溉槽116,而不进入电解液管道122。图4D中,电解液通过电解液喷嘴125与通孔126两者而被输送至横流歧管110中。这可通过以下方式实现:确保阀120b为开启的,并且(a)在流体连接部123处不设置阀、或者(b)在流体连接部123处的阀(未图示)开启以容许电解液进入电解液管道122及灌溉槽116两者中。
图4E-4G说明如图4A-4D所示的离子阻性元件107的截面图。图4E描绘通过电解液喷嘴125的电解液的流动,其类似于图4B。图4F显示通过通孔126的电解液的流动,其类似于图4C。图4G显示通过电解液喷嘴125与通孔126两者的电解液的流动,其类似于图4D。图4H说明通过横流歧管110的电解液的流动,其中电解液流动源自来自侧入口113的横流。应理解,可依特定应用的需求而组合这些流动。
图4I说明如图4A-4H所示的离子阻性元件107的一部分的特写俯视图。电解液喷嘴125被设置于肋部115上,且通孔126被设置于离子阻性元件107的非肋状的平面部分上。
图4J描绘了如关于图4A-4I所述的离子阻性元件107的特写截面图。在此图中,室128是可见的。室128沿着离子阻性元件107的长度、平行于肋部115延伸。图4J中,室128延伸进/出页面。室128可由电解液管道122或另一电解液来源馈送(或与电解液管道122或另一电解液来源形成一体),该电解液管道122或另一电解液来源与阳极104电气隔离。室128将电解液输送至电解液喷嘴125。在一示例中,可通过钻凿而形成室128,在该情况下可在钻凿之后装设底板129。在另一示例中,可通过3D打印技术以形成离子阻性元件107,在该情况下无需钻凿室128。
图4K-4M描绘具有不同形状的电解液喷嘴125形成于其中的肋部115的俯视图,如关于图4A-4J所述。图4K中,电解液喷嘴125为圆形的开口。图4L中,电解液喷嘴125为椭圆形的开口,其中椭圆的最长维度与肋部115的长度/最长维度平行。图4M中,电解液喷嘴125为矩形的开口,其中矩形的最长维度与肋部115的长度/最长维度平行。其他的开口形状与尺寸也可被用于电解液喷嘴125。
图4N与4O描绘了离子阻性元件107的俯视图(图4N)与截面图(图4O),该离子阻性元件107具有包含电解液喷嘴125的肋部115。这些图描绘了根据某些实施方案电解液如何被输送至肋部/电解液喷嘴、以及如何在肋部/电解液喷嘴内输送。为了简单起见,将延伸通过离子阻性元件107的厚度的通孔(其将电解液从离子阻性元件歧管输送通过离子阻性元件至横流歧管中)省略。在此示例中,各肋部115包含沿着肋部115的长度延伸的室128。相似的室128在图4J中显示为延伸进/出页面。室128将电解液输送至多个电解液喷嘴125。在图4N与4O中,各肋部115中的室128从第一电解液喷嘴入口130a及第二电解液喷嘴入口130b馈送电解液。将第一电解液喷嘴入口130a与第二电解液喷嘴入口130b定位于肋部115的相对端上。在类似的实施方案中,可利用电解液喷嘴出口取代一组电解液喷嘴入口130a或130b,该电解液喷嘴出口接收在肋部115中流动的过量电解液、并将其输送至电镀装置内的另一通道或区域(例如,输送至接收过量电解液并立即将其自电镀室中移除的通道,或输送至离子阻性元件歧管,或输送至横流歧管等)。多个电解液喷嘴入口130a或130b可由一或更多共通的电解液喷嘴入口歧管(未图示)馈送,这些电解液喷嘴入口歧管类似于馈送给侧入口113中的许多单独的入口的歧管。电解液喷嘴出口(在使用的情况下)可类似地馈送给所连接的歧管。可使电解液喷嘴入口(及出口)歧管形成于离子阻性元件107中、或另一部件中,例如膜结构、前侧插件、背侧插件、或定位靠近于离子阻性元件107的周边的另一件硬件。
本文的多个图说明在其上具有肋部115的离子阻性元件107,其中将肋部定位成使其最长维度(例如,其长度)垂直于横流电解液的方向(例如,垂直于侧入口113与侧出口114之间的方向)。图5A显示以此方式将肋部115定位的离子阻性元件107的俯视图。在一些情况下,可以不同方式将肋部115定位。在图5B所示的示例中,将肋部115定位成平行于横流电解液的方向。图5A所示的定位的一个优点为:当电解液由侧入口113行进至侧出口114时,其必定经过肋部115中的每一个。这在各肋部115上方的区域中达成电解液横流的高流率。图5B所示的定向的一个优点为:横流在形成于相邻肋部115之间的通道中畅通无阻。可将冲击流输送通过形成于肋部115中的电解液喷嘴125(未图示于图5A或5B中)。随着衬底被转动,衬底的各部分经历循环暴露于(a)横流电解液(例如,当在形成于相邻肋部115之间的通道上方/附近时),以及(b)冲击电解液(例如,当在肋部115上方/附近时)。当肋部115与横流的方向对齐时,此循环暴露特别显著。可将本文所述实施方案的任一者修改以使肋部如图5A所示而定位。
在将电解液管道122/电解液喷嘴125与控制向电解液管道122及离子阻性元件歧管111的流体输送的阀(例如在流体连接部123处的阀)结合而设置的情况下,可在装置中实现的流动模式的数目大幅增加。参照表1,可例如通过以下方式而实现表中所示的流动模式中的每一种:确保在流体连接部123处的阀容许电解液输送至灌溉槽116,而不输送至电解液管道122。此外,可通过下列方式而修改表中所示的各个流动模式以提供不同的流动模式:(a)确保在流体连接部123处的阀容许电解液输送至电解液管道122中,而不输送至灌溉槽116;(b)确保在流体连接部123处的阀(若存在)容许电解液输送至电解液管道122及灌溉槽116中;(c)将在流体连接部123处的阀部分开启以容许流入电解液管道122和/或灌溉槽116中的流减少等。可使用许多不同的流动模式与流动模式的组合。
虽然图3A和4A说明在电镀装置内的特定位置处的阀120,但应理解,可在另一位置处设置此类阀,只要该阀能够控制在离子阻性元件上方(例如通过侧入口113)和在离子阻性元件下方(例如进入离子阻性元件歧管111,在一些情况下通过灌溉槽116)两者的电解液流动。通常,控制这些流动的阀定位在远离电镀室内部的位置。图3A和4A中所显示的阀120的位置特别有用,因为其靠近侧入口113与离子阻性元件歧管111两者。因此,当阀120(或定位在流体连接部123的阀,其类似地靠近于相关的电解液流)的设定改变时,在装置内的电解液流动非常快速地变化。在相关的阀位于较远离装置的相关部分的位置的情况下,电解液流动可能对阀设定的改变响应较为缓慢。
在一些实施方案中,以下方式会是有利的:在接近镀敷开始时偏好一种流动模式或流动模式的组合,而在接近镀敷结束时偏好另一种流动模式或流动模式的组合。例如,在电解液流动由横流所主导的情况下,具有高深宽比的凹陷特征可较佳地填充,而于存在相对较大量的冲击流的情况下(例如,使得电解液流动较不由横流所主导),具有低深宽比的凹陷特征可较佳地填充。基于至少这些理由,最佳的电解液流动模式可随着特征形状变化而在镀敷过程中改变。
在多种示例中,具有高深宽比特征形成于其中的衬底是在两种不同的镀敷状态下进行镀敷。各镀敷状态可利用一或更多的本文所述的流动模式。在第一镀敷状态期间(例如,接近镀敷开始时),使用一或更多流动模式,该一或更多流动模式中的至少一者提供相对较高程度的横流电解液。在第二镀敷状态期间(例如,接近镀敷结束时),使用一或更多流动模式,该一或更多流动模式中的至少一者提供相对较低程度的横流电解液和/或相对较高程度的冲击电解液(相较于第一流动状态中所使用的流动模式)。
图7A呈现电镀衬底的方法的流程图。该方法开始于操作701,其中衬底被浸入电镀装置中的电解液中。在许多实施方案中,电镀装置可为如图3A或4A所示。接下来,在操作703,在根据第一流动模式使电解液流动时将材料电镀至衬底上。流动模式由电镀装置的几何特征和控制电解液输送至电镀装置的各种阀与泵的设定所决定。参照图3A及4A,与阀120有关的设定以及与流体连接部123处的阀(若存在)有关的设定是特别相关的。在利用第一流动模式将材料电镀于衬底上之后,该方法在操作705继续,其中将阀的设定改变,且在根据第二流动模式使电解液流动时将材料电镀至衬底上。由于经改变的阀设定,第二流动模式不同于第一流动模式。第一流动模式与第二流动模式两者皆可为本文所述的任何流动模式,其中电解液由阴极电解液入口118被输送至下列各者中的任一者或多者:(a)侧入口113、(b)离子阻性元件歧管111(例如,通过灌溉槽116)、以及(c)电解液管道122/电解液喷嘴125。使这些流动中的任一者增加及/或减少会改变电镀装置内的流动模式。在一示例中,相比于第一流动模式,第二流动模式在衬底表面处建立相对较高程度的冲击流动、和/或在衬底表面处建立相对较低程度的横流。然而,流动模式的许多组合是可能的。接下来,在操作707,将衬底从电解液中移走。
在相似的示例中,可使操作703与705循环,使得第一及第二流动模式在电镀期间于电镀装置中被循环地建立。在另一示例中,可在操作705之后且在操作707之前建立额外的流动模式(例如,第三流动模式、第四流动模式等)。
图7B呈现电镀衬底的方法的另一流程图。在此示例中,使用两个不同的镀敷状态。在每个镀敷状态期间,可在电镀装置内建立一或更多流动模式。在二或更多流动模式被使用于单一镀敷状态期间的情况下,可使这些流动模式在镀敷状态期间一起循环。该方法开始于操作701,其中衬底被浸入电解液中。接下来,在操作711,在根据第一镀敷状态使电解液流动时将材料电镀至衬底上。为了完成操作711,可进行若干步骤。首先,在步骤712,设定或改变阀之设定以建立第一流动模式。参照图3A及4A,与阀120有关的设定以及与流体连接部123处的阀(若存在)有关的设定是特别相关的。接下来,在步骤714,确定在第一镀敷状态期间是否需要流动模式的循环。若不需要循环,则该方法在步骤716继续,其中维持第一流动模式直到第一镀敷状态结束为止。在需要循环的情况下,该方法在步骤718继续,其中改变阀的设定以建立第二流动模式。使第一及第二流动模式一起循环(例如,通过依需求使阀的设定循环)直到第一镀敷状态结束为止。
在第一镀敷状态完成之后,该方法于操作719通过以下操作而继续:在根据第二镀敷状态使电解液流动时将材料电镀至衬底上。为了完成第二镀敷状态,可进行若干步骤,其类似于第一镀敷状态。在步骤722,改变阀的设定以建立第三流动模式。在步骤724,确定在第二镀敷状态期间是否需要流动模式的循环。若不需要循环,则该方法在步骤726继续,其中维持第三流动模式直到第二镀敷状态结束为止。在需要循环的情况下,该方法在步骤728继续,其中改变阀的设定以建立第四流动模式。使第三和第四流动模式一起循环(例如,通过依需求使阀的设定循环)直到第二镀敷状态结束为止。接下来,在操作707,将衬底从电解液中移走。
虽然图7B仅显示两个镀敷状态,然而可使用任何数目的镀敷状态。同样地,虽然图7B仅在各个镀敷状态期间显示至多两个流动模式,然而在单一镀敷状态期间可使用任何数目的流动模式。
在二或更多流动模式被使用于单一镀敷状态期间的情况下,这些流动模式可重复地切换以多次循环通过相关的流动模式,如将步骤718连结至步骤712、及将步骤728连结至步骤722的箭头所指示的。在流动模式于单一镀敷状态内循环的情况下,流动模式改变的频率(例如,控制流动模式的一或更多阀切换的频率)可为每秒约0.5至2次之间、或每秒约0.1至10次之间。在每个流动模式中所花费的持续时间(例如,花费于相关的各组阀的设定的持续时间)可为一致或不一致的,且可在特定镀敷状态内或不同镀敷状态之间随时间的推移而增加或减少。在一示例中,其中衬底是在利用第一流动模式的第一镀敷状态下电镀,并接着于在第二流动模式与第三流动模式之间切换的第二镀敷状态下电镀,花费于第二流动模式的各迭代中的持续时间可以较短、较长、或与花费于第三流动模式的各迭代中的持续时间相同。一镀敷状态切换至另一镀敷状态的时间可基于各种特性而选择,这些特性包含(但不限于):特征的瞬间深宽比、特征的瞬间深度、传送至衬底的电荷量或电荷密度等。例如,在达到阈值深宽比时、在达到阈值特征深度时、在达到阈值电荷量或电荷密度时等等,该处理可由一镀敷状态切换至另一镀敷状态。在一示例中,当衬底上的特征达到阈值深宽比时,提供相对较大程度的横流的第一镀敷状态切换至提供相对较大程度的冲击流动的第二镀敷状态。
参照图3A而描述一特定示例。在该示例中,衬底102在两种不同镀敷状态下镀敷。在接近镀敷开始时的第一镀敷状态期间,阀120a完全开启,从而实现高度的横流。控制电解液通过灌溉槽116输送至离子阻性元件歧管111的阀120b可以是完全开启、部分开启、或关闭的。高度的横流帮助填充衬底102上的高深宽比特征。随着特征被填充,其深宽比降低,而可能期望提供较大程度的冲击流动。因此,在接近镀敷结束时的第二镀敷状态期间,控制阀120以经常性地或周期性地实现中度或高度的冲击流动。例如,在第二镀敷状态期间,可控制阀120以实现表1中的流动模式5或8,使得得有少量或没有横流、且有中度或高度的冲击流动存在。在另一示例中,在第二镀敷状态期间,可控制阀120以在(a)流动模式5或8与(b)流动模式1-8中的任一者之间切换。在另一示例中,使用图4A的装置,且在第二镀敷状态期间所实现的至少一种流动模式涉及将电解液输送通过电解液喷嘴125。
电镀系统
本文描述的方法可以由任何合适的系统/装置执行。合适的装置包括根据本实施方案的用于完成工艺操作的硬件和具有用于控制工艺操作的指令的系统控制器。例如,在一些实施方案中,硬件可以包括工艺工具中包括的一个或多个处理站。
图6显示了示例性的电沉积装置的示意性俯视图。电沉积装置600可包括三个分离的电镀模块602、604与606。电沉积装置600也可包括设置用于各种处理操作的三个分离模块612、614与616。例如,在某些实施方案中,模块612、614与616中的一或多者可以是旋转润湿干燥(SRD)模块。其他实施方案中,模块612、614与616中的一或更多者可为电填充后模块(PEMs),每一模块设置为运行一功能,例如边缘斜角移除、背侧蚀刻、以及在衬底由电镀模块602、604与606中的一者处理后的衬底酸性清洁。
电沉积装置600包括中央电沉积室624。中央电沉积室624是容纳化学溶液的室,该化学溶液在电镀模块602、604与606中用作电镀溶液。电沉积装置600还包括可储存及输送用于电镀溶液的添加物的配料系统626。化学稀释模块622可储存并混合作为蚀刻剂的化学物。过滤及泵送单元628可过滤电镀溶液以供中央电沉积室624使用并将电镀溶液泵入电镀模块。
系统控制器630提供操作电沉积模块600所需的电子控制与接口控制。系统控制器630(其可包括一或更多实体或逻辑控制器)控制电镀装置600的部分或全部性能。
用于监视该处理的信号可通过由系统控制器630从各处理工具传感器的模拟及/或数字输入链接所提供。用于控制该处理的信号可在处理工具的模拟与数字输出链接上输出。可受到监视的处理工具传感器的非限制性示例包括质量流控制器、压力传感器(如流体压力计)、热电耦、光学位置传感器等。经适当编程的反馈与控制算法可与来自这些传感器的数据一起使用以维持处理条件。
传送(hand-off)工具640可从诸如匣642或匣644之类的衬底匣中选取衬底。匣642或644可以是前开式晶片传送盒(FOUP)。FOUP可为封闭体,该封闭体被设计为在受控环境下稳固并安全保持衬底,并允许衬底被配备有适当装载端口与机器搬运系统的工具移开,以用于处理或量测。传送工具640可使用真空附着或其他附着机构而保持衬底。
传送工具640可与晶片搬运站632、匣642或644、传输站650或对准器648接合。通过传输站650,传送工具646得以取用衬底。传输站650可为凹槽或位置,传送工具640与646可不经过对准器648而往来于该凹槽或位置传递衬底。然而,在一些实施方案中,为确保衬底在传送工具646上正确对准以精准地传输到电镀模块,传送工具646可利用对准器648对准衬底。传送工具646也可传递衬底至电镀模块602、604或606中的一者,或至设置用于各种处理操作的三个分离模块612、614及616中的一者。
根据上述方法的处理操作的示例可如下进行:(1)在电镀模块604中将铜或另一材料电沉积至衬底上;(2)在SRD模块612中润湿并干燥衬底;以及(3)在模块614中执行边缘斜角移除。
配置为在电镀、润湿、干燥与PEM的连续处理操作的整个期间提供高效衬底循环的装置可有益于在制造环境中所使用的实现方式。为达此目的,可将模块612配置为旋转润湿干燥及边缘斜角移除(edge bevel removal,EBR)室。通过此模块612,衬底仅需在电镀模块604与模块612之间传递以用于铜电镀及EBR操作。在一些实施方案中,本文所述方法会在包含电镀装置及步进机的系统中实施。
系统控制器
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实施方案的一部分。这种系统可以包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是晶片厂(fab)主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施方案中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施方案中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施方案可以是与结合以控制室上的工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
示例的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。

Claims (13)

1.一种电镀装置,其包含:
(a)镀敷室,其被配置成在将金属电镀到衬底上时容纳电解液和阳极,所述衬底是平坦的;
(b)衬底保持器,其被配置成支撑所述衬底,使得在镀敷期间将所述衬底的镀敷面浸入所述电解液中并与所述阳极分离;
(c)离子阻性元件,其适于在电镀期间提供通过所述离子阻性元件的离子传输,其中所述离子阻性元件包含与所述衬底至少共同延伸的板以及形成于所述板中的多个通孔;
(d)离子阻性元件歧管,其定位在所述离子阻性元件下方,其中,在电镀期间,电解液从所述离子阻性元件歧管传送通过所述离子阻性元件中的所述通孔;
(e)横流歧管,其定位在所述离子阻性元件上方,且当所述衬底存在于所述衬底保持器中时在所述衬底的所述镀敷面下方;
(f)侧入口,其用于将电解液导入至所述横流歧管;
(g)侧出口,其用于接收流动于所述横流歧管中的电解液,其中,在电镀期间,所述侧入口与所述侧出口位于靠近所述衬底的所述镀敷面上的方位角相反的周边位置处,并且其中,所述侧入口与所述侧出口适于在电镀期间在所述横流歧管中产生横流电解液;以及
(h)三通阀,其控制电解液向(i)所述侧入口及(ii)所述离子阻性元件歧管中的每一者的输送。
2.根据权利要求1所述的装置,其还包含控制器,所述控制器被配置成在电镀期间切换所述三通阀,以在所述衬底上电镀期间提供至少第一流动模式和第二流动模式。
3.根据权利要求2所述的装置,其中,相较于所述第一流动模式,所述第二流动模式在所述衬底的所述镀敷面处提供相对较大程度的冲击电解液。
4.根据权利要求1所述的装置,其中所述三通阀使得能对电解液向所述侧入口和所述离子阻性元件歧管的输送进行独立控制。
5.根据权利要求1所述的装置,其还包含控制器,所述控制器被配置成在电镀期间切换所述三通阀,以在所述衬底上电镀期间提供至少第一镀敷状态和第二镀敷状态,其中,在所述第一镀敷状态期间提供第一流动模式,其中,在所述第二镀敷状态期间循环地提供第二流动模式和第三流动模式,且其中,相较于所述第一流动模式,所述第二流动模式在所述衬底的所述镀敷面上提供相对较大程度的冲击电解液。
6.根据权利要求5所述的装置,其中所述控制器被配置成操作所述三通阀,使得(i)所述第一流动模式将电解液输送至所述侧入口和所述离子阻性元件歧管两者,并且(ii)所述第二流动模式将电解液输送至所述离子阻性元件歧管,而不输送至所述侧入口。
7.根据权利要求5所述的装置,其中所述控制器被配置成操作所述三通阀,使得所述第一流动模式与所述第二流动模式各自将电解液输送至所述侧入口和所述离子阻性元件歧管两者,其中,相较于所述第二流动模式,在所述第一流动模式中流向所述侧入口的电解液的流动是相对较多的,且其中,相较于所述第一流动模式,在所述第二流动模式中流向所述离子阻性元件歧管的电解液的流动是相对较多的。
8.根据权利要求1所述的装置,其中所述三通阀以非独立方式控制电解液向所述侧入口和所述离子阻性元件歧管的输送,使得当流向所述侧入口的电解液的流动增加时,流向所述离子阻性元件歧管的电解液的流动减少,并且反之亦然。
9.根据权利要求1所述的装置,其中所述离子阻性元件包含在所述离子阻性元件的上表面上的多个肋部,所述肋部为线性的且延伸横跨所述横流歧管。
10.根据权利要求9所述的装置,其还包含形成于所述离子阻性元件上的所述肋部中的多个电解液喷嘴。
11.根据权利要求10所述的装置,其中被输送至所述多个电解液喷嘴的电解液与所述阳极是电气隔离的。
12.根据权利要求11所述的装置,其还包含隔膜框架,其用于支撑隔膜,所述隔膜在电镀期间将所述阳极与所述衬底分隔开,其中所述隔膜框架限定所述离子阻性元件歧管的底表面,且所述电镀装置还包含电解液管道,其形成于所述隔膜框架和所述离子阻性元件中,其中所述电解液管道将电解液提供至所述多个电解液喷嘴。
13.根据权利要求12所述的装置,其还包含第二三通阀,其控制电解液向所述离子阻性元件歧管以及向形成于所述隔膜框架和所述离子阻性元件中的所述电解液管道的输送。
CN201880060645.0A 2017-09-18 2018-09-18 用于在电镀期间控制横流和冲击电解液的输送的方法和装置 Active CN111094636B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/707,805 US10781527B2 (en) 2017-09-18 2017-09-18 Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
US15/707,805 2017-09-18
PCT/US2018/051447 WO2019055962A1 (en) 2017-09-18 2018-09-18 METHODS AND APPARATUS FOR CONTROLLING INCIDENT AND TRANSVERSE FLOW ELECTROLYTE DISTRIBUTION DURING ELECTROLYTIC DEPOSITION

Publications (2)

Publication Number Publication Date
CN111094636A CN111094636A (zh) 2020-05-01
CN111094636B true CN111094636B (zh) 2023-04-28

Family

ID=65719917

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880060645.0A Active CN111094636B (zh) 2017-09-18 2018-09-18 用于在电镀期间控制横流和冲击电解液的输送的方法和装置

Country Status (7)

Country Link
US (1) US10781527B2 (zh)
JP (1) JP2020534430A (zh)
KR (1) KR102566478B1 (zh)
CN (1) CN111094636B (zh)
SG (1) SG11202002273QA (zh)
TW (1) TW201923162A (zh)
WO (1) WO2019055962A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
US20220298667A1 (en) * 2019-09-03 2022-09-22 Lam Research Corporation Low angle membrane frame for an electroplating cell
WO2021071885A1 (en) * 2019-10-08 2021-04-15 Applied Materials, Inc. Mechanically-driven oscillating flow agitation
EP3910095B1 (en) * 2020-05-11 2022-03-16 Semsysco GmbH Distribution system for a process fluid for chemical and/or electrolytic surface treatment of a rotatable substrate
JP7499667B2 (ja) * 2020-10-01 2024-06-14 株式会社荏原製作所 めっき装置の気泡除去方法及びめっき装置
US20230092346A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. Electroplating co-planarity improvement by die shielding
WO2024081507A1 (en) * 2022-10-11 2024-04-18 Lam Research Corporation Electrodeposition system with ion-exchange membrane irrigation

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102330140A (zh) * 2010-07-02 2012-01-25 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
CN103866374A (zh) * 2012-12-12 2014-06-18 诺发系统公司 电镀过程中用于高效传质的电解液流体动力的增强装置
CN104342747A (zh) * 2013-08-06 2015-02-11 朗姆研究公司 用于在镍电镀槽液中保持pH值的装置和方法
CN105189824A (zh) * 2013-03-15 2015-12-23 海德罗诺威什公司 电化学水软化系统
CN105821457A (zh) * 2015-01-22 2016-08-03 朗姆研究公司 使用远程电流动态控制电镀均匀性的装置和方法
CN106149024A (zh) * 2015-05-14 2016-11-23 朗姆研究公司 利用离子阻性离子可穿透元件电镀金属的装置和方法
CN106480481A (zh) * 2015-08-28 2017-03-08 朗姆研究公司 用于电镀装置的边缘流元件

Family Cites Families (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
DE3108358C2 (de) 1981-03-05 1985-08-29 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum partiellen Galvanisieren von zu elektrisch leitenden Bändern, Streifen oder dgl. zusammengefaßten Teilen im Durchlaufverfahren
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298A (ja) 1983-03-07 1984-09-13 Kawasaki Steel Corp 金属ストリツプの高電流密度めつき方法
US4633893A (en) 1984-05-21 1987-01-06 Cfm Technologies Limited Partnership Apparatus for treating semiconductor wafers
US4738272A (en) 1984-05-21 1988-04-19 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4856544A (en) 1984-05-21 1989-08-15 Cfm Technologies, Inc. Vessel and system for treating wafers with fluids
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
KR890001502B1 (ko) 1985-06-24 1989-05-06 씨.에프.엠 테크늘러지즈 인코포레이티드 반도체 웨이퍼 흐름 처리공정 및 그 장치
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
EP0283681B1 (de) 1987-02-23 1992-05-06 Siemens Aktiengesellschaft Galvanisiereinrichtung zur Erzeugung von Höckern auf Chip-Bauelementen
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
AU7403694A (en) 1994-07-19 1996-02-16 American Plating Systems, Inc. Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5626736A (en) 1996-01-19 1997-05-06 Shipley Company, L.L.C. Electroplating process
US20020066464A1 (en) 1997-05-09 2002-06-06 Semitool, Inc. Processing a workpiece using ozone and sonic energy
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
AU5907798A (en) 1997-09-30 1999-04-23 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
KR100474746B1 (ko) 1998-02-12 2005-03-08 에이씨엠 리서치, 인코포레이티드 도금 장치 및 방법
KR100616198B1 (ko) 1998-04-21 2006-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판상에 전기도금하는 전기화학적 증착 시스템 및 방법
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6022465A (en) 1998-06-01 2000-02-08 Cutek Research, Inc. Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
JP2000087299A (ja) 1998-09-08 2000-03-28 Ebara Corp 基板メッキ装置
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7204924B2 (en) 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
US6454918B1 (en) 1999-03-23 2002-09-24 Electroplating Engineers Of Japan Limited Cup type plating apparatus
JP3331332B2 (ja) 1999-08-25 2002-10-07 日本エレクトロプレイテイング・エンジニヤース株式会社 カップ式めっき装置
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
EP1194613A4 (en) 1999-04-13 2006-08-23 Semitool Inc PROCESSOR OF PARTS HAVING IMPROVED TREATMENT FLUID FLOW PROCESSING CHAMBER
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
TW499329B (en) 1999-09-17 2002-08-21 Product System Inc Chemically inert megasonic transducer system
JP3635217B2 (ja) 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6562204B1 (en) 2000-02-29 2003-05-13 Novellus Systems, Inc. Apparatus for potential controlled electroplating of fine patterns on semiconductor wafers
WO2001068952A1 (fr) 2000-03-17 2001-09-20 Ebara Corporation Procede et appareil de plaquage electrolytique
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US7273535B2 (en) 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US20020062839A1 (en) 2000-06-26 2002-05-30 Steven Verhaverbeke Method and apparatus for frontside and backside wet processing of a wafer
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US7456113B2 (en) 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US6610189B2 (en) 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
JP2002289568A (ja) 2001-03-23 2002-10-04 Dainippon Screen Mfg Co Ltd 基板洗浄装置およびそれに用いる超音波振動エレメント
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6605525B2 (en) 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP4043234B2 (ja) 2001-06-18 2008-02-06 株式会社荏原製作所 電解加工装置及び基板処理装置
WO2003007412A1 (en) 2001-07-13 2003-01-23 Brown University Research Foundation Polymer electrolyte membrane for electrochemical and other applications
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US6881318B2 (en) 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
WO2003018879A1 (fr) 2001-08-22 2003-03-06 Optical Forming Corporation Appareil et procede d'electroformage
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
TWI224531B (en) 2001-09-11 2004-12-01 Ebara Corp Substrate processing apparatus and method
JP3681670B2 (ja) 2001-09-25 2005-08-10 シャープ株式会社 半導体集積回路の製造装置および製造方法
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
TWI261875B (en) 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US8002962B2 (en) 2002-03-05 2011-08-23 Enthone Inc. Copper electrodeposition in microelectronics
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
DE10229001B4 (de) 2002-06-28 2007-02-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Steuern der Ionenverteilung während des galvanischen Auftragens eines Metalls auf eine Werkstückoberfläche
US7077585B2 (en) 2002-07-22 2006-07-18 Yoshitake Ito Developing method and apparatus for performing development processing properly and a solution processing method enabling enhanced uniformity in the processing
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040118694A1 (en) 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
EP1391540A3 (en) 2002-08-08 2006-10-04 Texas Instruments Incorporated Methods and apparatus for improved current density and feature fill control in ECD reactors
US20040084318A1 (en) 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
US7374646B2 (en) 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
JP2004250785A (ja) 2003-01-31 2004-09-09 Ebara Corp 電解処理装置及び基板処理装置
WO2004112093A2 (en) 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
DE602004018643D1 (de) 2003-06-24 2009-02-05 Sez Ag Einrichtung und verfahren zur nassbehandlung von scheibenartigen substraten
JP2005133160A (ja) 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP4681221B2 (ja) 2003-12-02 2011-05-11 ミライアル株式会社 薄板支持容器
US7553401B2 (en) 2004-03-19 2009-06-30 Faraday Technology, Inc. Electroplating cell with hydrodynamics facilitating more uniform deposition across a workpiece during plating
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
JP4583811B2 (ja) 2004-05-31 2010-11-17 吉田 英夫 めっき処理方法
KR101368748B1 (ko) 2004-06-04 2014-03-05 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7563348B2 (en) 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
WO2006055766A1 (en) 2004-11-19 2006-05-26 Novellus Systems, Inc. Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
WO2006127320A2 (en) 2005-05-25 2006-11-30 Applied Materials, Inc. Electroplating apparatus based on an array of anodes
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7255970B2 (en) 2005-07-12 2007-08-14 Az Electronic Materials Usa Corp. Photoresist composition for imaging thick films
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
KR100657600B1 (ko) 2005-10-24 2006-12-19 (주)씨-넷 엘씨디모듈의 백라이트 유니트용 커넥터
KR20090029693A (ko) 2006-05-05 2009-03-23 세즈 아게 판상 기판 습식 처리장치 및 처리방법
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
CN101220500A (zh) 2007-08-29 2008-07-16 中国电子科技集团公司第二研究所 晶圆凸点制造挂具
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
JP2010040849A (ja) 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US8581225B2 (en) 2010-04-28 2013-11-12 Panasonic Corporation Variable resistance nonvolatile memory device and method of manufacturing the same
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US9523155B2 (en) * 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
KR101783786B1 (ko) 2011-06-24 2017-10-10 에이씨엠 리서치 (상하이) 인코포레이티드 기판 상의 균일한 금속화를 위한 방법 및 장치
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
CN102719865B (zh) 2012-07-13 2016-02-24 曲悦峰 一种镀膜模具
US20140299476A1 (en) 2013-04-09 2014-10-09 Ebara Corporation Electroplating method
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
US9481942B2 (en) * 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US20170342590A1 (en) 2016-05-24 2017-11-30 Lam Research Corporation Modulation of applied current during sealed rotational electroplating
US20180258546A1 (en) 2017-03-09 2018-09-13 Lam Research Corporation Electroplating apparatus and methods utilizing independent control of impinging electrolyte
US11001934B2 (en) 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102330140A (zh) * 2010-07-02 2012-01-25 诺发系统有限公司 用于电镀期间的有效质量传递的电解液流体动力学的控制
CN103866374A (zh) * 2012-12-12 2014-06-18 诺发系统公司 电镀过程中用于高效传质的电解液流体动力的增强装置
CN106947997A (zh) * 2012-12-12 2017-07-14 诺发系统公司 电镀过程中用于高效传质的电解液流体动力的增强装置
CN105189824A (zh) * 2013-03-15 2015-12-23 海德罗诺威什公司 电化学水软化系统
CN104342747A (zh) * 2013-08-06 2015-02-11 朗姆研究公司 用于在镍电镀槽液中保持pH值的装置和方法
CN105821457A (zh) * 2015-01-22 2016-08-03 朗姆研究公司 使用远程电流动态控制电镀均匀性的装置和方法
CN106149024A (zh) * 2015-05-14 2016-11-23 朗姆研究公司 利用离子阻性离子可穿透元件电镀金属的装置和方法
CN106480481A (zh) * 2015-08-28 2017-03-08 朗姆研究公司 用于电镀装置的边缘流元件

Also Published As

Publication number Publication date
SG11202002273QA (en) 2020-04-29
KR20200045566A (ko) 2020-05-04
US10781527B2 (en) 2020-09-22
JP2020534430A (ja) 2020-11-26
CN111094636A (zh) 2020-05-01
US20190085479A1 (en) 2019-03-21
KR102566478B1 (ko) 2023-08-14
TW201923162A (zh) 2019-06-16
WO2019055962A1 (en) 2019-03-21

Similar Documents

Publication Publication Date Title
CN111094636B (zh) 用于在电镀期间控制横流和冲击电解液的输送的方法和装置
CN111032927B (zh) 用于在电镀期间流动隔离和聚焦的方法和装置
KR102423978B1 (ko) 전기도금 동안 교차 플로우 매니폴드의 동적 변조
KR102214898B1 (ko) 전기도금 동안 효율적인 대량 전달을 위한 전해질 유체역학의 향상
KR102563118B1 (ko) 혼합된 피처 전기도금을 위한 대류 최적화
CN112236550B (zh) 从电镀槽去除气泡
TWI835818B (zh) 分離式陽極腔室的同步壓力調節方法及設備
KR102142159B1 (ko) 전기도금 장치용 교차류 매니폴드
KR20210081441A (ko) 고대류 (high convection) 도금 셀들에서 거품을 방지하기 위한 교차 플로우 (cross flow) 도관
US20240076795A1 (en) Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating
US20240141541A1 (en) Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant