KR20200035318A - 전기도금 동안 플로우 분리 및 집중을 위한 방법들 및 장치 - Google Patents

전기도금 동안 플로우 분리 및 집중을 위한 방법들 및 장치 Download PDF

Info

Publication number
KR20200035318A
KR20200035318A KR1020207008225A KR20207008225A KR20200035318A KR 20200035318 A KR20200035318 A KR 20200035318A KR 1020207008225 A KR1020207008225 A KR 1020207008225A KR 20207008225 A KR20207008225 A KR 20207008225A KR 20200035318 A KR20200035318 A KR 20200035318A
Authority
KR
South Korea
Prior art keywords
ion
resistant element
membrane
substrate
electroplating
Prior art date
Application number
KR1020207008225A
Other languages
English (en)
Other versions
KR102652962B1 (ko
Inventor
스티븐 제이. 2세 바닉
브라이언 엘. 버칼루
아론 베르케
제임스 아이삭 포트너
저스틴 오버스트
스티븐 티. 메이어
로버트 래쉬
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020247010157A priority Critical patent/KR20240046284A/ko
Publication of KR20200035318A publication Critical patent/KR20200035318A/ko
Application granted granted Critical
Publication of KR102652962B1 publication Critical patent/KR102652962B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/004Sealing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/005Contacting devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/10Agitating of electrolytes; Moving of racks
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/028Electroplating of selected surface areas one side electroplating, e.g. substrate conveyed in a bath with inhibited background plating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

본 명세서에 기술된 다양한 실시예들은 반도체 기판 상으로 재료를 전기도금하기 위한 방법들 및 장치에 관한 것이다. 일부 경우들에서, 하나 이상의 멤브레인이 전기도금 동안 전해질이 교차 플로우 매니폴드로부터, 이온 저항성 엘리먼트를 통해, 그리고 이온 저항성 엘리먼트 매니폴드 내로 역방향으로 통과하는 정도를 최소화하도록 이온 저항성 엘리먼트와 콘택트하여 제공될 수도 있다. 멤브레인은 일부 실시예들에서 목표된 방식으로 전해질을 라우팅하도록 설계될 수도 있다. 이들 또는 다른 경우들에서, 하나 이상의 배플들은 이온 저항성 엘리먼트를 통해 이온 저항성 엘리먼트 매니폴드 내 전기도금 셀을 가로질러 역방향으로 흘림으로써 교차 플로우 매니폴드를 바이패스할 수 있는 정도를 감소시키도록 이온 저항성 엘리먼트 매니폴드에 제공될 수도 있다. 이들 기법들은 전기도금 결과들의 균일도를 개선하기 위해 사용될 수 있다.

Description

전기도금 동안 플로우 분리 및 집중을 위한 방법들 및 장치
관련 출원들에 대한 교차 참조
본 출원은 2017년 8월 21일 출원되고, 명칭이 "METHODS AND APPARTUS FOR FLOW ISOLATION AND FOCUSING DURING ELECTROPLATING"인, 미국 특허 가출원 번호 제 62/548,116 호의 이익을 주장하고, 또한 2018년 8월 10일 출원되고, 명칭이 "METHODS AND APPARTUS FOR FLOW ISOLATION AND FOCUSING DURING ELECTROPLATING"인, 미국 특허 출원번호 제 16/101,291 호의 이익을 주장하고, 출원 각각은 전체가 모든 목적들을 위해 본 명세서에 참조로서 인용된다.
본 명세서의 실시예들은 기판들 상에 재료를 전기도금하기 위한 방법들 및 장치에 관한 것이다. 기판들은 통상적으로 반도체 기판들이고 재료는 통상적으로 금속이다.
개시된 실시예들은 전기도금 동안 전해질 유체 역학을 제어하기 위한 방법들 및 장치에 관한 것이다. 보다 구체적으로, 본 명세서에 기술된 방법들 및 장치는 예를 들어, 약 50 ㎛보다 작은 폭을 갖는 소형 마이크로범핑 (microbumping) 피처들 (예를 들어, 구리, 니켈, 주석 및 주석 합금 납들) 의 쓰루 레지스트 도금 (through resist plating), 및 구리 TSV (through silicon via) 피처들과 같이 반도체 웨이퍼 기판들 상에 금속들을 도금하는데 특히 유용하다.
전기화학적 증착은 이제 WLP (wafer level packaging) 및 TSV 전기적 접속 기술로 일반적으로 그리고 구어로 공지된 복잡한 패키징 기술 및 멀티칩 상호접속 기술에 대한 상업적 수요를 충족시킬 준비를 한다. 이들 기술들은 부분적으로 (FEOL (Front End of Line) 상호접속부들과 비교하여) 일반적으로 보다 큰 피처 사이즈들 및 고 종횡비들로 인해, 고유의 매우 중요한 과제들을 제시한다.
(예를 들어, TSV를 연결하는 칩, 상호접속 재분배 배선, 또는 칩-투-보드 (chip to board) 또는 칩-투-칩 (chip to chip) 본딩, 예컨대 플립-칩 필라들 (flip-chip pillars) 을 통해) 패키징 피처들의 타입 및 애플리케이션에 따라, 도금된 피처들은 보통 현재 기술에서, 약 2 ㎛보다 크고, 주요 치수에서 통상적으로 약 5 내지 100 ㎛이다 (예를 들어, 구리 필라들은 약 50 ㎛일 수도 있다). 전력 버스들과 같은 일부 온-칩 (on-chip) 구조체들에 대해, 도금될 피처는 100 ㎛보다 클 수도 있다. WLP 피처들의 종횡비들은 통상적으로 약 1:1 (높이 대 깊이) 이하이지만, 이들은 아마도 약 2:1 정도만큼 높은 범위일 수도 있지만, TSV 구조체들이 매우 고 종횡비들 (예를 들어, 약 20:1에 가까운) 을 가질 수 있다.
본 명세서의 특정한 실시예들은 기판을 전기도금하기 위한 방법들 및 장치에 관한 것이다. 기판은 실질적으로 평면형일 수도 있고, 반도체 기판일 수도 있다.
본 명세서의 실시예들의 일 양태에서, 전기도금 장치가 제공되고, 장치는: (a) 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 도금 챔버로서, 기판은 실질적으로 평면형인, 도금 챔버; (b) 도금 동안 기판의 도금 면이 전해질에 침지되고 애노드로부터 분리되도록 기판을 지지하도록 구성된 기판 홀더; (c) 전기도금 동안 이온 저항성 엘리먼트를 통한 이온 이송을 제공하도록 구성된 이온 저항성 엘리먼트로서, 이온 저항성 엘리먼트는 복수의 쓰루홀들을 포함하는 플레이트인, 이온 저항성 엘리먼트; (d) 이온 저항성 엘리먼트 위 그리고 기판의 도금 면 아래에 위치된 교차 플로우 매니폴드로서, 기판은 기판 홀더에 존재하는, 교차 플로우 매니폴드; 및 (e) 이온 저항성 엘리먼트와 물리적으로 콘택트하는 멤브레인으로서, 멤브레인은 전기도금 동안 멤브레인을 통한 이온 이송을 제공하도록 구성되고, 그리고 멤브레인은 전기도금 동안 이온 저항성 엘리먼트를 통한 전해질의 플로우를 감소시키도록 구성되는, 멤브레인을 포함한다.
다양한 실시예들에서, 멤브레인은 평면형이고 이온 저항성 엘리먼트에 평행한 평면 내에 위치된다. 일부 경우들에서, 멤브레인은 이온 저항성 엘리먼트의 복수의 쓰루홀들 모두를 커버한다. 일부 다른 경우들에서, 멤브레인은 멤브레인이 이온 저항성 엘리먼트의 복수의 쓰루홀들 중 일부만을 커버하도록 하나 이상의 컷아웃 영역들을 포함한다. 일 예에서, 멤브레인은 이온 저항성 엘리먼트의 중심 근방에 위치되는 제 1 컷아웃 영역을 포함한다. 이들 또는 다른 실시예들에서, 멤브레인은 교차 플로우 매니폴드에 대한 측면 유입구 근방에 위치된 제 2 컷아웃 영역을 포함할 수도 있다. 특정한 구현예들에서, 컷아웃 영역은 방위각적으로 불균일하다. 일 예에서, 컷아웃 영역은 측면 유입구와 이온 저항성 엘리먼트의 중심 사이에서 연장한다.
일부 실시예들에서, 멤브레인은 이온 저항성 엘리먼트 아래에 위치된다. 다른 실시예들에서, 멤브레인은 이온 저항성 엘리먼트 위에 위치된다. 특정한 실시예에서, 멤브레인은 이온 저항성 엘리먼트 아래에 위치되고 제 2 멤브레인은 이온 저항성 엘리먼트와 콘택트하여, 이온 저항성 엘리먼트 위에 위치된다.
특정한 구현예들에서, 장치는 이온 저항성 엘리먼트와 물리적으로 콘택트하여 멤브레인을 위치시키도록 구성된 멤브레인 프레임을 더 포함한다. 특정한 예에서, 멤브레인은 이온 저항성 엘리먼트 위에 위치되고, 멤브레인 프레임은 멤브레인 위에 위치되고, 그리고 멤브레인 프레임은 선형이고 서로 평행하고 그리고 교차 플로우 매니폴드 내의 교차 플로우 전해질의 방향에 수직인 방향으로 연장하는 제 1 세트의 리브들 (rib) 을 포함한다. 일부 이러한 경우들에서, 멤브레인 프레임은 제 1 세트의 리브들에 수직인 방향으로 연장하는 제 2 세트의 리브들을 더 포함한다. 멤브레인 프레임은 내부에 복수의 개구부들을 갖는 플레이트이다. 개구부들은 원형일 수도 있다. 개구부들은 또한 또 다른 형상 (예를 들어, 난형 (ovular), 다각형, 등) 일 수도 있다. 일부 예들에서, 멤브레인 프레임은 링 형상이다. 링 형상 멤브레인 프레임은 주변부 (또는 주변부의 일부) 에 멤브레인을 지지할 수도 있다.
개시된 실시예들의 또 다른 양태에서, 전기도금 장치가 제공되고, 장치는 (a) 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 도금 챔버로서, 기판은 실질적으로 평면형인, 도금 챔버; (b) 도금 동안 기판의 도금 면이 전해질에 침지되고 애노드로부터 분리되도록 기판을 지지하도록 구성된 기판 홀더; (c) 전기도금 동안 이온 저항성 엘리먼트를 통한 이온 이송을 제공하도록 구성된 이온 저항성 엘리먼트로서, 이온 저항성 엘리먼트는 복수의 쓰루홀들을 포함하는 플레이트인, 이온 저항성 엘리먼트; (d) 이온 저항성 엘리먼트 위 그리고 기판의 도금 면 아래에 위치된 교차 플로우 매니폴드로서, 기판은 기판 홀더에 존재하는, 교차 플로우 매니폴드; (e) 교차 플로우 매니폴드로 전해질을 도입하기 위한 측면 유입구; (f) 교차 플로우 매니폴드에서 흐르는 전해질을 수용하기 위한 측면 유출구로서, 측면 유입구 및 측면 유출구는 전기도금 동안 기판의 도금 면 상의 방위각적으로 마주보는 둘레 위치들에 근접하게 위치되고, 전기도금 동안 측면 유입구 및 측면 유출구는 교차 플로우 매니폴드에 교차 플로우 전해질을 생성하도록 구성되는, 측면 유출구; (g) 이온 저항성 엘리먼트 아래에 위치된 애노드 챔버 멤브레인 프레임; 및 (h) 이온 저항성 엘리먼트 아래 그리고 애노드 챔버 멤브레인 프레임 위에 위치된 이온 저항성 엘리먼트 매니폴드를 포함하고, 이온 저항성 엘리먼트 매니폴드는 이온 저항성 엘리먼트 아래에 위치된 수직으로 배향된 배플들에 의해 서로로부터 부분적으로 분리되는 복수의 배플 영역들을 포함하고, 배플 각각은 이온 저항성 엘리먼트에 근접한 제 1 영역으로부터 애노드 챔버 멤브레인 프레임에 근접한 제 2 영역으로 연장하고, 배플들은 애노드 챔버 멤브레인 프레임과 물리적으로 콘택트하지 않고, 그리고 전기도금 동안 전해질은 (i) 복수의 전해질 소스 영역들로부터, 이온 저항성 엘리먼트를 통해, 교차 플로우 매니폴드 내로, 그리고 측면 유출구 밖으로, (ii) 측면 유입구로부터, 교차 플로우 매니폴드를 통해, 그리고 측면 유출구 밖으로, 그리고 (iii) 일 배플 영역으로부터 또 다른 배플 영역으로 배플들 아래로 이동한다.
개시된 실시예들의 또 다른 양태에서, 전기도금 장치가 제공되고, 장치는: (a) 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 도금 챔버로서, 기판은 실질적으로 평면형인, 도금 챔버; (b) 도금 동안 기판의 도금 면이 전해질에 침지되고 애노드로부터 분리되도록 기판을 지지하도록 구성된 기판 홀더; (c) 전기도금 동안 이온 저항성 엘리먼트를 통한 이온 이송을 제공하도록 구성된 이온 저항성 엘리먼트로서, 이온 저항성 엘리먼트는 복수의 쓰루홀들을 포함하는 플레이트인, 이온 저항성 엘리먼트; (d) 이온 저항성 엘리먼트 위 그리고 기판의 도금 면 아래에 위치된 교차 플로우 매니폴드로서, 기판은 기판 홀더에 존재하는, 교차 플로우 매니폴드; (e) 이온 저항성 엘리먼트 아래에 위치된 애노드 챔버 멤브레인 프레임으로서, 애노드 챔버 멤브레인 프레임은 애노드 챔버 멤브레인과 매이팅하도록 구성되는, 애노드 챔버 멤브레인 프레임; 및 (f) 이온 저항성 엘리먼트 아래 그리고 존재하는 경우, 애노드 챔버 멤브레인 위에 위치된 이온 저항성 엘리먼트 매니폴드로서, 이온 저항성 엘리먼트 매니폴드는 수직으로 배향된 배플들에 의해 서로로부터 적어도 부분적으로 분리되는 복수의 배플 영역들로서, 배플 각각은 이온 저항성 엘리먼트에 근접한 제 1 영역으로부터 애노드 챔버 멤브레인에 근접한 제 2 영역으로 연장하는, 복수의 배플 영역들을 포함한다.
일부 실시예들에서, 배플들은 측면 유입구와 측면 유출구 사이의 방향에 수직인 방향으로 이온 저항성 엘리먼트 매니폴드를 가로질러 선형으로 연장하고, 측면 유입구 및 측면 유출구는 전기도금 동안 교차 플로우 매니폴드에서 교차 플로우전해질을 생성하도록 구성된다. 일부 경우들에서, 장치는 애노드 챔버 멤브레인 프레임과 콘택트하는 애노드 챔버 멤브레인을 더 포함하고, 애노드 챔버 멤브레인은 전기도금 동안 기판으로부터 애노드를 분리한다. 다양한 실시예들에서, 배플 각각의 상부 영역은 이온 저항성 엘리먼트에 근접하게 위치된 이온 저항성 엘리먼트 또는 프레임과 물리적으로 콘택트할 수도 있다. 이들 또는 다른 실시예들에서, 전기도금 동안, 배플들은 교차 플로우 매니폴드로부터, 이온 저항성 엘리먼트를 통해, 그리고 이온 저항성 엘리먼트 매니폴드 내로 이동하는 전해질의 양을 감소시키도록 동작할 수도 있다. 일부 경우들에서 애노드 챔버 멤브레인 프레임은 배플들을 포함할 수도 있다. 특정한 구현예들에서, 장치는 이온 저항성 엘리먼트와 애노드 챔버 멤브레인 프레임 사이에 위치된 후방 측면 삽입부 (back side insert) 를더 포함하고, 후방 측면 삽입부는 배플들에 평행하게 배향되고 배플들과 매이팅하도록 구성된 복수의 돌출부들을 포함한다. 일부 경우들에서, 배플들은 애노드 챔버 멤브레인 프레임으로 완전히 연장하지 않는다. 일부 예들에서, 이온 저항성 엘리먼트는 배플들을 포함한다. 이들 및 다른 경우들에서, 장치는 이온 저항성 엘리먼트와 애노드 챔버 멤브레인 프레임 사이에 위치된 후방 측면 삽입부를 더 포함할 수도 있고, 후방 측면 삽입부는 배플들을 포함할 수도 있다. 특정한 다른 경우들에서, 배플들은 이온 저항성 엘리먼트, 애노드 챔버 멤브레인 프레임, 또는 후방 측면 삽입부와 통합되지 않는 이동식 부품들이다. 일부 이러한 경우들에서, 배플들은 이온 저항성 엘리먼트, 애노드 챔버 멤브레인 프레임, 및 후방 측면 삽입부 중 적어도 하나의 리세스들 내로 피팅된다 (fit).
개시된 실시예들의 다른 양태에서, 전기도금 방법이 제공되고, 방법은 본 명세서에 기술된 임의의 전기도금 장치에서 기판을 전기도금하는 단계를 포함한다.
이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 기술될 것이다.
도 1a는 전기도금 동안 기판 표면 상에서 교차 플로우와 충돌 플로우의 조합을 활용하는 전기도금 장치를 예시한다.
도 1b는 도 1a에 도시된 전기도금 장치를 통한 전해질의 플로우를 도시한다.
도 1c는 일부 경우들에서 도 1a 및 도 1b에 도시된 장치를 사용하여 전기도금할 때 발생할 수 있는 플로우 바이패스 문제를 도시한다.
도 2a는 이온 저항성 엘리먼트 바로 아래에 멤브레인을 포함하는 전기도금 장치를 예시하고, 도 2b는 이온 저항성 엘리먼트 바로 위에 멤브레인을 포함하는 전기도금 장치를 예시하고, 그리고 도 2c는 이온 저항성 엘리먼트의 2 개의 부분들 사이에 샌드위치된 (sandwiched) 멤브레인을 포함하는 전기도금 장치를 예시한다.
도 3a는 이온 저항성 엘리먼트 바로 아래에 멤브레인 및 멤브레인 프레임을 포함하는 전기도금 장치를 도시하고, 그리고 도 3b는 이온 저항성 엘리먼트 바로 위에 멤브레인 및 멤브레인 프레임을 포함하는 전기도금 장치를 예시한다.
도 3c 내지 도 3h는 실시예들에 따른, 다양한 멤브레인 프레임들을 도시한다.
도 3i는 이온 저항성 엘리먼트 바로 위에 위치된 멤브레인 및 멤브레인 프레임을 갖는 전기도금 장치를 도시하고, 멤브레인 프레임은 상부 표면 상에 일련의 선형 리브들을 포함한다.
도 3j 및 도 3k는 상부 표면 상에 수직으로 배향된 2 세트의 선형 리브들을 갖는 멤브레인 프레임을 예시한다.
도 4a는 이온 저항성 엘리먼트 바로 아래에 위치된 멤브레인 및 멤브레인 프레임을 갖는 전기도금 장치를 도시하고, 멤브레인은 목표된 방식으로 전해질을 라우팅하도록 설계된 컷아웃들을 포함한다.
도 4b 내지 도 4j는 다양한 실시예들에 따른, 컷아웃들을 갖는 다수의 멤브레인들을 예시한다.
도 4k는 이온 저항성 엘리먼트 위의 멤브레인을 도시하고, 멤브레인은 유입구 컷아웃을 포함하고 이를 통해 전해질이 측면 유입구로 전달될 때 흐를 수 있다.
도 4l은 이온 저항성 엘리먼트에 형성된 유입구 매니폴드의 확대도 (close-up view) 를 도시한다.
도 5a는 이온 저항성 엘리먼트 매니폴드에 일련의 배플들을 포함하는 전기도금 장치를 예시한다.
도 5b는 특정한 구현예들에 따른 일련의 배플들을 포함하는 후방 측면 삽입부를 예시한다.
도 5c는 애노드 챔버를 구획하는 이온 저항성 엘리먼트 아래 그리고 멤브레인 프레임 위에 설치된 도 5b의 후방 측면 삽입부를 도시한다.
도 5d는 애노드 챔버를 구획하는 멤브레인 프레임을 도시하고, 멤브레인 프레임은 배플들의 에지들을 수용하기 위한 리세스들을 포함한다.
도 5e는 특정한 실시예들에 따른 독립형 부품들로서 구현된 다수의 배플들을 도시한다.
도 5f는 전해질을 배플 영역 각각으로 전달하는 세로로 홈이 파진 (fluted) 유입구를 부가적으로 갖는, 도 5a에 도시된 바와 유사한 전기도금 장치를 도시한다.
도 5g는 전해질이 애노드 챔버를 구획하는 멤브레인을 세척하기 위해 배플들 아래로 이동할 수 있도록 배플들이 멤브레인 프레임으로 완전히 연장하지 않는, 도 5a에 도시된 바와 유사한 전기도금 장치를 도시한다.
도 5h는 배플들이 이온 저항성 엘리먼트 매니폴드에 제공되는 일 실시예를 예시하고, 또한 멤브레인 프레임에 집중되는 플로우로 지칭되는, 배플들은 애노드 챔버 멤브레인 프레임의 일부로서 형성된다.
도 5i는 일 실시예에 따른 배플들을 포함하는 애노드 챔버 멤브레인 프레임의 도면을 도시한다.
도 5j 및 도 5k는 특정한 실시예들에 따른, 배플들의 에지들과 매이팅하도록 구성된 돌출부들을 갖는 후방 측면 삽입부들을 도시한다.
도 5l은 특정한 실시예들에 따른, 애노드 챔버 멤브레인 프레임과 매이팅된 후방 측면 삽입부를 도시한다.
도 6a 및 도 6b는 도 1a에 도시된 바와 같은 전기도금 장치에서 도금된 피처들을 도시한다.
도 7a 내지 도 7d는 본 명세서에 기술된 바와 같은 다양한 전기도금 장치에서 프로세싱된 기판들 상에 취해진 정전기 임프린팅 결과들을 도시한다.
도 8은 본 명세서에 기술된 바와 같이 다양한 전기도금 장치에서 프로세싱된 기판들에 대한 피처-내 (within-feature) 불균일도를 기술하는 실험적 데이터를 제공한다.
도 9는 다수의 상이한 전기도금 셀들 및 셀 내부의 모듈들을 갖는 전기도금 장치를 도시한다.
하나 이상의 금속들을 기판 상에 전기도금하기 위한 장치 및 방법들이 본 명세서에 기술된다. 기판이 반도체 웨이퍼인 실시예들이 일반적으로 기술되지만; 실시예들은 이렇게 제한되지 않는다.
도 1a 및 도 1b는 전기도금 장치의 간략화된 단면도들을 도시한다. 도 1b는 다양한 실시예들에서 전기도금 동안 전해질의 플로우를 도시하는 화살표들을 포함한다. 도 1a는 기판 홀더 (103) 에 위치된 기판 (102) 과 함께, 전기도금 셀 (101) 을 도시한다. 기판 홀더 (103) 는 종종 컵으로 지칭되고, 그 주변부에 기판 (102) 을 지지할 수도 있다. 애노드 (104) 가 전기도금 셀 (101) 의 하단부 근방에 위치된다. 애노드 (104) 는 멤브레인 프레임 (106) 에 의해 지지되는, 멤브레인 (105) 에 의해 기판 (102) 으로부터 분리된다. 멤브레인 프레임 (106) 은 때때로 애노드 챔버 멤브레인 프레임으로 지칭된다. 또한, 애노드 (104) 는 이온 저항성 엘리먼트 (107) 에 의해 기판 (102) 으로부터 분리된다. 이온 저항성 엘리먼트 (107) 는 전해질로 하여금 기판 (102) 상에 충돌하도록 이온 저항성 엘리먼트 (107) 를 통해 이동하게 하는, 개구부들을 포함한다. 전방 측면 삽입부 (108) 가 기판 (102) 의 주변부에 근접하여, 이온 저항성 엘리먼트 (107) 위에 위치된다. 전방 측면 삽입부 (108) 는 도시된 바와 같이, 링-형상일 수도 있고, 방위각적으로 불균일할 수도 있다. 전방 측면 삽입부 (108) 는 때때로 교차 플로우 한정 (confinement) 링으로 또한 지칭된다. 애노드 챔버 (112) 는 멤브레인 (105) 아래이고, 애노드 (104) 가 위치되는 곳이다. 이온 저항성 엘리먼트 매니폴드 (111) 가 멤브레인 (105) 위 그리고 이온 저항성 엘리먼트 (107) 아래에 있다. 교차 플로우 매니폴드 (110) 가 이온 저항성 엘리먼트 (107) 위 그리고 기판 (102) 아래에 있다. 교차 플로우 매니폴드의 높이는 기판 (102) 과 이온 저항성 엘리먼트 (107) 의 평면 (존재한다면, 이온 저항성 엘리먼트 (107) 의 상부 표면 상의 리브들을 제외하고) 사이의 거리로 간주된다. 일부 경우들에서, 교차 플로우 매니폴드는 약 1 ㎜ 내지 4 ㎜, 또는 약 0.5 ㎜ 내지 15 ㎜의 높이를 가질 수도 있다. 교차 플로우 매니폴드 (110) 는 교차 플로우 매니폴드 (110) 내에 교차 플로우 전해질을 담도록 작용하는, 전방 측면 삽입부 (108) 에 의해 측면들 상에 구획된다. 교차 플로우 매니폴드 (110) 로의 측면 유입구 (113) 는 교차 플로우 매니폴드 (110) 로의 측면 유출구 (114) 의 방위각적으로 반대편에 제공된다. 측면 유입구 (113) 및 측면 유출구 (114) 는 전방 측면 삽입부 (108) 에 의해, 적어도 부분적으로 형성될 수도 있다. 도 1b에 화살표들로 도시된 바와 같이, 전해질은 측면 유입구 (113) 를 통해, 교차 플로우 매니폴드 (110) 내로, 그리고 측면 유출구 (114) 밖으로 이동한다. 이에 더하여, 전해질은 하나 이상의 유입구들 (116) 을 통해 이온 저항성 엘리먼트 매니폴드 (111) 로, 이온 저항성 엘리먼트 매니폴드 (111) 내로, 이온 저항성 엘리먼트 (107) 의 개구부들을 통해, 교차 플로우 매니폴드 (110) 내로, 그리고 측면 유출구 (114) 밖으로 이동할 수도 있다. 유입구 (116) 가 이온 저항성 엘리먼트 매니폴드 (111) 및 측면 유입구 (113)/교차 플로우 매니폴드 (110) 모두를 피딩하는 (feed), 도관과 유체로 연통하는 것으로 도시되지만, 일부 경우들에서 이들 영역들로의 플로우들이 분리될 수도 있고 독립적으로 제어가능할 수도 있다는 것이 이해된다. 측면 유출구 (114) 를 통과한 후, 전해질은 둑 벽 (109) 위로 넘친다. 전해질은 회수되고 재순환될 수도 있다.
특정한 실시예들에서, 이온 저항성 엘리먼트 (107) 는 기판 (캐소드) 에 근접한 거의 일정하고 균일한 전류 소스와 가깝고, 이와 같이, 어떤 맥락에서는, HRVA (high resistance virtual anode) 또는 CIRP (channeled ionically resistive element) 로 지칭될 수도 있다. 보통, 이온 저항성 엘리먼트 (107) 는 웨이퍼에 대해 매우 근접하게 배치된다. 반대로, 기판에 동일하게 꽤 근접한 애노드는 웨이퍼로 거의 동일한 전류를 공급하기에 훨씬 덜 적합하지만, 애노드 금속 표면에 일정한 전위 평면을 거의 지지하지 않아서, 전류로 하여금 가장 크게 하고, 애노드 평면으로부터 종점으로 (예를 들어, 웨이퍼 상의 주변 콘택트 지점들로) 순 저항이 보다 작다. 따라서 이온 저항성 엘리먼트 (107) 가 HRVA로 참조되더라도, 이는 둘이 전기화학적으로 상호 교환가능하다는 것을 암시하지 않는다. 특정한 동작 조건들 하에서, 이온 저항성 엘리먼트 (107) 는 보다 꽤 근접하고 이온 저항성 엘리먼트 (107) 의 상부 표면에 걸쳐 거의 일정한 전류가 공급되는, 아마도 가상의 균일한 전류 소스로서 보다 잘 기술된다.
이온 저항성 엘리먼트 (107) 는 서로 공간적으로 이온적으로 격리되는 마이크로 사이즈 (통상적으로 0.04" 미만) 쓰루홀들을 포함한다. 일부 경우들에서, 쓰루홀들은 이온 저항성 엘리먼트의 바디 내에 상호접속 채널들을 형성하지 않는다. 이러한 쓰루홀들은 종종 비연통 또는 1 차원 쓰루홀들로 지칭된다. 이들은 통상적으로 1 차원에서 연장하고, 반드시 그러한 것은 아니지만, 종종 웨이퍼의 도금된 표면에 직교한다 (일부 실시예들에서, 비연통 홀들은 이온 저항성 엘리먼트 전방 표면에 일반적으로 평행한 웨이퍼에 대해 비스듬하다). 보통 비연통 쓰루홀들은 서로 평행하다. 보통 비연통 쓰루홀들은 사각형 어레이로 배치된다. 때에 따라, 레이아웃은 오프셋된 나선형 패턴이다. 이들 비연통 쓰루홀들은, 비연통 쓰루홀들이 이온 전류 플로우 및 (특정한 경우들에서) 내부에서 표면에 평행한 유체 플로우 모두를 재구성하고 웨이퍼 표면을 향한 전류 및 유체 플로우 모두의 경로를 곧게 하기 때문에, 채널들이 3 차원으로 연장하고 상호접속 포어 구조체들을 형성하는, 3-D 기공성 네트워크들로부터 구별된다. 그러나, 특정한 실시예들에서, 포어들의 상호연결된 네트워크를 갖는 이러한 기공성 플레이트는 이온 저항성 엘리먼트로 사용될 수도 있다. 본 명세서에 사용된 바와 같이, 용어 "쓰루홀들"은 달리 명시되지 않는 한, 비연통 쓰루홀들 및 포어들의 상호연결된 네트워크들 모두를 커버하도록 의도된다. 플레이트의 상단 표면으로부터 웨이퍼까지의 거리가 작을 때 (예를 들어, 웨이퍼 반경의 사이즈의 약 1/10의 갭, 예를 들어 약 5 ㎜ 미만), 전류 플로우 및 유체 플로우 모두의 발산 (divergence) 은, 이온 저항성 엘리먼트 채널들로 국부적으로 제한되고, 전달하고 (impart), 정렬된다.
일 예시적인 이온 저항성 엘리먼트 (107) 는 이온적으로 저항성 및 전기적으로 저항성인, 단단한, 비기공성 유전체 재료로 이루어진 디스크이다. 재료는 또한 사용하는 도금 용액에서 화학적으로 안정하다. 특정한 경우들에서 이온 저항성 엘리먼트 (107) 는 약 6,000 내지 12,000 개의 비연통 쓰루홀들을 갖는 세라믹 재료 (예를 들어, 알루미늄 옥사이드, 산화 주석 (stannic oxide), 티타늄 옥사이드, 또는 금속 옥사이드들의 혼합물들) 또는 플라스틱 재료 (예를 들어, 폴리에틸렌, 폴리프로필렌, PVDF (polyvinylidene difluoride), 폴리테트라플루오로에틸렌, 폴리술폰, PVC (polyvinyl chloride), 폴리카보네이트, 등) 로 이루어진다. 많은 실시예들에서, 이온 저항성 엘리먼트 (107) 는 웨이퍼와 실질적으로 동일한 넓이를 갖고 (예를 들어, 이온 저항성 엘리먼트 (107) 는 300 ㎜ 웨이퍼와 함께 사용될 때 약 300 ㎜의 직경을 가짐) 그리고 웨이퍼에 꽤 근접하게, 예를 들어, 웨이퍼-하향-대면 전기도금 장치에서 웨이퍼 바로 아래에 놓인다. 바람직하게, 웨이퍼의 도금된 표면은 가장 가까운 이온 저항성 엘리먼트 표면의 약 10 ㎜ 이내, 보다 바람직하게 약 5 ㎜ 이내이다. 이에 따라, 이온 저항성 엘리먼트 (107) 의 상단 표면은 편평하거나 실질적으로 편평할 수도 있다. 종종, 이온 저항성 엘리먼트 (107) 의 상단 표면 및 하단 표면 모두 편평하거나 실질적으로 편평하다. 그러나, 다수의 실시예들에서, 이온 저항성 엘리먼트 (107) 의 상단 표면은 이하에 더 기술된 바와 같이, 일련의 선형 리브들을 포함한다.
상기와 같이, 플레이트 (107) 의 전체 이온 저항 및 플로우 저항은 플레이트의 두께 그리고 전체 기공성 (플레이트를 통한 플로우에 이용가능한 영역의 단편 (fraction)) 및 홀들의 사이즈/직경 모두에 종속된다. 보다 낮은 기공성들의 플레이트들은 보다 높은 충돌 플로우 속도들 및 이온 저항들을 가질 것이다. 보다 작은 직경의 1-D 홀들 (그리고 따라서 보다 많은 수의 1-D 홀들) 을 갖는 동일한 기공성의 플레이트들을 비교하면, 작은 갭에 걸쳐 확산할 수 있는 핵심 소스들로서 더 작용하는, 보다 개별적인 전류 소스들이 있기 때문에, 웨이퍼 상에 전류의 보다 미세-균일 분포를 가질 것이고, 또한 보다 높은 총 압력 강하 (고 점도 플로우 저항) 를 가질 것이다. 이온 저항성 엘리먼트 (107) 를 통한 전해질의 플로우는 또한 이하에 더 논의된 바와 같이, 이온 저항성 엘리먼트 (107) 에 평행하고 물리적으로 콘택트하여 제공된 멤브레인의 존재에 의해 영향을 받을 수 있다.
일부 경우들에서, 이온 저항성 엘리먼트 (107) 의 약 1 내지 10 %가 이를 통해 이온 전류가 통과할 수 있는 (그리고 이를 통해, 개구부들을 차단하는 다른 엘리먼트가 없다면, 전해질이 통과할 수 있는) 개방 영역이다. 특정한 실시예들에서, 약 2 내지 5 %의 이온 저항성 엘리먼트 (107) 는 개방 영역이다. 특정한 예에서, 이온 저항성 엘리먼트 (107) 의 개방 영역은 약 3.2 %이고 유효 총 개방 단면적은 약 23 ㎠이다. 일부 실시예들에서, 이온 저항성 엘리먼트 (107) 에 형성된 비연통 홀들은 약 0.01 내지 0.08 인치의 직경을 갖는다. 일부 경우들에서, 홀들은 약 0.02 내지 0.03 인치, 또는 약 0.03 내지 0.06 인치의 직경을 갖는다. 다양한 실시예들에서 홀들은 이온 저항성 엘리먼트 (107) 와 웨이퍼 사이의 갭 거리의 최대 약 0.2 배인 직경을 갖는다. 홀들은 그럴 필요는 없지만, 일반적으로 단면이 원형이다. 또한, 구성을 용이하게 하도록, 이온 저항성 엘리먼트 (107) 의 모든 홀들은 동일한 직경을 가질 수도 있다. 그러나, 이는 사실일 필요는 없고, 홀들의 개별 사이즈 및 국부적 밀도 모두 특정한 요건들이 지시될 수도 있기 때문에 이온 저항성 엘리먼트 표면에 걸쳐 가변할 수도 있다.
도 1a 및 도 1b에 도시된 이온 저항성 엘리먼트 (107) 는 페이지 내외로 연장하는 일련의 선형 리브들 (115) 을 포함한다. 리브들 (115) 은 때때로 돌기들로 지칭된다. 리브들 (115) 은 이온 저항성 엘리먼트 (107) 의 상단 표면 상에 위치되고, 이들의 길이 (예를 들어, 이들의 가장 긴 치수) 가 교차 플로우 전해질의 방향에 수직이도록 배향된다. 리브들 (115) 은 교차 플로우 매니폴드 (110) 내 유체 플로우 및 전류 분포에 영향을 준다. 예를 들어, 전해질의 교차 플로우는 리브들 (115) 의 상단 표면 위의 영역으로 대체로 한정되어, 고 레이트의 전해질 교차 플로우를 생성한다. 인접한 리브들 (115) 사이의 영역들에서, 이온 저항성 엘리먼트 (107) 를 통해 상향으로 전달된 전류가 재분배되어, 기판 표면으로 전달되기 전에 보다 균일해진다.
도 1a 및 도 1b에서, 교차 플로우 전해질의 방향은 좌측에서 우측으로 (예를 들어, 측면 유입구로부터 (113) 측면 유출구 (114) 로) 이고, 리브들 (115) 은 길이들이 페이지 내외로 연장하도록 배향된다. 특정한 실시예들에서, 리브들 (115) 은 (도 1a에서 좌측에서 우측으로 측정된) 약 0.5 ㎜ 내지 1.5 ㎜, 일부 경우들에서 약 0.25 ㎜ 내지 10 ㎜의 폭을 가질 수도 있다. 리브들 (115) 은 (도 1a에서 위에서 아래로 측정된) 약 1.5 ㎜ 내지 3.0 ㎜, 일부 경우들에서 약 0.25 ㎜ 내지 7.0 ㎜의 높이를 가질 수도 있다. 리브들 (115) 은 약 5/1 내지 2/1, 일부 경우들에서 약 7/1 내지 1/7의 높이 대 폭 종횡비 (높이/폭) 을 가질 수도 있다. 리브들 (115) 은 약 10 ㎜ 내지 30 ㎜, 일부 경우들에서 약 5 ㎜ 내지 150 ㎜의 피치를 가질 수도 있다. 리브들 (115) 은 이온 저항성 엘리먼트 (107) 의 면을 가로질러 연장하는, (도 1a에서 페이지 내외로 측정된) 가변 길이들을 가질 수도 있다. 리브들 (115) 의 상부 표면과 기판 (102) 의 표면 사이의 거리는 약 1 ㎜ 내지 4 ㎜, 또는 약 0.5 ㎜ 내지 15 ㎜일 수도 있다. 리브들 (115) 은 도 1a 및 도 1b에 도시된 바와 같이, 기판과 거의 동일 면적을 갖는, 영역 위에 제공될 수도 있다. 이온 저항성 엘리먼트 (107) 의 채널들/개구부들은 인접한 리브들 (115) 사이에 위치될 수도 있고, 또는 리브들 (115) 을 통해 연장할 수도 있다 (달리 말하면, 리브들 (115) 은 채널링될 수도 있고 또는 채널링되지 않을 수도 있다). 일부 다른 실시예들에서, 이온 저항성 엘리먼트 (107) 는 편평한 (예를 들어, 리브들 (115) 을 포함하지 않는) 상부 표면을 가질 수도 있다. 상부에 리브들을 갖는 이온 저항성 엘리먼트를 포함하는, 도 1a 및 도 1b에 도시된 전기도금 장치는 전체가 참조로서 본 명세서에 인용된, 명칭이 "ENHANCEMENT OF ELECTROLYTE HYDRODYNAMICS FOR EFFICIENT MASS TRANSFER DURING ELECTROPLATING"인, 미국 특허 제 9,523,155 호에 더 논의된다.
장치는 특정한 애플리케이션을 위해 필요한 다양한 부가적인 엘리먼트들을 포함할 수도 있다. 일부 경우들에서, 에지 플로우 엘리먼트는 교차 플로우 매니폴드 내에서 기판의 주변부에 근접하게 제공될 수도 있다. 에지 플로우 엘리먼트는 기판의 에지들 근방에서 높은 정도의 전해질 플로우 (예를 들어, 교차 플로우) 를 촉진하도록 성형되고 위치될 수도 있다. 에지 플로우 엘리먼트는 특정한 실시예들에서, 링 형상이거나 호 형상일 수도 있고, 방위각적으로 균일하거나 균일하지 않을 수도 있다. 에지 플로우 엘리먼트들은 전체가 본 명세서에 참조로서 인용된, 2015년 10월 27일 출원되고, 명칭이 "EDGE FLOW ELEMENT FOR ELECTROPLATING APPARATUS"인, 미국 특허 출원번호 제 14/924,124 호에 더 논의된다.
일부 경우들에서, 장치는 교차 플로우 매니폴드를 일시적으로 시일링하기 위한 시일링 부재를 포함할 수도 있다. 시일링 부재는 링 형상 또는 호 형상일 수도 있고, 교차 플로우 매니폴드의 에지들에 근접하게 위치될 수도 있다. 링 형상 시일링 부재가 전체 교차 플로우 매니폴드를 시일링할 수도 있지만, 호 형상 시일링 부재가 (일부 경우들에서 측면 유출구 개구를 남기고) 교차 플로우 매니폴드의 일부를 시일링할 수도 있다. 전기도금 동안, 시일링 부재는 교차 플로우 매니폴드를 시일링하고 언시일링하도록 (unseal) 반복적으로 인게이지되고 디스인게이지될 (disengage) 수도 있다. 시일링 부재는 기판 홀더, 이온 저항성 엘리먼트, 전방 측면 삽입부, 또는 시일링 부재와 인게이지하는 장치의 다른 부분을 이동시킴으로써 인게이지되고 디스인게이지될 수도 있다. 시일링 부재들 및 교차 플로우를 조절하는 방법들은 각각 전체가 참조로서 본 명세서에 인용된, 다음의 미국 특허 출원들: 2016년 8월 1일 출원되고, 명칭이 "DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURING ELECTROPLATING"인 미국 특허 출원번호 제 15/225,716 호; 및 2016년 5월 20일 출원되고, 명칭이 "DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURING ELECTROPLATING"인 미국 특허 출원번호 제 15/161,081 호에 더 논의된다.
다양한 실시예들에서, 하나 이상의 전해질 분출 (jet) 이 이온 저항성 엘리먼트 위로 부가적인 전해질을 제공하도록 제공될 수도 있다. 전해질 분출은 기판 주변부에 근접하게, 또는 기판의 중심에 보다 가까운 위치에, 또는 모두에 전해질을 전달할 수도 있다. 전해질 분출은 임의의 위치에서 배향될 수도 있고, 교차 플로우 전해질, 충돌 전해질, 또는 이들의 조합을 전달할 수도 있다. 전해질 분출들은 전체가 참조로서 본 명세서에 인용된, 2017년 3월 9일 출원되고, 명칭이 "ELECTROPLATING APPARATUS AND METHODS UTILIZING INDEPENDENT CONTROL OF IMPINGING ELECTROLYTE"인, 미국 특허 출원번호 제 15/455,011 호에 더 논의된다.
도 1c는 도 1a 및 도 1b에 도시된 장치를 사용하여 전기도금할 때 발생할 수 있는 문제를 예시한다. 특정한 구현예들에서, (측면 유입구 (113) 를 통한 상당한 양의 전해질 플로우로 인해 보다 고압력인) 교차 플로우 매니폴드 (110) 와 (보다 저압력인) 이온 저항성 엘리먼트 매니폴드 (111) 사이에 압력 차가 있다. 일부 경우들에서, 압력 차는 적어도 약 3000 Pa, 또는 적어도 약 1200 Pa일 수도 있다. 이들 영역들은 이온 저항성 엘리먼트 (107) 에 의해 분리된다. 압력 차 때문에, 측면 유입구 (113) 를 통해 전달되는 일부 전해질은 이온 저항성 엘리먼트 (107) 의 개구부들을 통해, 이온 저항성 엘리먼트 매니폴드 (111) 내로 하향으로/역방향으로 이동한다. 이온 저항성 엘리먼트 (107) 가 측면 유출구 (114) 근방에 있을 때 이를 통해 전해질은 거꾸로 이동한다. 달리 말하면, 교차 플로우 매니폴드에서 기판 위를 시어링하도록 (shear) 의도되는 전해질은 대신 이온 저항성 엘리먼트 매니폴드를 통해 흐르게 함으로써 교차 플로우 매니폴드를 바이패스한다. 이 원치 않은 전해질 플로우는 도 1c에 점선 화살표 선들로 도시된다. 이온 저항성 엘리먼트 (107) 를 통한 하향 전해질 플로우는 측면 유입구 (113) 를 통해 전달된 전해질이 교차 플로우 매니폴드 (110) 에서 기판 (102) 의 도금 면 위를 시어링하도록 의도되기 때문에 바람직하지 않다. 이온 저항성 엘리먼트 (107) 를 통해 아래로 이동하는 임의의 전해질은 목표된 대로, 기판 (102) 의 도금 면 위를 더 이상 시어링하지 않는다. 결과는 기판의 도금 면에서 전반적으로 목표된 것보다 낮은 대류, 뿐만 아니라 기판의 상이한 부분들에 걸쳐 불균일한 대류이다. 이들 이슈들은 일부 경우들에서 상당한 도금 불균일도들을 유발할 수 있다.
본 명세서의 다양한 실시예들은 도 1c와 관련하여 기술된 바와 같이 교차 플로우 매니폴드로 전달된 전해질이 교차 플로우 매니폴드를 바이패스할 수 있는 정도를 감소시키고 그리고/또는 제어하기 위한 방법들 및 장치에 관한 것이다. 일부 구현예들에서, 멤브레인이 이온 저항성 엘리먼트에 근접하게 제공된다. 멤브레인은 전해질이 이온 저항성 엘리먼트를 통해 흐를 수 있는 정도를 감소시킨다. 일부 경우들에서, 멤브레인은 균일할 수도 있고, 또는 이온 저항성 엘리먼트의 모든 또는 실질적으로 모든 개구부들을 커버할 수도 있다. 일부 다른 경우들에서, 멤브레인은 목표된 방식으로 전해질을 라우팅하도록 설계된 하나 이상의 컷아웃들을 포함할 수도 있다. 일부 다른 구현예들에서, 배플들이 이온 저항성 엘리먼트 매니폴드에서 전기도금 셀을 가로질러 (예를 들어, 교차 플로우 전해질의 방향으로) 이동할 수 있는 정도를 감소시키도록 동작하는, 이온 저항성 엘리먼트 매니폴드에 하나 이상의 배플들이 제공될 수도 있다. 이들 실시예들 각각은 차례로 논의될 것이다.
멤브레인 근접 이온 저항성 엘리먼트
많은 경우들에서, 하나 이상의 멤브레인은 이온 저항성 엘리먼트에 근접하게 제공될 수도 있다. 멤브레인은 이온 저항성 엘리먼트에 평행한 평면에, 이 이온 저항성 엘리먼트와 물리적으로 콘택트하여, 제공될 수도 있다. 멤브레인은 전해질이 교차 플로우 매니폴드로부터, 이온 저항성 엘리먼트를 통해, 그리고 이온 저항성 엘리먼트 매니폴드 내로 아래로 역방향으로 흐를 수 있는 정도를 감소시키도록 제공될 수도 있다. 멤브레인은 유사하게 전해질이 반대 방향으로, 이온 저항성 엘리먼트 매니폴드로부터, 이온 저항성 엘리먼트를 통해, 교차 플로우 매니폴드 내로 위로 흐를 수 있는 정도를 감소시킬 수도 있다. 이러한 멤브레인은 기판으로부터 애노드를 분리하는 멤브레인 (예를 들어, 도 1a 내지 도 1c의 멤브레인 (105)) 에 더하여 제공될 수도 있고, 상이한 목적들을 위해 제공될 수도 있다. 예를 들어, 도 1a를 참조하면, 멤브레인 (105) 의 기능은 (a) 애노드 (104)/애노드 챔버 (112) 와 (b) 기판 (102)/이온 저항성 엘리먼트 매니폴드 (111) 사이를 분리하고, 양이온 교환을 제공하는 것이다. 반대로, 이온 저항성 엘리먼트 (107) 에 근접하게 제공된 멤브레인은 본 명세서에 기술된 바와 같이 주로 전해질이 회로 단락을 방지하도록 제공된다.
이러한 멤브레인은 (예를 들어, 이온 저항성 엘리먼트의 홀들을 통한 분출 후) 전해질이 기판의 표면 상에 충돌하는 정도를 감소시킬 수도 있지만, 이 효과는 교차 플로우 매니폴드 내 (특히 기판의 중심 근방) 에서 보다 높은 교차 플로우, 도금 결과들의 개선된 불균일도, 및 일부 경우들에서, 기판 표면의 특정한 부분들로 전해질의 고의적인 라우팅과 관련된 이점들에 의해 보다 클 수도 있다.
멤브레인의 위치
멤브레인은 이온 저항성 엘리먼트 위, 이온 저항성 엘리먼트 아래, 또는 이온 저항성 엘리먼트 내 중 어느 하나에 위치될 수도 있다. 도 2a는 멤브레인 (120) 이 이온 저항성 엘리먼트 (107) 아래에 제공되는 예를 도시하고, 도 2b는 멤브레인 (120) 이 이온 저항성 엘리먼트 (107) 위에 제공되는 예를 도시하고, 그리고 도 2c는 멤브레인 (120) 이 이온 저항성 엘리먼트 (107a/107b) 내에 제공되는 예를 도시한다. 도 2a의 실시예에서, 이온 저항성 엘리먼트 (107) 는 상부 표면 상에 일련의 선형 리브들 (115) 을 포함하고, 그리고 멤브레인 (120) 은 이온 저항성 엘리먼트 (107) 의 하단 표면과 콘택트하여 위치된다. 도 2b의 실시예에서, 선형 리브들 (115) 은 생략되고 이온 저항성 엘리먼트 (107) 는 멤브레인 (120) 과 매이팅하는 편평한 상부 표면을 포함한다. 도 2c의 실시예에서, 이온 저항성 엘리먼트는 멤브레인 (120) 을 샌드위치하는 상부 부분 (107a) 및 하부 부분 (107b) 으로부터 형성된다. 상부 부분 (107a) 은 일련의 선형 리브들 (115) 을 포함하지만, 이들은 특정한 경우들에서 생략될 수도 있다.
도 2a 내지 도 2c 각각에서, 멤브레인 (120) 은 (예를 들어, 임의의 리브들 (115) 은 제외하고) 이온 저항성 엘리먼트 (107) 에 또한 평행한, 기판 (102) 에 평행하게 위치된다. 멤브레인 (120) 은 이온 저항성 엘리먼트 (107) 의 적어도 하나의 표면과 콘택트한다. 이 콘택트때문에, 멤브레인 (120) 은 이온 저항성 엘리먼트 (107) 의 개구부들을 차단하여, 전해질이 이온 저항성 엘리먼트 (107) 를 통해 이동하는 것을 보다 어렵게 한다. 그 결과, 측면 유입구 (113) 로부터 교차 플로우 매니폴드 (110) 로 전달되는 보다 큰 비율의 전해질이 교차 플로우 매니폴드 (110) 를 바이패스하는 대신, 이온 저항성 엘리먼트 (107) 를 통해 그리고 이온 저항성 엘리먼트 매니폴드 (111) 내로 아래로 흐르게 함으로써, 교차 플로우 매니폴드 (110) 내에서 유지될 것이다. 달리 말하면, 멤브레인 (120) 은 교차 플로우 매니폴드 (110) 와 이온 저항성 엘리먼트 매니폴드 (111) 사이의 압력 차에도 불구하고, 교차 플로우 매니폴드 (110) 내에서 높은 정도의 교차 플로우를 유지하도록 작동한다.
멤브레인의 재료 및 두께
멤브레인은 다양한 재료들로 이루어질 수도 있다. 일반적으로, 멤브레인 (105) 에 사용된 임의의 재료가 또한 멤브레인 (120) 에 대해 사용될 수도 있다. 멤브레인 (105) 은 다음의 미국 특허들에 더 기술되고, 각각은 전체가 본 명세서에 참조로서 인용된다: 명칭이 "MEMBRANE DESIGN FOR REDUCING DEFECTS IN ELECTROPLATING SYSTEMS" 미국 특허 제 9,677,190 호; 명칭이 "COPPER ELECTROPLATING METHOD AND APPARATUS"인 미국 특허 제 6,527,920 호; 명칭이 "ANODE AND ANODE CHAMBER FOR COPPER ELECTROPLATING"인 미국 특허 제 6,821,407 호; 그리고 명칭이 "PLATING METHOD AND APPARATUS WITH MULTIPLE INTERNALLY IRRIGATED CHAMBERS"인 미국 특허 제 8,262,871 호.
멤브레인 재료는 전류로 하여금 멤브레인을 통해 용이하게 통과하게 하지만, 유체가 멤브레인을 통해 통과할 수 있는 정도를 감소시킨다. 다양한 경우들에서, 멤브레인 재료는 상대적으로 높은 플로우 저항 인자를 갖는다. 예로서, 멤브레인은 약 25 ℃에서 약 1 내지 2.5 GFD/PSI의 순수 (pure water) 플럭스를 나타낼 수도 있다.
멤브레인을 위한 예시적인 재료들은, 이로 제한되는 것은 아니지만, 마이크론-이하 (sub-micron) 필터 재료들, 나노기공성 필터 재료들, 이온 교환 재료들 (예를 들어, 양이온 교환 재료들), 등을 포함한다. 이들의 상업적인 예들은 Dupont Nafion N324, Ion Power Vanadion 20-L, 및 Koch Membranes HFK-328 (PE/PES) 을 포함한다. 이들 재료들은 기전력의 영향 하에 있을 때 이온들로 하여금 멤브레인을 통해 마이그레이팅하게 (migrate) 하는 동안, 상당한 플로우 저항을 제공한다.
멤브레인은 기계적으로 안정하고 상대적으로 높은 플로우 저항을 제공하기에 충분히 두꺼워야 한다. 멤브레인은 이온 전류로 하여금 용이하게 통과하게 하도록 충분히 얇아야 한다. 일부 실시예들에서, 멤브레인은 (도 2a 내지 도 2c에서 위에서 아래로 측정될 때) 약 0.1 ㎜ 내지 0.5 ㎜의 두께를 가질 수도 있다.
멤브레인 프레임
다수의 실시예들에서, 멤브레인 프레임이 멤브레인을 이온 저항성 엘리먼트에 고정하도록 제공될 수도 있다. 멤브레인 프레임은 멤브레인 (105) 을 지지하는, 애노드 챔버 멤브레인 프레임 (106) 을 형성하도록 사용된 동일한 재료들 중 임의의 재료로 이루어질 수도 있다. 멤브레인 프레임을 제조하도록 사용된 재료는 전기도금 동안 사용된 화학물질에 내성이 있어야 한다. 예시적인 재료들은 이로 제한되는 것은 아니지만, 폴리에틸렌, 폴리에틸렌 테레프탈레이트, 폴리카보네이트, 폴리프로필렌, 폴리비닐 클로라이드, 폴리페닐렌 설파이드, 등을 포함한다. 일부 경우들에서 멤브레인 프레임은 3D 인쇄 기법들을 사용하여 제조될 수도 있다.
멤브레인 프레임은 실질적으로 전류로 하여금 멤브레인을 통해 통과하게 하는 동안, 이온 저항성 엘리먼트에 대고 멤브레인을 지지하도록 성형되어야 한다. 많은 상이한 설계들이 가능하고, 도 3c 내지 도 3h와 관련하여 이하에 더 논의된다.
도 3a는 멤브레인 (120) 아래의 멤브레인 프레임 (121) 이 부가된, (이온 저항성 엘리먼트 (107) 아래에 위치된 멤브레인 (120) 을 갖는) 도 2a에 도시된 것과 유사한 전기도금 장치를 예시한다. 도 3b는 멤브레인 (120) 위에 멤브레인 프레임 (121) 이 부가된, (이온 저항성 엘리먼트 (107) 위에 위치된 멤브레인 (120) 을 갖는) 도 2b 에 도시된 것과 유사한 전기도금 장치를 예시한다. 도 3a 및 도 3b는 재료의 고체 조각으로서 멤브레인 프레임을 도시하지만, 멤브레인이 개구부들을 포함하고, 이를 통해 이온 전류가 통과할 수 있다는 것이 이해된다.
도 3c 내지 도 3h는 다양한 실시예들에서 사용될 수도 있는 멤브레인 프레임들 (121) 의 평면도들을 도시한다. 도 3c에서 멤브레인 프레임 (121) 은 플레이트에 형성된 원형 개구부들 (150) 의 패턴을 포함한다. 충분한 전류가 개구부들을 통과할 수 있는 한, 임의의 수, 사이즈, 형상, 및 레이아웃의 개구부들 (150) 이 사용될 수 있다. 도 3d에서 멤브레인 프레임 (121) 은 서로 중첩하는 3 개의 선형 리브들 (115) 을 갖는 주변 링을 포함한다. 리브들 (115) 각각은 전류가 통과할 수 있는, 큰 대략 삼각형 개구부들 (150) 을 형성하는, 멤브레인 프레임 (121) 의 중심을 가로지른다. 임의의 수, 사이즈, 형상, 및 레이아웃의 리브들 (115)/개구부들 (150) 이 사용될 수 있다. 도 3e에서, 멤브레인 프레임 (121) 은 서로 평행하게 위치된 7 개의 선형 리브들 (115) 을 갖는 주변 링을 포함한다. 개구부들 (150) 은 인접한 리브들 (115) 사이에 형성된다. 임의의 수, 사이즈, 형상, 및 레이아웃/배향의 리브들 (115)/개구부들 (150) 이 사용될 수 있다. 도 3f에서, 멤브레인 프레임 (121) 은 플레이트에 형성된 사각형 개구부들 (150) 의 패턴을 포함한다. 이 실시예는 개구부들 (150) 의 형상을 제외하고, 도 3c에 도시된 것과 유사하다. 도 3g에서, 멤브레인 프레임 (121) 은 그 주변부에서 멤브레인을 지지하는 단순한 링이다. 임의의 사이즈의 링이 사용될 수도 있다. 도 3h에서 멤브레인 프레임 (121) 은 서로 평행하게 배향된 제 1 세트의 리브들 (115a) 및 서로 평행하게 배향된 제 2 세트의 리브들 (115b) 을 포함하고, 제 1 세트 및 제 2 세트의 리브들 (115a 및 115b) 은 서로 수직으로 배향된다. 다양한 실시예들에서, 멤브레인 프레임 (121) 은 약 10 내지 40 % 또는 약 5 내지 75 %의 개방 면적을 가질 수도 있다.
도 3c 내지 도 3h와 관련하여 도시되거나 기술된 멤브레인 프레임들 (121) 중 임의의 멤브레인 프레임이 본 명세서의 실시예들을 구현할 때 사용될 수도 있다. 일 예에서, 도 3a의 장치는 도 3c 내지 도 3h와 관련하여 도시되거나 기술된 멤브레인 프레임들 (121) 중 하나를 포함한다. 또 다른 예에서, 도 3b의 장치는 도 3c 내지 도 3h와 관련하여 도시되거나 기술된 멤브레인 프레임들 (121) 중 하나를 포함한다.
멤브레인 프레임이 이온 저항성 엘리먼트 위에 제공되는 경우들에서, 멤브레인 프레임은 교차 플로우 매니폴드 내에서 목표된 플로우 패턴을 촉진하도록 설계될 수도 있다. 예를 들어, 도 3a를 참조하면, 이온 저항성 엘리먼트 (107) 의 상부 표면은 교차 플로우 매니폴드 (110) 내에서 고 레이트의 교차 플로우를 촉진하는 선형 리브들 (115) 을 포함한다. 도 3b의 장치에서, 이들 리브들 (115) 은 멤브레인 (120) 이 이온 저항성 엘리먼트 (107) 에 대고 편평하게 놓이도록 생략된다. 선형 리브들 (115) 은 도 3i 내지 도 3k에 도시된 바와 같이, 멤브레인 프레임 (121) 의 일부로서 대신 제공될 수 있다. 도 3i는 전기도금 장치의 단면도를 도시하고, 도 3j는 멤브레인 프레임 (121) 위 (라벨링되지 않았지만, 멤브레인 (120) 위) 에 위치된 교차 플로우 한정 링 (108) 의 도면을 도시하고, 도 3k는 멤브레인 (120) 위의 멤브레인 프레임 (121) 의 확대도를 도시한다. 도 3i 내지 도 3k에 도시된 멤브레인 프레임 (121) 은 도 3h에 도시된 것과 유사하다. 이 예에서, 멤브레인 프레임 (121) 은 (i) 이들의 길이가 교차 플로우 매니폴드 내에서 교차 플로우 전해질의 방향에 수직이도록 배향된 제 1 세트의 선형 리브들 (115a), 및 (ii) 이들의 길이가 교차 플로우 매니폴드 내에서 교차 플로우 전해질의 방향에 평행하도록 배향된 제 2 세트의 선형 리브들 (115b) 을 포함하는 2 세트들의 선형 리브들을 포함한다. 다양한 실시예들에서 제 1 세트의 선형 리브들 (115a) 은 제 2 세트의 선형 리브들 (115b) 위, 아래 또는 같은 높이일 수도 있다. 일부 경우들에서, 도 3i 내지 도 3k에서 볼 수 있는 바와 같이, (교차 플로우 전해질에 수직으로 배향된) 제 1 세트의 리브들 (115a) 이 전체적으로 또는 부분적으로 (교차 플로우 전해질에 평행하게 배향된) 제 2 세트의 리브들 (115b) 위에 위치되는 것이 유리하다. 제 1 세트의 선형 리브들 (115a) 은 교차 플로우 매니폴드 (110) 내에서 목표된 패턴의 플로우를 촉진할 수도 있지만, 제 2 세트의 리브들 (115b) 은 제 1 세트의 리브들 (115a) 에 구조적 강도를 제공하도록 사용될 수도 있다. 제 1 세트 및 제 2 세트의 리브들 (115a 및 115b) 은 동일하거나 상이한 치수들을 가질 수도 있고 (예를 들어, 일 세트의 리브들이 보다 넓을 수도 있고, 보다 클 수도 있는, 등) 그리고 이들 사이에 동일하거나 상이한 간격을 가질 수도 있다 (예를 들어, 일 세트의 리브들이 보다 멀리 이격될 수도 있다).
멤브레인 컷아웃들
일부 실시예들에서, 멤브레인은 목표된 대로 교차 플로우 매니폴드 및 이온 저항성 엘리먼트 매니폴드를 통해 전해질을 라우팅하도록 설계된 하나 이상의 컷아웃들을 포함한다. 일부 경우들에서 이는 보다 균일한 전기도금 결과들을 제공하도록 이루어질 수도 있다. 예를 들어, 기판의 일 영역이 목표된 것보다 적은 도금을 경험하면, 전해질은 보다 높은 정도의 도금을 촉진하도록 이 영역으로 라우팅될 수도 있어서, 전체적으로 보다 균일한 도금 레이트를 발생시킨다. 목표된 것보다 낮은 국부적인 도금 레이트가 일부 경우들에서 국부적으로 두꺼운 포토레지스트의 결과일 수도 있다. 이들 및 다른 경우들에서, 국부적인 도금 레이트는 전기도금 동안 전해질의 플로우 패턴으로 인해 목표된 것보다 낮을 수도 있다. 예를 들어, 일부 경우들에서 기판의 중심 근방의 피처들은 기판의 에지 근방의 피처들과 비교하여 보다 적은 대류를 경험하여, 기판의 중심 근방에 커브형 (curved)/돔형 (domed) 피처들, 그리고 기판의 에지 근방에서 편평한/예리한 피처들을 발생시킨다. 불균일도 (예를 들어, 일반적으로 웨이퍼 내 불균일도로 참조됨) 는 바람직하지 않다. 원인과 상관 없이, 컷아웃들이 전해질을 목표된 방식으로 라우팅하는, 이온 저항성 엘리먼트에 근접한 멤브레인의 하나 이상의 컷아웃들을 포함함으로써 불균일도가 완화될 수 있다.
도 4a는 제 1 컷아웃 (125) 및 제 2 컷아웃 (126) 을 갖는 멤브레인 (120) 을 갖는 전기도금 장치를 도시한다. 제 1 컷아웃 및 제 2 컷아웃 (125 및 126) 은 일부 실시예들에서 도 4h 및 도 4i에 도시된 바와 같이 구현될 수도 있다. 제 1 컷아웃 (125) 은 측면 유입구에 근접하게 위치되고, 그리고 제 2 컷아웃 (126) 은 기판의 중심 근방에 위치된다. 전기도금 동안, 측면 유입구 (113) 를 통해 전달된 일부 전해질이 이온 저항성 엘리먼트 (107) 아래로, 멤브레인 (120) 의 제 1 컷아웃 (125) 을 통해, 멤브레인 프레임 (125) 을 통해, 그리고 이온 저항성 엘리먼트 매니폴드 (111) 내로 아래로 이동한다. 이어서 전해질은 멤브레인 프레임 (125) 을 통해, 멤브레인 (120) 의 제 2 컷아웃 (126) 을 통해, 이온 저항성 엘리먼트 (107) 를 통해, 그리고 다시 교차 플로우 매니폴드 (110) 내로 상향으로 통과한다. 그 결과는 그렇지 않으면 측면 유출구 (114) 근방 이온 저항성 엘리먼트 (107) 를 통해 (예를 들어, 멤브레인 (120) 이 생략된다면) 통과할 전해질이 대신 기판의 중심에 근접한 이온 저항성 엘리먼트 (107) 를 통해 다시 위로 라우팅되어 기판의 중심 근방의 기판의 도금 면으로 부가적인 대류를 제공하는 것이다. 이 기법은 기판의 중심이 전기도금 동안 기판의 에지들보다 상대적으로 보다 적은 대류를 경험하는 실시예들에서 특히 유리하다. 이 기법은 또한 국부적으로 두꺼운 포토레지스트를 방지하는데 유리하다. 예를 들어, 컷아웃들은, 전해질이 포토레지스트가 국부적으로 두꺼운 (예를 들어, 기판 상의 다른 위치들에서보다 두꺼운) 기판 상의 영역에 근접한 위치에서 멤브레인 (120)/이온 저항성 엘리먼트 (107) 를 통해 상향으로 라우팅되도록 설계될 수 있다. 증가된 국부적 대류는 도금 불균일도들을 방지하고, 그렇지 않으면 불균일한 포토레지스트 증착으로부터 도금 불균일도가 발생한다.
도 4b 내지 도 4j는 다양한 실시예들에서 사용될 수도 있는 멤브레인들의 평면도들을 예시하고, 멤브레인 각각은 하나 이상의 컷아웃을 포함한다. 컷아웃들은 목표된 바와 같이 교차 플로우 매니폴드로부터 이온 저항성 엘리먼트 매니폴드로, 그리고 반대로 전해질을 라우팅하도록 성형되고 위치된다. 멤브레인은 점선 배경으로 도시되고, 컷아웃들은 백색으로 도시된다. 도 4b 내지 도 4j에서, 측면 유입구에 근접한 멤브레인의 부분은 "i"로 라벨링되고 측면 유출구에 근접한 멤브레인의 부분은 "o"로 라벨링된다. 단일 컷아웃이 사용되는 경우들에서, (예를 들어, 측면 유입구 근방의) 컷아웃의 일 영역은 교차 플로우 매니폴드로부터 이온 저항성 엘리먼트 매니폴드로 하향으로 전해질을 라우팅하도록 사용될 수도 있지만, (예를 들어, 측면 유입구로부터 보다 먼) 컷아웃의 제 2 영역이 이온 저항성 엘리먼트 매니폴드로부터 교차 플로우 매니폴드로 상향으로 전해질을 라우팅하도록 사용될 수도 있다. 복수의 컷아웃들이 사용되는 경우들에서, (예를 들어, 측면 유입구 근방의) 하나 이상의 컷아웃은 교차 플로우 매니폴드로부터 이온 저항성 엘리먼트 매니폴드로 하향으로 전해질을 라우팅하도록 사용될 수도 있고, 그리고 하나 이상의 다른 컷아웃 (예를 들어, 측면 유입구로부터 보다 먼, 일부 경우들에서 멤브레인의 중심 근방 또는 측면 유출구 근방) 은 이온 저항성 엘리먼트 매니폴드로부터 교차 플로우 매니폴드로 상향으로 전해질을 라우팅하도록 사용될 수도 있다. 멤브레인을 통한 위 아래로의 플로우들은 전해질 플로우 및 압력 차로 인해 자연적으로 발생할 수도 있다.
도 4b에서, 멤브레인은 측면 유입구 근방 영역으로부터 기판/멤브레인의 중심 근방 또는 중심의 영역으로 연장하는 단일 컷아웃을 포함한다. 도 4c에서, 멤브레인은 측면 유입구에 근접/측면 유입구와 정렬된 반원형 컷아웃을 포함하고, 그리고 도 4d에서, 멤브레인은 측면 유출구에 근접/측면 유출구와 정렬된 반원형 컷아웃을 포함한다. 도 4e 및 도 4f에서, 멤브레인은 초승달 형상이고, 측면 유출구에 근접/측면 유출구와 정렬되거나 (도 4e), 측면 유입구에 근접/측면 유입구와 정렬된다 (도 4f). 도 4g에서, 멤브레인은 기판/멤브레인의 중심에 근접한 단일 원형 컷아웃을 포함한다. 도 4h 및 도 4i에서, 멤브레인은 측면 유입구에 근접한 제 1 컷아웃 및 기판/멤브레인의 중심에 근접한 제 2 컷아웃을 포함한다. 도 4j에서, 멤브레인은 측면 유입구 근방에 다수의 원형 컷아웃들, 그리고 기판/멤브레인의 중심 근방에 단일 원형 컷아웃을 포함한다. 다양한 멤브레인 컷아웃 설계들이 목표된 대로 기판 표면의 목표된 부분들로 전해질을 라우팅하도록 사용될 수도 있다.
(예를 들어, 도 4a 내지 도 4j와 관련하여 기술된 바와 같이) 교차 플로우 매니폴드와 이온 저항성 엘리먼트 매니폴드 사이에서 전해질을 라우팅하도록 제공된 컷아웃들에 더하여, 본 명세서에 기술된 임의의 멤브레인들, 멤브레인 프레임들, 및 이온 저항성 엘리먼트들은 이들 컴포넌트들은 전해질이 측면 유입구 내로/를 통해 통과하는 것을 차단하지 않는다는 것을 보장하도록, 측면 유입구와 정렬된 유입구 개구부를 포함할 수도 있다. 도 4k 및 도 4l은 유입구 컷아웃 (127) 을 갖는 멤브레인 (120) 의 상이한 도면들이다. 유입구 컷아웃 (127) 은 측면 유입구 (113) 와 정렬되도록 성형되고 위치된다. 이 실시예에서, 이온 저항성 엘리먼트 (107), 멤브레인 프레임 (121), 및 멤브레인 (120) 각각은 개구부/통로를 포함하고, 이를 통해 전해질이 측면 유입구 (113) 로 전달될 때 흐를 수 있다. 유사한 개구부들/통로들이 예를 들어, 수직 샤프트 (shaft)/개구부로서 다른 도면들에 도시되고, 전해질이 측면 유입구 (113) (예를 들어, 도 1b 참조) 를 향해 이동할 때 이를 통해 흐른다. 도 4l을 다시 참조하면, 측면 유입구 매니폴드 (128) 가 이온 저항성 엘리먼트 (107) 내 캐비티로서 주로 형성된다. 측면 유입구 매니폴드 (128) 의 상단 표면은 전해질이 흐르는 다수의 홀들을 갖는 샤워헤드 (129) 를 포함한다. 멤브레인 프레임 (121) 이 멤브레인 (120) 상단 및 샤워헤드 (129) 상단에 놓인다. 샤워헤드 (129) 는 멤브레인 (120) 에서 유입구 컷아웃 (127) 에 위치된다.
이하에 논의된 실험 결과들은 본 명세서에 기술된 바와 같은 멤브레인들이 전기도금 결과들을 개선, 예를 들어 보다 바람직한 전해질 플로우 및 보다 높은 품질, 보다 균일한 도금 결과들을 생성하는데 매우 유용하다는 것을 보여준다.
배플들
일부 실시예들에서, 하나 이상의 배플들은 상기 기술된 바와 같이 전해질이 교차 플로우 매니폴드를 바람직하지 않게 바이패스하는 정도를 감소시키기 위해 이온 저항성 엘리먼트 매니폴드에 제공될 수도 있다. 배플들은 이온 저항성 엘리먼트, 멤브레인 프레임 근접 이온 저항성 엘리먼트, 멤브레인 프레임 근접 애노드 챔버, 후방 측면 삽입부, 또는 하드웨어의 개별 부품의 일부로서 형성될 수도 있다. 배플들은 단일 유닛으로서 함께 제공될 수도 있고, 또는 개별적으로 제공될 수도 있다. 통상적으로, 배플들은 교차 플로우 매니폴드 내에서 교차 플로우 전해질의 방향에 수직으로 배향된다. 이온 저항성 엘리먼트 또는 멤브레인 프레임이 일련의 선형 리브들을 포함하는 경우들에서, 선형 리브들 및 배플들은 이들의 길이들이 서로 평행하도록 배향될 수도 있다. 배플들은 또한 벽들로 지칭될 수도 있다.
도 5a는 이온 저항성 엘리먼트 매니폴드 (111) 에 일련의 배플들 (130) 을 포함하는 전기도금 장치를 예시한다. 배플들 (130) 은 이온 저항성 엘리먼트 매니폴드 (111) 를 몇몇 배플 영역들 (139) 로 분할한다. 이 예에서, 배플들 (130) 은 이온 저항성 엘리먼트 (107) 에 의해 형성된다. 배플들 (130) 은 이온 저항성 엘리먼트 (107) 의 메인 바디로부터 수직으로 아래로 연장하고, 또한 페이지 내외로 연장한다. 도 5a에서, 배플들 (130) 은 이온 저항성 엘리먼트 (107) 의 상부 표면 상의 리브들 (150) 에 대응하여 성형되고 이격되지만, 이는 항상 그러한 것은 아니다. 배플들 (130) 은 애노드 챔버 멤브레인 프레임 (106) 과 매이팅할 수도 있다. 전기도금 동안, 배플들 (130) 은 이온 저항성 엘리먼트 매니폴드 (111) 내에서 전해질이 전기도금 셀을 가로질러 (예를 들어, 도 5a에서 좌측에서 우측으로) 흐르는 것을 방지한다. 결과는 (배플들이 존재하지 않았다면 발생할) 이온 저항성 엘리먼트 (107) 를 통해 이온 저항성 엘리먼트 매니폴드 (111) 내로 누설되는 것이 아니라, 측면 유입구 (113) 로 전달된 전해질의 보다 큰 비율이 교차 플로우 매니폴드 (110) 내에서 유지된다는 것이다.
일부 경우들에서, 단일 배플만이 사용된다. 배플은 측면 유입구 근방, 기판의 중심 근방, 또는 측면 유출구 근방에 위치될 수도 있다. 다른 경우들에서, 2, 3, 4, 5, 6 또는 보다 많은 배플들이 사용될 수도 있다. 배플들은 고르게 또는 고르지 않게 이격될 수도 있다. 일부 경우들에서, 인접한 배플들 간 거리는 약 10 ㎜ 내지 30 ㎜, 또는 약 5 ㎜ 내지 150 ㎜이다. 배플 각각의 (도 5a에서 좌측에서 우측으로 측정된) 폭은 약 0.5 ㎜ 내지 1.5 ㎜, 또는 약 0.25 ㎜ 내지 3 ㎜일 수도 있다. 배플들은 예를 들어, 배플 각각이 위치되는 위치에서 이온 저항성 엘리먼트 매니폴드의 형상과 매칭하도록, 상이한 치수들을 가질 수도 있다. 일부 경우들에서, 배플들은 완전히 이온 저항성 엘리먼트의 에지들 (또는 멤브레인 또는 멤브레인 프레임, 존재한다면 이온 저항성 엘리먼트 바로 아래) 로, 완전히 애노드 챔버를 구획하는 멤브레인 프레임의 에지들로, 그리고 전기도금 셀을 완전히 가로질러 연장한다. 이러한 배플들은 배플들 주변에 전해질이 비집고 들어갈 (squeeze) 공간이 없기 때문에, 플로우에 매우 큰 저항을 제공한다.
다른 경우들에서, 배플들은 보다 덜 광범위할 수도 있다. 예를 들어, 이들은 애노드 챔버를 구획하는 멤브레인 프레임으로 아래로 완전히 연장하지 않을 수도 있고, 그리고/또는 이들은 전기도금 챔버의 에지들 밖으로 완전히 연장하지 않을 수도 있다. 이들 경우들에서, 배플들은 전해질 플로우에 저항을 제공하지만, 이전의 예만큼 크지 않다. 일부 실시예들에서, 애노드 챔버 근방의 멤브레인 상에서 증가된 대류/세척을 제공하는 것이 바람직하다. 도 5g는 배플들 (130) 이 애노드 챔버 멤브레인 프레임 (106) 에 도달하지 않는 것을 제외하고, 도 5a에 도시된 장치와 유사한 전기도금 장치를 도시한다. 갭이 배플 (130) 각각의 에지와 애노드 챔버 멤브레인 프레임 (106) 사이에 제공될 때, 전해질은 커브된 화살표들로 도시된 바와 같이, 일 배플 영역 (139) 으로부터 또 다른 배플 영역으로 이동하기 위해 갭을 관통한다. 갭 각각이 멤브레인 (105) 근방에 위치되기 때문에, 갭 각각을 통해 이동하는 전해질은 전해질이 일 배플 영역 (139) 으로부터 또 다른 배플 영역으로 이동할 때 멤브레인 (105) 을 세척하도록 작용한다. 이 기법은 전기도금 결과들을 개선할 수도 있고, 그리고 멤브레인 (105) 각각의 유용한 수명을 연장할 수도 있다.
도 5b 및 도 5c는 일련의 배플들 (130) 을 포함하는 후방 측면 삽입부 (135) 를 예시한다. 도 5b는 아래로부터 본 후방 측면 삽입부 (135) 를 도시하고, 그리고 도 5c는 위로부터 본 후방 측면 삽입부 (135) 를 도시하고, 후방 측면 삽입부 (135) 는 이온 저항성 엘리먼트 (107) 아래 그리고 애노드 챔버 멤브레인 프레임 (106) 위에 설치된다. 용어 후방 측면 삽입부는 이온 저항성 엘리먼트의 후방 측면 (예를 들어, 하측/하부 측) 에 근접하게 설치된 하드웨어의 부품을 지칭한다. 후방 측면 삽입부는 애노드 챔버 멤브레인 프레임 (106) 과 이온 저항성 엘리먼트 (107) 사이에 클램핑될 수도 있다.
특정한 구현예들에서, 애노드 챔버를 구획하는 멤브레인을 지지하는 멤브레인 프레임은 배플들과 매이팅하도록 수정될 수도 있다. 도 5d는 내부에 형성된 일련의 리세스들 (137) 을 갖는 애노드 챔버 멤브레인 프레임 (106) 을 도시한다. 리세스들 (137) 은 배플 (130) 의 에지를 수용하도록 각각 성형되고 사이즈가 결정된다. 도 5e는 개별 독립형 부품들로 구현되는 예시적인 배플들 (130) 을 도시한다. 이들 배플들 (130) (또는 다른 것들) 이 애노드 챔버 멤브레인 프레임 (106) 의 리세스들 (137) 에 의해 지지될 수 있다. 유사한 리세스들 (137) 은 배플들 (130) 의 상부 에지를 지지하기 위해 이온 저항성 엘리먼트의 하부 표면 상, 또는 멤브레인 프레임의 하부 표면 상 (예를 들어, 도 3a 또는 도 4a에 도시된 바와 같이, 멤브레인 프레임 (121)) 에 제공될 수도 있다.
도 5f는 배플 영역 (139) 각각으로 전해질을 제공하는 유입구 (116) 에 연결된 세로로 홈이 파진 유입구 (140) 가 부가된, 도 5a에 도시된 것과 유사한 전기도금 장치를 도시한다. 세로로 홈이 파진 유입구 (140) 는 이온 저항성 엘리먼트 (107) 를 향해 상향으로, 멤브레인 (105) 을 향해 하향으로, 배플들 (130) 을 향해 비스듬히, 또는 이들의 일부 조합으로 전해질을 전달할 수도 있다. 일부 경우들에서, 세로로 홈이 파진 유입구 (140) 를 통해 전달된 전해질은 애노드 챔버 (112) 근방 멤브레인 (105) 을 세척하도록 작용한다. 세로로 홈이 파진 유입구 (140) 는 또한 이온 저항성 엘리먼트 매니폴드 (111) 의 다양한 배플 영역들 (139) 의 대류/순환을 증가시키도록 작용한다.
일부 실시예들에서 이온 저항성 엘리먼트 매니폴드의 배플들은 애노드 챔버 멤브레인 프레임의 일부로서 제공될 수도 있다. 이러한 경우들에서, 애노드 챔버 멤브레인 프레임은 플로우 집중 멤브레인 프레임으로 지칭될 수도 있다.
도 5h는 플로우 집중 멤브레인 프레임 (145) 이 배플들 (130) 을 포함하도록 구성되는 전기도금 장치 (101) 의 부분을 도시한다. 배플들 (130) 은 이온 저항성 엘리먼트 매니폴드 (111) 내에서, 이온 저항성 엘리먼트 (107) 와 플로우 집중 멤브레인 프레임 (145) 바로 아래에 위치되는 멤브레인 (105) 사이에서 수직으로 연장한다. 상기 기술된 바와 같이, 배플들 (130) 은 통상적으로 이들의 길이가 교차 플로우 매니폴드 내 교차 플로우 전해질의 방향에 수직이도록 배향된다. 간략성을 위해 도 5h에 특별히 라벨링되지 않았지만, 교차 플로우 매니폴드가 기판 (102) 아래 그리고 이온 저항성 엘리먼트 (107) 위에 위치된다는 것이 이해된다.
도 5h의 예에서, 인접한 배플들 (130) 은 지지 부재들을 사용하여 서로 연결된다. 이 예에서, 지지 부재들은 멤브레인 (105) 으로 아래로 완전히 연장하지만, 이온 저항성 엘리먼트 (107) 로 위로 완전히 연장하지 않는다. 다른 경우들에서, 지지 부재들은 이온 저항성 엘리먼트 (107) 로 위로 완전히 연장할 수도 있고, 그리고/또는 멤브레인 (105) 으로 아래로 완전히 연장하지 않을 수도 있다. 도 5h에서, 멤브레인 (105) 은 멤브레인 (105) 의 중심에서 하향으로 포인팅하는 콘 (cone) 의 팁을 갖는, 콘-형상으로 배향된다. 배플들 (130) 및 지지 부재들의 하단 표면들은 멤브레인 (105) 의 형상과 매칭하도록 기울어진다.
개구부들 (141) 이 플로우 집중 멤브레인 프레임 (145) 내에서, 인접한 배플들 (130) 과 지지 부재들 사이에 구획된다. 개구부들 (141) 은 특정한 애플리케이션을 위해 목표된 바와 같이, 다양한 형상들 및 사이즈들일 수 있다. 도 5h의 실시예에서, 개구부들 (141) 은 위로부터 볼 때 직사각형이다.
도 5h는 또한 애노드 챔버 (112) 내에 위치된 애노드 (104), 및 기판 홀더 (103) 상에 위치된 기판 (102) 을 도시한다. 기판 홀더 (103) 는 도금 위치에 도시되었지만, 기판들을 로딩/언로딩하도록 상향으로 상승될 수 있다. 도시된 바와 같이, 도금 위치에 있을 때, 기판 홀더 (103) 는 전방 측면 삽입부 (108) 에 근접하다. 전방 측면 삽입부 (108) 는 도시된 바와 같이 기판 홀더 (103) 의 적어도 부분적으로 방사상 외부에 위치될 수도 있다. 이 예에서, 후방 측면 삽입부 (135) 는 링 형상이고, 기판 홀더 (103) 와 대략 같은 넓이를 갖고, 직경이 이온 저항성 엘리먼트 매니폴드 (111) 의 직경과 대략 같다. 후방 측면 삽입부 (135) 는 이온 저항성 엘리먼트 (107) 아래, 플로우 집중 멤브레인 프레임 (145) 의 상부 부분의 방사상 내측에 위치된다. 후방 측면 삽입부 (135) 는 전류 차폐를 위해 사용될 수도 있다.
도 5i는 도 5h에 도시된 것과 유사한 플로우 집중 멤브레인 프레임 (145) 을 예시한다. 이 예에서, 플로우 집중 멤브레인 프레임 (145) 의 개구부들 (141) 은 원형이고 벌집형 패턴으로 배향된다. 배플들 (130) 은 도 5h에 도시된 바와 같이, 이온 저항성 엘리먼트 (107) 로부터 멤브레인 (105) 으로 수직으로 연장하도록 성형된다. 도 5i는 또한 플로우 집중 멤브레인 프레임 (145) 의 주변 영역의 2 개의 호 형상 개구부들 (142) 을 도시한다. 호 형상 개구부들 (142) 은 일부 경우들에서 전해질을 라우팅하도록 사용될 수도 있다.
특정한 경우들에서, 플로우 집중 멤브레인 프레임의 배플들은 이온 저항성 엘리먼트 매니폴드의 폭을 완전히 가로질러 연장하지 않는다. 이 구성의 일 이점은 단일 플로우 집중 멤브레인 프레임이 상이한 후방 측면 삽입부들을 사용하여 상이한 기판들을 전기도금하도록 사용될 수 있다는 것이다. 예를 들어, 후방 측면 삽입부는 특정한 애플리케이션을 위해 특정한 기하구조 (예를 들어, 내경) 를 갖도록 설계될 수도 있다. 상이한 애플리케이션들은 상이한 사이즈들의 후방 측면 삽입부들을 활용할 수도 있다. 플로우 집중 멤브레인 프레임은 플로우 집중 멤브레인 프레임의 유용성을 최대화하기 위해 다양한 후방 측면 삽입부들과 상호교환가능하게 매이팅하도록 설계될 수 있다.
도 5j 및 도 5k는 특정한 구현에들에 따른 후방 측면 삽입부 (135) 의 상이한 도면들을 제공한다. 후방 측면 삽입부 (135) 는 일련의 돌출부들 (143) 을 포함한다. 돌출부들 (143) 은 도 5l에 도시된 바와 같이, 플로우 집중 멤브레인 프레임 (145) 의 배플들 (130) 의 에지들과 매이팅하도록 배향된다. 돌출부들 (143) 의 길이는 상이한 사이즈들의 후방 측면 삽입부들 (135) 에 대해 상이할 수도 있어서, 후방 측면 삽입부 (135) 각각으로 하여금 부가된 유연성 및 감소된 장치 비용들을 위해 단일 플로우 집중 멤브레인 프레임 (145) 과 인터페이싱하게 한다. 상이한 후방 측면 삽입부들 (135) 이 플로우 집중 멤브레인 프레임 (145) 과 상호교환가능하게 매이팅할 수 있다는 것을 보장하기 위해, 도 5l에 도시된 바와 같이, 배플들 (130) 의 상부 에지들은 이온 저항성 엘리먼트 매니폴드의 전체 폭보다 작게 연장할 수도 있다. 후방 측면 삽입부 (135) 상의 돌출부들 (143) 은 이어서 배플들 (130) 의 상부 에지들에 근접하게 위치될 수 있어서, 배플들 (130) 이 이온 저항성 엘리먼트 매니폴드의 전체 폭을 가로질러 실질적으로 연장한다는 것을 보장한다.
특정한 실시예들 (미도시) 에서, 장치는 (i) (예를 들어, 도 2a 내지 도 2l 중 어느 하나와 관련하여 기술된 바와 같은) 이온 저항성 엘리먼트와 물리적으로 콘택트하는 멤브레인, 및 (ii) (예를 들어, 도 5a 내지 도 5g와 관련하여 기술된 바와 같은) 하나 이상의 배플들을 모두 포함할 수도 있다.
전기도금 시스템들
본 명세서에 기술된 방법들은 임의의 적합한 시스템/장치에 의해 수행될 수도 있다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 갖는 시스템 제어기를 포함한다. 예를 들어, 일부 실시예들에서, 하드웨어는 프로세스 툴에 포함된 하나 이상의 프로세스 스테이션들을 포함할 수도 있다.
전착 (electrodeposition) 장치 (900) 의 일 실시예가 도 9에 개략적으로 예시된다. 이 실시예에서, 전착 장치 (900) 는 세트의 전기도금 셀들 (907) 을 갖고, 각각은 쌍 또는 복수의 "듀엣 (duet)" 구성으로 전기도금 욕을 포함한다. 전기도금 자체에 더하여, 전착 장치 (900) 는 예를 들어 스핀-린싱, 스핀-건조, 금속 및 실리콘 습식 에칭, 무전해 증착, 사전-웨팅 및 사전 화학적 처리, 환원, 어닐링, 전기-에칭, 및/또는 전기 연마, 포토레지스트 스트립핑, 및 표면 사전 활성화와 같은, 다양한 다른 전기도금 관련 프로세스들 및 하위단계들을 수행할 수도 있다. 전착 장치 (900) 는 도 9에서 위에서 아래로 보아 개략적으로 도시되고, 도면에 단일 레벨 또는 "플로어"만이 드러나지만, 이러한 장치, 예를 들어, Lam SabreTM 3D 툴이 서로의 상단에, 각각 잠재적으로 동일한 타입 또는 상이한 타입의 프로세싱 스테이션들을 갖는, "스택된" 2 이상의 레벨들을 가질 수 있다는 것이 당업자에게 쉽게 이해된다.
도 9를 다시 한번 참조하면, 전기도금될 기판들 (906) 은, 프론트 엔드 로딩 (front end loading) FOUP (901) 를 통해 전착 장치 (900) 로 피딩되고 (fed), 이 예에서, 액세스가능한 스테이션들―이 예에서 2 개의 프론트-엔드 액세스가능 스테이션들 (904) 및 또한 2 개의 프론트-엔드 액세스가능 스테이션들 (908) 이 도시됨― 중 일 스테이션으로부터 또 다른 스테이션으로 복수의 차원들에서, 스핀들 (903) 에 의해 구동된 기판 (906) 을 회수하고 이동시킬 수 있는 프론트 엔드 로봇 (902) 을 통해 FOUP로부터 전착 장치 (900) 의 주 기판 프로세싱 영역에 이르게 된다. 프론트-엔드 액세스가능 스테이션들 (904 및 908) 은, 예를 들어, 전처리 스테이션들, 및 SRD (spin rinse drying) 스테이션들을 포함할 수도 있다. 프론트 엔드 로봇 (902) 의 측면으로부터 측면으로 측방향 이동은 로봇 트랙 (902a) 을 활용하여 달성된다. 기판들 (906) 각각은 모터 (미도시) 에 연결된 스핀들 (903) 에 의해 구동된 콘/컵 어셈블리 (미도시) 에 의해 홀딩될 수도 있고, 그리고 모터가 장착 브라켓 (909) 에 부착될 수도 있다. 또한 이 예에서 총 8 개의 전기도금 셀들 (907) 에 대해, 4 개의 "듀엣들"의 전기도금 셀들 (907) 이 도시된다. 시스템 제어기 (미도시) 는 전착 장치 (900) 의 속성들의 일부 또는 전부를 제어하기 위해 전착 장치 (900) 에 커플링될 수도 있다. 시스템 제어기는 본 명세서에서 앞서 기술된 프로세스들에 따른 인스트럭션들을 실행하도록 프로그래밍되거나 달리 구성될 수도 있다.
시스템 제어기
일부 구현예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 단계를 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 프로세싱 요건들 및/또는 시스템의 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 단계 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 단계를 제어하고, 세정 단계들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 단계 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 단계 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 단계들의 현 진행을 모니터링하고, 과거 제조 단계들의 이력을 조사하고, 복수의 제조 단계들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 단계들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
부가적인 실시예들
상기 기술된 다양한 하드웨어 및 방법 실시예들은 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들, 등의 제조 또는 제작을 위해, 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 수행되고 사용될 것이다.
막의 리소그래픽 패터닝은 통상적으로, 단계 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 예를 들어, 그 위에 실리콘 나이트라이드 막이 형성된 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 다른 적합한 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치 또는 스프레이 현상기와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다. 일부 실시예들에서, 애시가능 (ashable) 하드마스크 층 (예컨대 비정질 탄소 층) 및 다른 적합한 하드 마스크 (예컨대 반사방지 층) 가 포토레지스트를 도포하기 전에 증착될 수도 있다.
본 명세서에서, 용어들 "반도체 웨이퍼", "웨이퍼", "기판", "웨이퍼 기판" 및 "부분적으로 제조된 집적 회로"가 상호교환가능하게 사용된다. 당업자는 용어 "부분적으로 제조된 집적 회로"가 상부에서 집적 회로 제조의 임의의 많은 단계들 동안 실리콘 웨이퍼를 지칭할 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업계에서 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 또는 300 ㎜, 또는 450 ㎜의 직경을 갖는다. 또한, 용어들 "전해질", "도금 욕", "욕", 및 "도금 용액"은 상호교환가능하게 사용된다. 상세한 기술은 실시예들이 웨이퍼 상에서 구현된다고 가정한다. 그러나, 실시예들은 그렇게 제한되지 않는다. 워크피스는 다양한 형상들, 사이즈들, 및 재료들일 수도 있다. 반도체 웨이퍼들에 더하여, 개시된 실시예들의 장점을 취할 수도 있는 다른 워크피스들이 인쇄 회로 기판들, 자기 기록 매체, 자기 기록 센서들, 미러들, 광학 엘리먼트들, 마이크로-기계적 디바이스들, 등과 같은 다양한 물품들을 포함한다.
상기 기술에서, 다수의 구체적이 상세들이 제시된 실시예들의 완전한 이해를 제공하기 위해 진술되었다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 단계들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 특정한 실시예들과 함께 기술될 것이지만, 개시된 실시예들을 제한하도록 의도되지 않았다는 것이 이해될 것이다.
특정한 파라미터에 대해 달리 정의되지 않는 한, 본 명세서에 사용된 바와 같은 용어들 "약 (about)" 및 "대략"은 관련된 값에 대해 ±10 %를 의미하도록 의도된다.
본 명세서에 기술된 구성들 및/또는 접근방법들은 본질적으로 예시적이고, 다수의 변경들이 가능하기 때문에, 이들 구체적인 실시예들 또는 예들이 제한하는 의미로 간주되지 않는다는 것이 이해된다. 본 명세서에 기술된 특정한 루틴들 또는 방법들은 임의의 수의 프로세싱 전략들 중 하나 이상을 나타낼 수도 있다. 이와 같이, 예시된 다양한 작용들은 예시된 순서로, 다른 순서들로, 동시에 수행될 수도 있고, 또는 일부 경우들에서 생략될 수도 있다. 유사하게, 상기 기술된 프로세스들의 순서는 변화될 수도 있다. 특정한 참조문헌들이 본 명세서에 참조로서 인용된다. 이러한 참조문헌들에서 이루어진 임의의 부정들 또는 포기들은 본 명세서에 기술된 실시예들에 반드시 적용되는 것은 아니라는 것이 이해된다. 유사하게, 이러한 참조문헌들에서 필수적인 것으로 기술된 임의의 피처들이 본 명세서의 실시예들에서 생략될 수도 있다.
본 개시의 주제는 본 명세서에 개시된 다양한 프로세스들, 시스템들 및 구성들, 및 다른 특징들, 기능들, 작용들, 및/또는 속성들의 모든 신규하고 명백하지 않은 조합들 및 하위 조합들뿐만 아니라 이들의 임의의 그리고 모든 등가물들을 포함한다.
실험
도 6a 및 도 6b는 도 1a 내지 도 1c에 도시된 바와 같은 장치에서 도금된 피처들을 도시한다. 특히, 도 6a는 기판의 에지 근방의 도금된 피처를 도시하지만, 도 6b는 기판의 중심 근방의 도금된 피처를 도시한다. 도 6a의 피처는 보다 돔형인 도 6b의 피처보다 눈에 띄게 보다 편평하고/보다 예리하다. 작용의 이론 또는 메커니즘에 얽매이지 않고, 도 6b의 중심에 위치된 피처는 도 6a의 에지에 위치된 피처와 비교할 때, 전기도금 동안, 상대적으로 낮은 대류를 경험하기 때문에 돔형이라고 여겨진다.
본 명세서에 기술된 다수의 실시예들은 상부에 구리 시드 층을 갖는 패터닝되지 않은 기판 상에 정전기 임프린팅 (static imprint) 을 수행함으로써 테스트되었다. 정전기 임프린팅을 수행하기 위해, 기판은 산성 산소-풍부 용액으로 가득차는 전기도금 장치 내로 로딩된다. 이 용액은 전기도금 동안 전해질이 장치를 통해 흐르는 것과 동일한 방식으로 장치를 통해 흐른다. 이 용액은 구리 시드 층을 어느 정도로 용해하고, 보다 높은 대류를 경험하는 영역들은 보다 높은 에칭도를 보인다. 정전기 임프린팅 동안 전류 또는 전위가 기판에 인가되지 않는다. 기판은 정전기 임프린팅 동안 회전되지 않는다.
도 7a는 도 1a 내지 도 1c에 도시된 바와 같이 전기도금 장치 상에 취해진 정전기 임프린팅을 예시한다. 난형으로 도시된 기판의 영역은 기판의 나머지와 비교하여 눈에 띄게 보다 많이 에칭된다. 이들 결과들은 측면 유입구 (113) 를 통해 전달된 용액의 일부가 이온 저항성 엘리먼트를 통해 이온 저항성 엘리먼트 매니폴드 (111) 내로 흐르는 대신 교차 플로우 매니폴드 (110) 의 큰 부분을 바이패스한다는 것을 암시한다. 이 용액은 도 1c에 도시된 바와 같이, 이온 저항성 엘리먼트 (107) 를 통해 측면 유출구 (114) 근방 영역의 교차 플로우 매니폴드 (110) 내로 다시 위로 이동한다. 이온 저항성 엘리먼트 (107) 를 통해 다시 위로 이동하는 용액은 기판 표면 상에 충돌하여, 기판의 다른 영역들과 비교하여 난형 영역에서 보다 상당한 에칭을 유발한다.
도 7b는 도 3a에 도시된 바와 같은 전기도금 장치 상에 취해진 정전기 임프린팅을 예시한다. 장치는 바로 아래에 위치되고 이온 저항성 엘리먼트 (107) 와 물리적으로 콘택트하는, 멤브레인 (120) 뿐만 아니라 링 형상이고 주변부에서 멤브레인 (120) 을 지지하는 멤브레인 프레임 (121) 을 포함하였다. 이 예에서, 측면 유출구 (114) 근방 이온 저항성 엘리먼트 (107) 를 통해 상향으로 용액이 분출되는 증거가 없다. 대신, (원형의) 기판의 중심이 기판의 에지들과 비교하여 상대적으로 보다 크게 에칭된 것을 도시하고, 기판의 중심에서 개선된 교차 플로우를 나타낸다. 이들 결과들은 이온 저항성 엘리먼트에 근접한 멤브레인의 사용이 본 명세서에 기술된 플로우 바이패스 문제들을 상당히 방지할 수 있고, 그리고 기판의 중심 근방에서 교차 플로우를 상당히 개선할 수 있다는 것을 암시한다.
도 7c는 도 4h에 도시된 멤브레인 (120) 을 사용한 (이 멤브레인은 측면 유입구 (113) 근방의 제 1 개구부 및 기판/멤브레인 (120) 의 중심 근방에 제 2 개구부를 포함함) 도 4a에 도시된 바와 같은 전기도금 장치 상에서 취해진 정전기 임프린팅을 제공한다. 이 예에서, 측면 유출구 (114) 근방 이온 저항성 엘리먼트 (107) 를 통해 상향으로 용액이 분출되는 증거는 없다. 결과들은, 용액이 멤브레인 (120) 내 제 1 개구부 (측면 유입구 (113) 근방 개구부) 를 통해 아래로 그리고 이어서 멤브레인 (120) 의 제 2 개구부 (기판/멤브레인 (120) 의 중심 근방 개구부) 를 통해 다시 위로 라우팅되기 때문에, (원형) 기판 (102) 의 중심 근방에서 상당한 용액의 분출을 도시한다. 이들 결과들은 본 명세서에 기술된 멤브레인 컷아웃들이 기판의 목표된 영역, 예를 들어 기판의 중심 근방으로 전해질을 라우팅하도록 사용될 수 있고, 그렇지 않으면 대류가 상대적으로 낮다는 것을 암시한다.
도 7d는 도 4b에 도시된 멤브레인 (120) (이 멤브레인 측면 유입구 (113) 근방으로부터 기판/멤브레인 (120) 의 중심 근방으로 연장하는 단일 개구부를 포함함) 을 사용하여, 도 4a에 도시된 전기도금 장치 상에서 취해진 정전기 임프린팅을 도시한다. 측면 유출구 (114) 근방 이온 저항성 엘리먼트 (107) 를 통해 상향으로 용액이 분출하는 증거는 없다. (원형의) 기판/멤브레인 (120) 의 중심 근방 이온 저항성 엘리먼트 (107) 를 통해 상향으로 유체를 분출하는 일부 증거가 있다. 분출은 도 7c에서 상당하지 않다. 이들 결과들은 단일 개구부를 갖는 멤브레인들은 목표된 바와 같이 전해질을 라우팅하도록 사용되어, 기판의 중심 근방에서 교차 플로우를 개선한다는 것을 암시한다.
도 8은 본 명세서에 기술된 다양한 장치에서 도금된 기판들에 대한 피처-내 불균일도를 기술하는 실험 결과들을 제공한다. 구체적으로, Case A는 도 1a 내지 도 1c 에 도시된 바와 같은 장치 (예를 들어, 이온 저항성 엘리먼트 (107) 와 콘택트하는 배플들 또는 멤브레인을 포함하지 않는 장치) 에 관한 것이다. Case B는 도 4b에 도시된 멤브레인 (120) 을 갖는, 도 4a에 도시된 바와 같은 장치에 관한 것이다. Case C는 이온 저항성 엘리먼트 매니폴드 (111) 에 일련의 배플들 (130) 을 갖는, 도 5a에 도시된 바와 같은 장치에 관한 것이다. 이온 저항성 엘리먼트에 근접한 배플들 또는 멤브레인이 제공되지 않는, Case A에서, 피처-내 불균일도가 충분히 높고 (예를 들어, 60 ㎛까지) 가변한다. 멤브레인이 이온 저항성 엘리먼트와 콘택트하여 제공되는, Case B에서, 피처-내 불균일도는 훨씬 보다 낮고 (예를 들어, 약 13 ㎛ 이하), 매우 낮은 가변성을 갖는다. 유사하게, 배플들이 이온 저항성 엘리먼트 매니폴드에 제공되는, Case C에서, 피처-내 불균일도는 상당히 낮고 (예를 들어, 약 15 ㎛ 이하), 매우 낮은 가변성을 갖는다. Case B는 최상의 결과들 (가장 낮고 가장 작은 가변 불균일도) 을 보이지만, Case C의 결과들은 또한 매우 우수하다. 이들 결과들은 본 명세서에 기술된 기법들이 전기도금 결과들, 특히 피처-내 불균일도를 개선하기 위해 성공적으로 구현될 수 있다는 것을 보여준다.

Claims (22)

  1. (a) 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 도금 챔버로서, 상기 기판은 실질적으로 평면형인, 상기 도금 챔버;
    (b) 도금 동안 상기 기판의 도금 면이 상기 전해질에 침지되고 상기 애노드로부터 분리되도록 상기 기판을 지지하도록 구성된 기판 홀더;
    (c) 전기도금 동안 이온 저항성 엘리먼트를 통한 이온 이송을 제공하도록 구성된 상기 이온 저항성 엘리먼트로서, 상기 이온 저항성 엘리먼트는 복수의 쓰루홀들을 포함하는 플레이트인, 상기 이온 저항성 엘리먼트;
    (d) 상기 이온 저항성 엘리먼트 위 그리고 상기 기판의 상기 도금 면 아래에 위치된 교차 플로우 매니폴드로서, 상기 기판은 상기 기판 홀더에 존재하는, 상기 교차 플로우 매니폴드;
    (e) 상기 이온 저항성 엘리먼트 아래에 위치된 애노드 챔버 멤브레인 프레임으로서, 상기 애노드 챔버 멤브레인 프레임은 애노드 챔버 멤브레인과 매이팅하도록 구성되는, 상기 애노드 챔버 멤브레인 프레임; 및
    (f) 상기 이온 저항성 엘리먼트 아래 그리고 존재하는 경우, 상기 애노드 챔버 멤브레인 위에 위치된 이온 저항성 엘리먼트 매니폴드로서, 상기 이온 저항성 엘리먼트 매니폴드는 수직으로 배향된 배플들에 의해 서로로부터 적어도 부분적으로 분리되는 복수의 배플 영역들로서, 배플 각각은 상기 이온 저항성 엘리먼트에 근접한 제 1 영역으로부터 상기 애노드 챔버 멤브레인에 근접한 제 2 영역으로 연장하는, 상기 복수의 배플 영역들을 포함하는, 전기도금 장치.
  2. 제 1 항에 있어서,
    상기 배플들은 측면 유입구와 측면 유출구 사이의 방향에 수직인 방향으로 상기 이온 저항성 엘리먼트 매니폴드를 가로질러 선형으로 연장하고, 상기 측면 유입구 및 상기 측면 유출구는 전기도금 동안 상기 교차 플로우 매니폴드에서 교차 플로우 전해질을 생성하도록 구성되는, 전기도금 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 애노드 챔버 멤브레인 프레임과 콘택트하는 상기 애노드 챔버 멤브레인을 더 포함하고, 상기 애노드 챔버 멤브레인은 전기도금 동안 상기 기판으로부터 상기 애노드를 분리하는, 전기도금 장치.
  4. 제 3 항에 있어서,
    배플 각각의 상부 영역은 상기 이온 저항성 엘리먼트에 근접하게 위치된 상기 이온 저항성 엘리먼트 또는 프레임과 물리적으로 콘택트하는, 전기도금 장치.
  5. 제 1 항 내지 제 4 항 어느 한 항에 있어서,
    전기도금 동안, 상기 배플들은 상기 교차 플로우 매니폴드로부터, 상기 이온 저항성 엘리먼트를 통해, 그리고 상기 이온 저항성 엘리먼트 매니폴드 내로 이동하는 전해질의 양을 감소시키도록 동작하는, 전기도금 장치.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 애노드 챔버 멤브레인 프레임은 상기 배플들을 포함하는, 전기도금 장치.
  7. 제 6 항에 있어서,
    상기 이온 저항성 엘리먼트와 상기 애노드 챔버 멤브레인 프레임 사이에 위치된 후방 측면 삽입부 (back side insert) 를 더 포함하고, 상기 후방 측면 삽입부는 상기 배플들에 평행하게 배향되고 상기 배플들과 매이팅하도록 구성된 복수의 돌출부들을 포함하는, 전기도금 장치.
  8. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 배플들은 상기 애노드 챔버 멤브레인 프레임으로 완전히 연장하지 않는, 전기도금 장치.
  9. 제 1 항 내지 제 5 항 또는 제 8 항 중 어느 한 항에 있어서,
    상기 이온 저항성 엘리먼트는 상기 배플들을 포함하는, 전기도금 장치.
  10. 제 1 항 내지 제 5 항 또는 제 8 항 중 어느 한 항에 있어서,
    상기 이온 저항성 엘리먼트와 상기 애노드 챔버 멤브레인 프레임 사이에 위치된 후방 측면 삽입부를 더 포함하고, 상기 후방 측면 삽입부는 상기 배플들을 포함하는, 전기도금 장치.
  11. 제 1 항 내지 제 5 항 또는 제 8 항 중 어느 한 항에 있어서,
    상기 배플들은 상기 이온 저항성 엘리먼트, 상기 애노드 챔버 멤브레인 프레임, 또는 상기 후방 측면 삽입부와 통합되지 않는 이동식 부품들이고, 그리고 상기 배플들은 상기 이온 저항성 엘리먼트, 상기 애노드 챔버 멤브레인 프레임, 및 상기 후방 측면 삽입부 중 적어도 하나의 리세스들 내로 피팅되는 (fit), 전기도금 장치.
  12. (a) 기판 상에 금속을 전기도금하는 동안 전해질 및 애노드를 담도록 구성된 도금 챔버로서, 상기 기판은 실질적으로 평면형인, 상기 도금 챔버;
    (b) 도금 동안 상기 기판의 도금 면이 상기 전해질에 침지되고 상기 애노드로부터 분리되도록 상기 기판을 지지하도록 구성된 기판 홀더;
    (c) 전기도금 동안 이온 저항성 엘리먼트를 통한 이온 이송을 제공하도록 구성된 상기 이온 저항성 엘리먼트로서, 상기 이온 저항성 엘리먼트는 복수의 쓰루홀들을 포함하는 플레이트인, 상기 이온 저항성 엘리먼트;
    (d) 상기 이온 저항성 엘리먼트 위 그리고 상기 기판의 상기 도금 면 아래에 위치된 교차 플로우 매니폴드로서, 상기 기판은 상기 기판 홀더에 존재하는, 상기 교차 플로우 매니폴드;
    (e) 상기 이온 저항성 엘리먼트와 물리적으로 콘택트하는 멤브레인으로서, 상기 멤브레인은 전기도금 동안 상기 멤브레인을 통한 이온 이송을 제공하도록 구성되고, 그리고 상기 멤브레인은 전기도금 동안 상기 이온 저항성 엘리먼트를 통한 전해질의 플로우를 감소시키도록 구성되는, 상기 멤브레인을 포함하는, 전기도금 장치.
  13. 제 12 항에 있어서,
    상기 멤브레인은 평면형이고 상기 이온 저항성 엘리먼트에 평행한 평면 내에 위치되는, 전기도금 장치.
  14. 제 12 항 또는 제 13 항에 있어서,
    상기 멤브레인은 상기 이온 저항성 엘리먼트의 상기 복수의 쓰루홀들 모두를 커버하는, 전기도금 장치.
  15. 제 12 항 또는 제 13 항에 있어서,
    상기 멤브레인은 상기 멤브레인이 상기 이온 저항성 엘리먼트의 상기 복수의 쓰루홀들 중 일부만을 커버하도록 하나 이상의 컷아웃 영역들을 포함하는, 전기도금 장치.
  16. 제 15 항에 있어서,
    상기 멤브레인은 상기 이온 저항성 엘리먼트의 중심 근방에 위치되는 제 1 컷아웃 영역을 포함하는, 전기도금 장치.
  17. 제 16 항에 있어서,
    상기 멤브레인은 상기 교차 플로우 매니폴드에 대한 측면 유입구 근방에 위치된 제 2 컷아웃 영역을 포함하는, 전기도금 장치.
  18. 제 15 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 컷아웃 영역은 방위각적으로 불균일한, 전기도금 장치.
  19. 제 12 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 멤브레인은 상기 이온 저항성 엘리먼트 아래에 위치되는, 전기도금 장치.
  20. 제 12 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 멤브레인은 상기 이온 저항성 엘리먼트 위에 위치되는, 전기도금 장치.
  21. 제 12 항 내지 제 20 항 중 어느 한 항에 있어서,
    상기 이온 저항성 엘리먼트와 물리적으로 콘택트하여 상기 멤브레인을 위치시키도록 구성된 멤브레인 프레임을 더 포함하는, 전기도금 장치.
  22. 제 21 항에 있어서,
    상기 멤브레인은 상기 이온 저항성 엘리먼트 위에 위치되고, 상기 멤브레인 프레임은 상기 멤브레인 위에 위치되고, 상기 멤브레인 프레임은 선형이고 서로 평행하고, 그리고 상기 교차 플로우 매니폴드 내의 교차 플로우 전해질의 방향에 수직인 방향으로 연장하는, 제 1 세트의 리브들 (rib) 을 포함하는, 전기도금 장치.
KR1020207008225A 2017-08-21 2018-08-20 전기도금 동안 플로우 분리 및 집중을 위한 방법들 및 장치 KR102652962B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247010157A KR20240046284A (ko) 2017-08-21 2018-08-20 전기도금 동안 플로우 분리 및 집중을 위한 방법들 및 장치

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762548116P 2017-08-21 2017-08-21
US62/548,116 2017-08-21
US16/101,291 US11001934B2 (en) 2017-08-21 2018-08-10 Methods and apparatus for flow isolation and focusing during electroplating
US16/101,291 2018-08-10
PCT/US2018/000362 WO2019040111A1 (en) 2017-08-21 2018-08-20 METHODS AND APPARATUS FOR ISOLATING AND FOCUSING FLOWS DURING ELECTROPLACING

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247010157A Division KR20240046284A (ko) 2017-08-21 2018-08-20 전기도금 동안 플로우 분리 및 집중을 위한 방법들 및 장치

Publications (2)

Publication Number Publication Date
KR20200035318A true KR20200035318A (ko) 2020-04-02
KR102652962B1 KR102652962B1 (ko) 2024-04-01

Family

ID=65360343

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207008225A KR102652962B1 (ko) 2017-08-21 2018-08-20 전기도금 동안 플로우 분리 및 집중을 위한 방법들 및 장치
KR1020247010157A KR20240046284A (ko) 2017-08-21 2018-08-20 전기도금 동안 플로우 분리 및 집중을 위한 방법들 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020247010157A KR20240046284A (ko) 2017-08-21 2018-08-20 전기도금 동안 플로우 분리 및 집중을 위한 방법들 및 장치

Country Status (7)

Country Link
US (1) US11001934B2 (ko)
JP (1) JP7194724B2 (ko)
KR (2) KR102652962B1 (ko)
CN (2) CN115613104A (ko)
SG (1) SG11202001325QA (ko)
TW (2) TW202321523A (ko)
WO (1) WO2019040111A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102404459B1 (ko) * 2021-03-10 2022-06-07 가부시키가이샤 에바라 세이사꾸쇼 도금 장치 및 도금 방법
KR102597424B1 (ko) * 2022-10-20 2023-11-02 최일규 전해동박 제박기용 도금액 유출시스템

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
JP7102865B2 (ja) * 2018-03-30 2022-07-20 ブラザー工業株式会社 定着器および画像形成装置
CN113056575A (zh) 2018-11-19 2021-06-29 朗姆研究公司 用于防止在高对流电镀槽中起泡的横流导管
CN109621260B (zh) * 2018-11-27 2021-03-30 北京建筑大学 一种灭火车的控制系统
KR20220053669A (ko) * 2019-09-03 2022-04-29 램 리써치 코포레이션 전기 도금 셀을 위한 저각도 멤브레인 프레임
EP3868923A1 (en) * 2020-02-19 2021-08-25 Semsysco GmbH Electrochemical deposition system for a chemical and/or electrolytic surface treatment of a substrate
US11401624B2 (en) * 2020-07-22 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Plating apparatus and method for electroplating wafer
JP7499667B2 (ja) * 2020-10-01 2024-06-14 株式会社荏原製作所 めっき装置の気泡除去方法及びめっき装置
US11795566B2 (en) 2020-10-15 2023-10-24 Applied Materials, Inc. Paddle chamber with anti-splashing baffles
US20240076795A1 (en) * 2021-02-01 2024-03-07 Lam Research Corporation Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating
EP4219799A1 (en) * 2022-01-27 2023-08-02 Semsysco GmbH System for a chemical and/or electrolytic surface treatment of a substrate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120138471A1 (en) * 2010-12-01 2012-06-07 Mayer Steven T Electroplating apparatus and process for wafer level packaging
US20130137242A1 (en) * 2006-08-16 2013-05-30 Zhian He Dynamic current distribution control apparatus and method for wafer electroplating
US20130313123A1 (en) * 2010-07-02 2013-11-28 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
KR20140076524A (ko) * 2012-12-12 2014-06-20 노벨러스 시스템즈, 인코포레이티드 전기도금 동안 효율적인 대량 전달을 위한 전해질 유체역학의 향상
KR20160090761A (ko) * 2015-01-22 2016-08-01 램 리써치 코포레이션 리모트 전류를 사용하여 도금 균일도의 동적 제어를 위한 장치 및 방법
KR20160144914A (ko) * 2015-06-09 2016-12-19 램 리써치 코포레이션 전기도금 시 방위각 균일도를 조정하기 위한 장치 및 방법
US20170191180A1 (en) * 2016-01-06 2017-07-06 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition

Family Cites Families (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
DE3108358C2 (de) 1981-03-05 1985-08-29 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum partiellen Galvanisieren von zu elektrisch leitenden Bändern, Streifen oder dgl. zusammengefaßten Teilen im Durchlaufverfahren
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298A (ja) 1983-03-07 1984-09-13 Kawasaki Steel Corp 金属ストリツプの高電流密度めつき方法
US4738272A (en) 1984-05-21 1988-04-19 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4856544A (en) 1984-05-21 1989-08-15 Cfm Technologies, Inc. Vessel and system for treating wafers with fluids
US4633893A (en) 1984-05-21 1987-01-06 Cfm Technologies Limited Partnership Apparatus for treating semiconductor wafers
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
DE3585797D1 (de) 1985-06-24 1992-05-07 Cfm Technologies Inc Behandlung von halbleiterscheiben mit einer fluessigkeitsstroemung.
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
EP0283681B1 (de) 1987-02-23 1992-05-06 Siemens Aktiengesellschaft Galvanisiereinrichtung zur Erzeugung von Höckern auf Chip-Bauelementen
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
US5472592A (en) 1994-07-19 1995-12-05 American Plating Systems Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5626736A (en) 1996-01-19 1997-05-06 Shipley Company, L.L.C. Electroplating process
US20020066464A1 (en) 1997-05-09 2002-06-06 Semitool, Inc. Processing a workpiece using ozone and sonic energy
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
EP1027481A1 (en) 1997-09-30 2000-08-16 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
CA2320278C (en) 1998-02-12 2006-01-03 Acm Research, Inc. Plating apparatus and method
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6022465A (en) 1998-06-01 2000-02-08 Cutek Research, Inc. Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
JP2000087299A (ja) 1998-09-08 2000-03-28 Ebara Corp 基板メッキ装置
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7204924B2 (en) 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
JP3331332B2 (ja) 1999-08-25 2002-10-07 日本エレクトロプレイテイング・エンジニヤース株式会社 カップ式めっき装置
US6454918B1 (en) 1999-03-23 2002-09-24 Electroplating Engineers Of Japan Limited Cup type plating apparatus
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
JP4219562B2 (ja) 1999-04-13 2009-02-04 セミトゥール・インコーポレイテッド ワークピースを電気化学的に処理するためのシステム
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
TW499329B (en) 1999-09-17 2002-08-21 Product System Inc Chemically inert megasonic transducer system
JP3635217B2 (ja) 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US20020027080A1 (en) 2000-03-17 2002-03-07 Junichiro Yoshioka Plating apparatus and method
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US7273535B2 (en) 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US20020062839A1 (en) 2000-06-26 2002-05-30 Steven Verhaverbeke Method and apparatus for frontside and backside wet processing of a wafer
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US7456113B2 (en) 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US6610189B2 (en) 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
JP2002289568A (ja) 2001-03-23 2002-10-04 Dainippon Screen Mfg Co Ltd 基板洗浄装置およびそれに用いる超音波振動エレメント
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6605525B2 (en) 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP4043234B2 (ja) 2001-06-18 2008-02-06 株式会社荏原製作所 電解加工装置及び基板処理装置
US7608356B2 (en) 2001-07-13 2009-10-27 William M. Risen, Jr. Ion conducting polymer membranes
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US6881318B2 (en) 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
KR100810705B1 (ko) 2001-08-22 2008-03-10 가부시키가이샤 루스ㆍ콤 전주장치 및 전주방법
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
TWI224531B (en) 2001-09-11 2004-12-01 Ebara Corp Substrate processing apparatus and method
JP3681670B2 (ja) 2001-09-25 2005-08-10 シャープ株式会社 半導体集積回路の製造装置および製造方法
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
TWI261875B (en) 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US8002962B2 (en) 2002-03-05 2011-08-23 Enthone Inc. Copper electrodeposition in microelectronics
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
DE10229001B4 (de) 2002-06-28 2007-02-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Steuern der Ionenverteilung während des galvanischen Auftragens eines Metalls auf eine Werkstückoberfläche
US7077585B2 (en) 2002-07-22 2006-07-18 Yoshitake Ito Developing method and apparatus for performing development processing properly and a solution processing method enabling enhanced uniformity in the processing
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040118694A1 (en) 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
EP1391540A3 (en) 2002-08-08 2006-10-04 Texas Instruments Incorporated Methods and apparatus for improved current density and feature fill control in ECD reactors
US20040084318A1 (en) 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
JP2004250785A (ja) 2003-01-31 2004-09-09 Ebara Corp 電解処理装置及び基板処理装置
US7374646B2 (en) 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
WO2004112093A2 (en) 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
KR101333288B1 (ko) 2003-06-24 2013-11-27 램 리서치 아게 디스크상 기판의 습식처리 장치 및 방법
JP2005133160A (ja) 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP4681221B2 (ja) 2003-12-02 2011-05-11 ミライアル株式会社 薄板支持容器
US7553401B2 (en) 2004-03-19 2009-06-30 Faraday Technology, Inc. Electroplating cell with hydrodynamics facilitating more uniform deposition across a workpiece during plating
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
JP4583811B2 (ja) 2004-05-31 2010-11-17 吉田 英夫 めっき処理方法
CN102097458B (zh) 2004-06-04 2013-10-30 伊利诺伊大学评议会 用于制造并组装可印刷半导体元件的方法和设备
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7563348B2 (en) 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
TW200633038A (en) 2004-11-19 2006-09-16 Asm Nutool Inc Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
US7935240B2 (en) 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
US7255970B2 (en) 2005-07-12 2007-08-14 Az Electronic Materials Usa Corp. Photoresist composition for imaging thick films
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
KR100657600B1 (ko) 2005-10-24 2006-12-19 (주)씨-넷 엘씨디모듈의 백라이트 유니트용 커넥터
KR20090029693A (ko) 2006-05-05 2009-03-23 세즈 아게 판상 기판 습식 처리장치 및 처리방법
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
CN101220500A (zh) 2007-08-29 2008-07-16 中国电子科技集团公司第二研究所 晶圆凸点制造挂具
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
TWD136587S1 (zh) 2008-07-22 2010-08-21 東京威力科創股份有限公司 晶圓吸附板
JP2010040849A (ja) 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
TWD135511S1 (zh) 2008-10-03 2010-06-21 日本碍子股份有限公司 靜電夾頭
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
WO2011135843A1 (ja) 2010-04-28 2011-11-03 パナソニック株式会社 抵抗変化型不揮発性記憶装置及びその製造方法
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
KR20120036030A (ko) 2010-10-07 2012-04-17 동우 화인켐 주식회사 네가티브 포토레지스트 잔류물 제거용 조성물 및 이를 이용한 세정방법
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
KR101783786B1 (ko) 2011-06-24 2017-10-10 에이씨엠 리서치 (상하이) 인코포레이티드 기판 상의 균일한 금속화를 위한 방법 및 장치
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
CN102719865B (zh) 2012-07-13 2016-02-24 曲悦峰 一种镀膜模具
WO2014150792A1 (en) 2013-03-15 2014-09-25 Hydronovation, Inc. Electrochemical water treatment system and method
US20140299476A1 (en) 2013-04-09 2014-10-09 Ebara Corporation Electroplating method
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US20170342590A1 (en) 2016-05-24 2017-11-30 Lam Research Corporation Modulation of applied current during sealed rotational electroplating
US20180258546A1 (en) 2017-03-09 2018-09-13 Lam Research Corporation Electroplating apparatus and methods utilizing independent control of impinging electrolyte
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130137242A1 (en) * 2006-08-16 2013-05-30 Zhian He Dynamic current distribution control apparatus and method for wafer electroplating
US20130313123A1 (en) * 2010-07-02 2013-11-28 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US20120138471A1 (en) * 2010-12-01 2012-06-07 Mayer Steven T Electroplating apparatus and process for wafer level packaging
KR20140076524A (ko) * 2012-12-12 2014-06-20 노벨러스 시스템즈, 인코포레이티드 전기도금 동안 효율적인 대량 전달을 위한 전해질 유체역학의 향상
KR20160090761A (ko) * 2015-01-22 2016-08-01 램 리써치 코포레이션 리모트 전류를 사용하여 도금 균일도의 동적 제어를 위한 장치 및 방법
KR20160144914A (ko) * 2015-06-09 2016-12-19 램 리써치 코포레이션 전기도금 시 방위각 균일도를 조정하기 위한 장치 및 방법
US20170191180A1 (en) * 2016-01-06 2017-07-06 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102404459B1 (ko) * 2021-03-10 2022-06-07 가부시키가이샤 에바라 세이사꾸쇼 도금 장치 및 도금 방법
KR102597424B1 (ko) * 2022-10-20 2023-11-02 최일규 전해동박 제박기용 도금액 유출시스템

Also Published As

Publication number Publication date
KR102652962B1 (ko) 2024-04-01
JP2020531684A (ja) 2020-11-05
TWI794273B (zh) 2023-03-01
CN111032927B (zh) 2022-09-30
KR20240046284A (ko) 2024-04-08
CN111032927A (zh) 2020-04-17
SG11202001325QA (en) 2020-03-30
US20190055665A1 (en) 2019-02-21
WO2019040111A1 (en) 2019-02-28
TW202321523A (zh) 2023-06-01
US11001934B2 (en) 2021-05-11
CN115613104A (zh) 2023-01-17
JP7194724B2 (ja) 2022-12-22
TW201920778A (zh) 2019-06-01

Similar Documents

Publication Publication Date Title
KR102652962B1 (ko) 전기도금 동안 플로우 분리 및 집중을 위한 방법들 및 장치
KR102533812B1 (ko) 균일한 전기도금을 위한 전해액 플로우 역학의 제어
KR102423978B1 (ko) 전기도금 동안 교차 플로우 매니폴드의 동적 변조
KR102566478B1 (ko) 전기도금 동안 교차 플로우 및 충돌하는 전해질의 전달을 제어하기 위한 방법들 및 장치
KR102563118B1 (ko) 혼합된 피처 전기도금을 위한 대류 최적화
KR102142159B1 (ko) 전기도금 장치용 교차류 매니폴드
US10760178B2 (en) Method and apparatus for synchronized pressure regulation of separated anode chamber
CN113056575A (zh) 用于防止在高对流电镀槽中起泡的横流导管
US10975489B2 (en) One-piece anode for tuning electroplating at an edge of a substrate
TW202248466A (zh) 用於電鍍期間之特定流體動力學的空間及尺寸上非均勻之通道板

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant