TW201920778A - 電鍍期間用於流動隔離與集中的方法及設備 - Google Patents

電鍍期間用於流動隔離與集中的方法及設備 Download PDF

Info

Publication number
TW201920778A
TW201920778A TW107128924A TW107128924A TW201920778A TW 201920778 A TW201920778 A TW 201920778A TW 107128924 A TW107128924 A TW 107128924A TW 107128924 A TW107128924 A TW 107128924A TW 201920778 A TW201920778 A TW 201920778A
Authority
TW
Taiwan
Prior art keywords
resistance element
diaphragm
ion resistance
substrate
ion
Prior art date
Application number
TW107128924A
Other languages
English (en)
Other versions
TWI794273B (zh
Inventor
史帝芬 J 尼克二世
布萊恩 L 巴克羅
亞倫 伯克
詹姆士 艾薩克 福特納
賈斯汀 奥伯斯特
史蒂芬 T 邁爾
羅伯特 拉許
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201920778A publication Critical patent/TW201920778A/zh
Application granted granted Critical
Publication of TWI794273B publication Critical patent/TWI794273B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/004Sealing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/005Contacting devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/10Agitating of electrolytes; Moving of racks
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

此處所述各種實施例關於方法和設備,用於將材料電鍍至半導體基板上。在一些實例中,可設置一或多個隔膜與一離子電阻元件接觸,以在電鍍期間將電解液從一橫向流歧管向後通過該離子電阻元件而進入一離子電阻元件歧管的程度最小化。該隔膜可加以設計以在一些實施例中使電解液以所欲方式擇路。在這些或其他實例中,在該離子電阻元件歧管中可設置一或多個擋板,以將電解液藉由向後流動通過離子電阻元件且在離子電阻元件歧管內橫跨電鍍槽而能夠繞過橫向流歧管的程度降低。這些技術可用以改善電鍍結果的均勻性。

Description

電鍍期間用於流動隔離與集中的方法及設備
此處實施例關於將材料電鍍至基板上的方法及設備。該等基板通常為半導體基板且該材料通常為金屬。
所揭露實施例關於在電鍍期間用於控制電解液流體特性的方法及設備。更特別是,此處所述方法及設備特別可用於將金屬鍍至半導體晶圓基板上,舉例來說,藉由銅直通矽穿孔(TSV)特徵部及具有小於例如 約50 µm寬度的小型微凸塊(microbumping)特徵部(例如,銅、鎳、錫及錫合金焊料)之光阻鍍覆。
目前,電化學沉積有希望滿足複雜封裝及多晶片互連技術(俗稱及一般已知為晶圓級封裝(WLP)及直通矽穿孔(TSV)電連接技術)的商業需求。部分由於通常較大的特徵部尺寸(與前段製程(FEOL, Front End of Line)互連相比)及高的高寬比,這些技術本身有非常顯著的挑戰。
取決於封裝特徵部的類型和應用(例如,直通晶片連接TSV、互連重分配佈線、或晶片到主板或晶片接合,例如倒裝晶片柱),在目前的技術中,經鍍覆的特徵部在其主要尺寸上通常大於約2微米,且典型上約5-100微米(舉例來說,銅柱可約50微米)。對於一些晶片上的結構,例如功率匯流排,待鍍覆之特徵部可大於100微米。WLP特徵部的高寬比典型上約1:1(高度對寬度)或以下,但它們可高達約2:1左右,而TSV結構可具有非常高的高寬比(例如,接近約20:1)。
此處若干實施例係關於用以電鍍基板的方法和設備。該基板係實質平面狀的,且可為半導體基板。
在此處實施例的一個實施態樣中,提供一電鍍設備,該設備包含:(a)一鍍覆腔室,建構以在將金屬電鍍至一基板上期間容納電解液及一陽極,該基板為實質平面狀的;(b)一基板固持器,建構以支撐該基板,俾使在鍍覆期間該基板的一鍍覆面浸入該電解液之中且與該陽極分隔開;(c)一離子電阻元件,適用以在電鍍期間提供通過該離子電阻元件的離子傳輸,其中該離子電阻元件為包含複數通孔的一板;(d)一橫向流歧管,配置在該離子電阻元件上方,且當該基板存在於該基板固持器之中時在該基板的該鍍覆面下方;及(e)一隔膜,與該離子電阻元件物理接觸,其中該隔膜適用以在電鍍期間提供通過該隔膜的離子傳輸,且其中該隔膜適用以在電鍍期間降低通過該離子電阻元件的電解液流動。
在各種實施例中,該隔膜為平面狀的,且配置在與該離子電阻元件平行的一平面之內。在一些實例中,該隔膜將在該離子電阻元件之中所有的該複數通孔加以覆蓋。在一些其他實例中,該隔膜包含一或多個切口區域,俾使該隔膜將在該離子電阻元件之中該複數通孔的僅其中一些加以覆蓋。 在一例子中,該隔膜包含一第一切口區域,其靠近該離子電阻元件的中心。在這些或其他實施例中,該隔膜可包含一第二切口區域,其靠近該橫向流歧管的側入口。在若干實施方式中,該切口區域為方位角非均勻的。在一個實例中,該切口區域在該側入口與該離子電阻元件的中心之間延伸。
在一些實施例中,該隔膜位在該離子電阻元件下方。在其他實施例中,該隔膜位在該離子電阻元件上方。在一特定實施例中,該隔膜配置在該離子電阻元件下方且一第二隔膜配置在該離子電阻元件上方,與該離子電阻元件接觸。
在若干實施方式中,該設備更包含一隔膜框架,建構以將該隔膜置放成與該離子電阻元件物理接觸。在一特定實例中,該隔膜係配置在該離子電阻元件上方,該隔膜框架係配置在該隔膜上方;且該隔膜框架包含第一組肋部,該第一組肋部係線形的且彼此平行,並且在與在該橫向流歧管內的橫向流動電解液的方向垂直的一方向上延伸。在一些此等實例中,該隔膜框架更包含第二組肋部,其在與該第一組肋部垂直的方向上延伸。該隔膜框架為一板,具有複數開口於其中。該等開口可為圓形的。該等開口亦可為另一形狀(例如,卵形、多邊形等等)。在一些例子中,該隔膜框架為環形的。該環形隔膜框架可在隔膜周邊(或其一部分)支撐該隔膜。
在所揭露實施例的另一實施態樣中,提供一電鍍設備,該設備包含:(a)一鍍覆腔室,建構以在將金屬電鍍至一基板上期間容納電解液及一陽極,該基板為實質平面狀的;(b)一基板固持器,建構以支撐該基板,俾使在鍍覆期間該基板的一鍍覆面浸入該電解液之中且與該陽極分隔開;(c)一離子電阻元件,適用以在電鍍期間提供通過該離子電阻元件的離子傳輸,其中該離子電阻元件為包含複數通孔的一板;(d)一橫向流歧管,配置在該離子電阻元件上方,且當該基板存在於該基板固持器之中時在該基板的該鍍覆面下方;(e)一個側入口,用於將電解液引入至該橫向流歧管;(f)一個側出口,用於承接在該橫向流歧管中流動的電解液,其中該側入口與該側出口係配置成鄰近在電鍍期間該基板的該鍍覆面上的方位角相反周邊位置,且其中該側入口與該側出口適用以在電鍍期間於該橫向流歧管中產生橫向流動電解液;(g)一陽極腔室隔膜框架,位於該離子電阻元件下方;及(h)一離子電阻元件歧管,位於該離子電阻元件下方及該陽極腔室隔膜框架上方,其中該離子電阻元件歧管包含複數擋板區域,該複數擋板區域係藉由位於該離子電阻元件下方之垂直定向的複數擋板而彼此部分地分隔開,其中各擋板從鄰近該離子電阻元件的一第一區域延伸至鄰近該陽極腔室隔膜框架的一第二區域,其中該等擋板不物理接觸該陽極腔室隔膜框架,且其中在電鍍期間電解液:(i)從複數電解液來源區域,行進經過該離子電阻元件,進入該橫向流歧管,而從該側出口離開;(ii)從該側入口,行進經過該橫向流歧管,而從該側出口離開;及(iii)在該等擋板下方從一擋板區域至另一個。
在所揭露實施例的另一實施態樣中,提供一電鍍設備,該設備包含:(a)一鍍覆腔室,建構以在將金屬電鍍至一基板上期間容納電解液及一陽極,該基板為實質平面狀的;(b)一基板固持器,建構以支撐該基板,俾使在鍍覆期間該基板的一鍍覆面浸入該電解液之中且與該陽極分隔開;(c)一離子電阻元件,適用以在電鍍期間提供通過該離子電阻元件的離子傳輸,其中該離子電阻元件為包含複數通孔的一板;(d)一橫向流歧管,配置在該離子電阻元件上方,且當該基板存在於該基板固持器之中時在該基板的該鍍覆面下方;(e)一陽極腔室隔膜框架,配置在該離子電阻元件下方,該陽極腔室隔膜框架係建構以與一陽極腔室隔膜配合;及(f)一離子電阻元件歧管,配置在該離子電阻元件下方且當該陽極腔室隔膜存在時在該陽極腔室隔膜上方,其中,該離子電阻元件歧管包含複數擋板區域,該複數擋板區域係藉由垂直定向的複數擋板而彼此至少部分地分隔開,其中各擋板從鄰近該離子電阻元件的一第一區域延伸至鄰近該陽極腔室隔膜的一第二區域。
在一些實施例中,該等擋板在與介於一個側入口和一個側出口之間的一方向垂直的一方向上線性延伸橫跨該離子電阻元件歧管,其中該側入口和該側出口適用以在電鍍期間在該橫向流歧管之中產生橫向流動電解液。在一些實例中,該設備更包含與該陽極腔室隔膜框架接觸的該陽極腔室隔膜,其中該陽極腔室隔膜在電鍍期間將該陽極與該基板分隔開。在各種實施例中,各擋板的一上部區域可物理接觸該離子電阻元件或與該離子電阻元件鄰近的一框架。在這些或其他實施例中,在電鍍期間,該等擋板可運作以降低從該橫向流歧管行進經過該離子電阻元件而進入該離子電阻元件歧管之電解液的量。在一些實例中,該陽極腔室隔膜框架可包含該等擋板。在若干實施方式中,該設備更包含一背側插件,配置在該離子電阻元件與該陽極腔室隔膜框架之間,其中該背側插件包含複數突部,該複數突部定向成與該等擋板平行且建構以與該等擋板配合。在一些實例中,該等擋板不一路延伸至該陽極腔室隔膜框架。在一些實例中,該離子電阻元件包含該等擋板。在這些或其他實例中,該設備可更包含一背側插件,配置在該離子電阻元件與該陽極腔室隔膜框架之間,且該背側插件可包含該等擋板。在若干其他實例中,該等擋板係與該離子電阻元件、該陽極腔室隔膜框架、及該背側插件皆不呈一體的可拆卸件。在一些此等實例中,該等擋板安裝進入該離子電阻元件、該陽極腔室隔膜框架、及該背側插件其中至少一者的凹口中。
在所揭露的實施例的另外實施態樣中,提供一種電鍍方法,該方法包含在此處所述電鍍設備任一者中電鍍一基板。
這些及其他特徵以下將參照相關圖式加以描述。
此處描述設備和方法,用於將一種以上金屬電鍍至基板上。一般性描述多個實施例,其中基板為半導體晶圓;然而,該等實施例並非如此受限的。
圖1A及1B描繪電鍍設備的簡化剖面圖。圖1B包含箭頭,顯示在各種實施例中於電鍍期間電解液的流動。圖1A描繪一電鍍槽101,其中基板102置放在一基板固持器103之中。基本固持器103經常稱作一杯件,且它可在基板102周邊支撐基板102。一陽極104配置在電鍍槽101底部附近。陽極104與基板102以一隔膜105分隔開,隔膜105由一隔膜框架106支撐。隔膜框架106有時稱作陽極腔室隔膜框架。此外,陽極104與基板102以一離子電阻元件107分隔開。離子電阻元件107包含開口,其使電解液能夠行進通過離子電阻元件107而衝擊基板102。一前側插件108配置在離子電阻元件107上方,鄰近基板102的周邊。前側插件108可為環形的,且可為方位角非均勻的,如所顯示。前側插件108有時亦稱為橫向流侷限環。一陽極腔室112在隔膜105下方,且為置放陽極104之處。離子電阻元件歧管111係在隔膜105上方且在離子電阻元件107下方。橫向流歧管110係在離子電阻元件107上方且在基板102下方。橫向流歧管的高度係視為基板102與離子電阻元件107的平面(排除在離子電阻元件107上表面上的肋部,若其存在的話)之間的距離。在一些實例中,橫向流歧管可具有介於約1 mm – 4 mm之間或介於約0.5 mm – 15 mm之間的高度。橫向流歧管110係由前側插件108於其側面加以界定,前側插件108用以將橫向流動的電解液侷限於橫向流歧管110之內。橫向流歧管110的側入口113係與橫向流歧管110的側出口114呈方位角相反而設置。側入口113與側出口114可至少部分地由前側插件108加以形成。如在圖1B中的箭頭所顯示,電解液行進通過側入口113,進入橫向流歧管110,而從側出口114離開。此外,電解液可行進通過一或多個入口116而至離子電阻元件歧管111,進入離子電阻元件歧管111,通過在離子電阻元件107中的開口,進入橫向流歧管110,而從側出口114離開。雖然入口116顯示為與對離子電阻元件歧管111和側入口113/橫向流歧管110二者饋送的一導管呈流體連接,要理解的是,在一些實例中,對這些區域的流動可為分離的且可為獨立控制的。在通過側出口114之後,電解液溢出堰牆109。該電解液可加以回收及再循環。
在若干實施例中,離子電阻元件107近似在基板(陰極)附近的一接近恆定及均勻的電流源,且因此在一些情境下,可稱為高電阻虛擬陽極(HRVA, high resistance virtual anode)或通道型離子電阻元件(CIRP, channeled ionically resistive element)。一般而言,離子電阻元件107係相對於晶圓而緊鄰配置。與此相比,同樣緊鄰基板的一陽極將顯著不傾向於對晶圓供應接近恆定的電流,而將僅在陽極金屬表面處支援一恆定電位平面,從而在從陽極平面到終端(例如,到晶圓上的周邊接觸點)的淨電阻較小處使電流能夠為最大。因此雖然離子電阻元件107已稱為高電阻虛擬陽極(HRVA),這不意味此二者電化學上為可互換的。在若干操作條件下,離子電阻元件107將更密切近似且較佳描述為虛擬均勻電流源,其中接近恆定的電流源自整個離子電阻元件107的上平面。
離子電阻元件107含有微尺寸(通常小於0.04”)的通孔,其空間性且離子性彼此隔離。在一些實例中,該等通孔不在離子電阻元件的主體內形成互連通道。此等通孔經常稱為不相通或一維通孔。它們典型上以一個維度延伸,經常但不必然,該維度垂直於晶圓的鍍覆表面(在一些實施例中,該等不相通的孔相對於晶圓呈一角度,晶圓一般平行於離子電阻元件的正面)。該等不相通的通孔經常彼此平行。該等不相通的通孔經常以方形陣列加以排列。另些時候,佈局則呈偏移螺旋圖案。這些不相通的通孔不同於3-D多孔網路(其中通道以三個維度延伸並形成互連多孔結構),這是因為非相通的通孔將平行於其中表面的離子電流與(在某些情況下)流體流二者重組,且將電流與流體流二者的路徑朝晶圓表面伸直。然而,在若干實施例中,具有互連多孔網路的此一多孔板可用作離子電阻元件。當使用於此處,除非以其他方式指定,術語「通孔」意欲涵蓋不相通的通孔與互連多孔網路二者。當自板的頂部表面至晶圓的距離係小的(例如,約1/10晶圓半徑尺寸的間隙,舉例來說,小於約5 mm),電流與流體流二者的散度係藉由離子電阻元件通道加以局部限制、授予、及對準。
一個例示離子電阻元件107係一盤,由呈離子及電子電阻性的固態、非多孔介電材料構成。該材料在使用的鍍溶液中亦為化學穩定的。在若干實例中,離子電阻元件107由陶瓷材料(例如,鋁氧化物、二氧化錫、鈦氧化物、或金屬氧化物的混合物)或塑膠材料(例如,聚乙烯、聚丙烯、聚偏二氟乙烯(PVDF)、聚四氟乙烯、聚碸、聚氯乙烯(PVC)、聚碳酸酯、及類似者)構成,具有介於約6,000-12,000個非相通的通孔。在許多實施例中,離子電阻元件107係與晶圓實質共同延伸的(例如,當與300 mm晶圓一起使用時,離子電阻元件107具有約300 mm的直徑),且緊鄰於晶圓,例如在晶圓面朝下的電鍍設備中於晶圓正下方。較佳是,晶圓的鍍覆表面在最接近之離子電鍍元件表面的約10 mm之內,更佳是在約5 mm之內。為此,離子電阻元件107的頂部表面可為平坦的或實質平坦的。經常,離子電阻元件107的頂部和底部表面二者為平坦的或實質平坦的。然而,在數個實施例中,離子電阻元件107的頂部表面包含一系列的線形肋部,如以下進一步描述。
如上所述,板107的整體離子電阻和流動阻力取決於板的厚度,以及整體孔隙度(能夠讓流動穿過板的面積分率)與該等孔的尺寸/直徑二者。較低孔隙度的板將具有較高衝擊流速及離子電阻。比較具有相同孔隙度的板,具有較小直徑的1-D孔(且因此有較大數量的1-D孔)的一者因為有更多個別電流來源(其更作用為可分布在相同間隙的點來源)將於晶圓上具有更微均勻(micro-uniform)分布的電流,且亦將具有更高的總壓力降(高黏滯流動阻力)。穿過離子電阻元件107的電解液流動,亦可受到一隔膜存在的影響,該隔膜係平行於離子電阻元件107而設置且與離子電阻元件107物理接觸,如以下進一步探討。
在一些實例中,約1-10%的離子電阻元件107為開通區域,離子電流可穿過該處(且若沒有其他元件阻擋該開口,電解液可穿過該處)。在特別實施例中,約2-5%的離子電阻元件107為開通區域。在一特定例子中,離子電阻元件107的開通區域係約3.2%,且有效總開通截面積約23 cm2 。在一些實施例中,在離子電阻元件107之中所形成的不相通的孔具有約0.01至0.08英寸的直徑。在一些實例中,該等孔具有約0.02至0.03英吋的直徑,或介於約0.03-0.06英吋的直徑。在各種實施例中,該等孔的直徑至多約0.2倍之介於離子電阻元件107與晶圓之間的間隙距離。該等孔在橫剖面上大致為圓形的,但不需要如此。此外,為易於建造,在離子電阻元件107之中的所有孔可具有相同直徑。然而,這非必需的,且隨特定需求可能規定,孔的個別尺寸與局部密度二者可在離子電阻元件表面上變化。
在圖1A及1B中顯示的離子電阻元件107包含延伸出/入頁面的一系列線形肋部115。肋部115有時稱作突起部。肋部115位於離子電阻元件107的頂部表面,且它們定向成使得它們長度(例如,它們的最長維度)垂直於橫向流動電解液的方向。肋部115影響橫向流歧管110之內的流體流動及電流分布。舉例來說,電解液的橫向流大體上侷限於肋部115的頂部表面上方之區域,產生高速率的電解液橫向流。在相鄰肋部115之間的區域中,穿過離子電阻元件107而向上輸送的電流係在輸送至基板表面之前加以重分布而變得更均勻。
在圖1A及1B中,橫向流動電解液的方向為左至右(例如,從側入口113至側出口114),且肋部115係定向成使得它們的長度延伸出/入頁面。在若干實施例中,肋部115可具有介於約0.5 mm到1.5 mm之間的寬度(在圖1A中自左至右量測),在一些實例中,介於約0.25 mm到10 mm之間。肋部115可具有介於約1.5 mm到3.0 mm之間的高度(在圖1A中上下量測),在一些實例中,介於約0.25 mm到7.0 mm。肋部115可具有介於約5/1到2/1之間的高度對寬度高寬比(高度/寬度),在一些實例中,介於7/1到1/7之間。肋部115可具有介於約10 mm到30 mm之間的節距,在一些實例中,介於約5 mm到150 mm。肋部115可具有變化的長度(在圖1A中出/入頁面而量測),其橫跨離子電阻元件107的表面而延伸。介於肋部115的上表面與基板102的表面之間的距離可介於約1 mm到4 mm之間,或介於約0.5 mm到15 mm之間。肋部115可設置在與基板大致共同延伸的一區域之上,如圖1A和1B顯示。在離子電阻元件107中的通道/開口可配置在相鄰肋部115之間,或它們可延伸穿過肋部115(換言之,肋部115可具有或不具有通道於其中)。在一些其他實施例中,離子電阻元件107可具有平坦的上表面(例如,不包含肋部115)。在圖1A和1B中顯示的電鍍設備(包含具有肋部於其上的離子電阻元件)係進一步討論於美國專利第9,523,155號,發明名稱為「ENHANCEMENT OF ELECTROLYTE HYDRODYNAMICS FOR EFFICIENT MASS TRANSFER DURING ELECTROPLATING」,其全部內容藉由參照於此納入。
該設備可針對特定應用依需要包含各種額外元件。在一些實例中,一邊緣流元件可在橫向流歧管之內鄰近基板的周邊而設置。可將邊緣流元件加以形狀設計及配置,以促進靠近基板邊緣的高度電解液流動(例如,橫向流)。邊緣流元件在若干實施例中可為環形的或弧形的,且可為方位角上均勻的或非均勻的。邊緣流元件係進一步探討於美國專利第14/924,124號,其申請於西元2015年10月27日,發明名稱為「EDGE FLOW ELEMENT FOR ELECTROPLATING APPARATUS」,其全部內容藉由參照於此納入。
在一些實例中,該設備可包含一密封構件,用於暫時性密封該橫向流歧管。該密封構件可為環形或弧形的,且可鄰近橫向流歧管的邊緣而設置。一環形密封構件可密封整個橫向流歧管,而一弧形密封構件可密封該橫向流歧管的一部分(在一些實例中,留下側出口為開通)。在電鍍期間,密封構件可重複地接合及脫開,以將橫向流歧管密封及啟封。藉由移動基板固持器、離子電阻元件、前側插件、或與密封構件接合之該設備的其他部分,可使密封構件接合及脫開。密封構件以及調制橫向流的方法進一步探討於以下美國專利申請案,其每一者藉由參照全部納入此處:美國專利申請案第15/225,716號,申請於西元2016年8月1日,發明名稱為「DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURING ELECTROPLATING」;及美國專利申請案第15/161,081號,申請於西元2016年5月20日,發明名稱為「DYNAMIC MODULATION OF CROSS FLOW MANIFOLD DURING ELECTROPLATING」。
在各種實施例中,一或多個電解液噴口可加以設置,以在離子電阻元件上方輸送額外的電解液。電解液噴口可鄰近基板的周邊、或於較靠近基板中心的位置處、或上述二者處輸送電解液。電解液噴口可以任何方位加以定向,且可輸送橫向流動電解液、衝擊電解液、或其組合。電解液噴口進一步描述於美國專利申請案第15/455,011號,申請於西元2017年3月9日,發明名稱為「ELECTROPLATING APPARATUS AND METHODS UTILIZING INDEPENDENT CONTROL OF IMPINGING ELECTROLYTE」,其藉由參照全部納入此處。
圖1C描繪一個問題,其可能發生於使用圖1A及1B顯示的設備進行電鍍之時。在若干實施方式中,介於橫向流歧管110(由於通過側入口113之大量的電解液流,其處於較高壓力)與離子電阻元件歧管111(其處於較低壓力)之間有一壓力差。在一些實例中,壓力差可至少約3000 Pa,或至少約1200 Pa。這些區域係藉由離子電阻元件107分隔開。由於壓力差,輸送通過側入口113的一些電解液,向下/向後行進通過離子電阻元件107之中的開口,進入離子電阻元件歧管111。當電解液靠近側出口114之時,電解液往回向上行進通過離子電阻元件107。換言之,意欲在橫向流歧管中剪切過基板的電解液,旁繞過橫向流歧管,而流穿過離子電阻元件歧管。此不期望的電解液流在圖1C中以虛箭頭線顯示。向下穿過離子電阻元件107的電解液流動是不期望的,因為輸送通過側入口113的電解液係期望在橫向流歧管110之內剪切過基板102的鍍覆面。行進向下穿過離子電阻元件107的任何電解液,不再如所期望的剪切過基板102的鍍覆面。結果是,在基板的鍍覆面處整體低於所欲的對流,以及於基板不同部分上不均勻的對流。這些問題在一些情況下可造成大幅度的電鍍不均勻性。
此處各種實施例關於方法和設備,用於將輸送至橫向流歧管的電解液能夠如就圖1C所述繞過橫向流歧管的程度降低及/或控制。在一些實施方式中,一隔膜係鄰近離子電阻元件而設置。該隔膜將電解液能夠流穿過離子電阻元件的程度降低。在一些實例中,該隔膜可為均勻的,且可覆蓋在離子電阻元件中的所有或實質所有開口。在一些其他實例中,該隔膜可包含一或多個切口,其設計用以使電解液以期望的方式擇路。在一些其他實施方式中,一或多個擋板可設置在離子電阻元件歧管之中,其中該等擋板運作以使電解液可在離子電阻元件歧管內橫跨電鍍槽(例如,在橫向流動電解液的方向上)行進之程度降低。這些實施例每一者將依序探討。

鄰近離子電阻元件的隔膜
在許多實例中,一或多個隔膜可鄰近一離子電阻元件而設置。該隔膜可設置在與該離子電阻元件平行的一平面中,與此元件物理接觸。該隔膜可設置以降低 電解液能夠從橫向流歧管向後流動經由離子電阻元件向下進入離子電阻元件歧管之程度。該隔膜可類似地降低電解液能夠以相反方向從離子電阻元件歧管流動通過離子電阻元件而向上進入橫向流歧管的程度。除了將陽極與基板分離的隔膜(例如,在圖1A-1C的隔膜105)之外,可設置此隔膜,且可針對不同的目的而設置。舉例來說,參照圖1A,隔膜105的功能為分離並提供介於(a)陽極104/陽極腔室112與(b)基板102/離子電阻元件歧管111之間的陽離子交換。與之相比,鄰近離子電阻元件107而設置的隔膜係主要設置以防止電解液如此處所述發生短路(short-circuiting)。
雖然此隔膜可能使電解液衝擊基板表面(例如,在經由離子電阻元件之中的孔噴射之後)的程度降低,與在橫向流歧管內的較高橫向流量(特別是靠近基板的中心)、改善的鍍覆結果非均勻性、及在一些實例中電解液對基板表面的特定部份之有目的擇路相關之益處可能大於此效應。
隔膜可配置在離子電阻元件上方、離子電阻元件下方、或離子電阻元件之內。圖2A描繪一例子,其中隔膜120設置在離子電阻元件107下方;圖2B描繪一例子,其中隔膜120設置在離子電阻元件107上方;且圖2C描繪一例子,其中隔膜120設置在離子電阻元件107a/107b之內。在圖2A的實施例中,離子電阻元件107包含一系列的線形肋部115於其上表面上,且隔膜120配置成與離子電阻元件107的底部表面接觸。在圖2B的實施例中,省略線形肋部115,且離子電阻元件107包含與隔膜120配合的一平坦上表面。在圖2C的實施例中,離子電阻元件由上部107a與下部107b形成,上部107a及下部107b包夾隔膜120。上部107a包含一系列的線形肋部115,但在若干實例中它們可加以省略。
在圖2A-2C每一者中,隔膜120配置成平行於基板102,其亦平行於離子電阻元件107(舉例來說,不包含任何肋部115)。隔膜120係與離子電阻元件107的至少一表面接觸。由於此接觸,隔膜120將在離子電阻元件107中的開口阻擋,使電解液更難以行進通過離子電阻元件107。結果,從側入口113輸送至橫向流歧管110的電解液的較大比例將維持在橫向流歧管110之內,而非向下流通過離子電阻元件107並進入離子電阻元件歧管111而繞過橫向流歧管110。換言之,儘管有橫向流歧管110與離子電阻元件歧管111之間的壓力差,隔膜120運作以在橫向流歧管110內維持高度的橫向流。

隔膜的材料和厚度
該隔膜可由各種材料構成。一般而言,用於隔膜105的任何材料也可用於隔膜120。隔膜105進一步描述於以下美國專利,其每一者藉由參照全部納入此處:美國專利第9,677,190號,發明名稱「MEMBRANE DESIGN FOR REDUCING DEFECTS IN ELECTROPLATING SYSTEMS」;美國專利第6,527,920號,發明名稱「COPPER ELECTROPLATING METHOD AND APPARATUS」;美國專利第6,821,407號,發明名稱「ANODE AND ANODE CHAMBER FOR COPPER ELECTROPLATING」;及美國專利第8,262,871號,發明名稱「PLATING METHOD AND APPARATUS WITH MULTIPLE INTERNALLY IRRIGATED CHAMBERS」。
此隔膜材料使電流能夠輕易地通過該隔膜,同時降低流體能夠通過該隔膜的程度。在各種實例中,該隔膜材料具有相對高的流動阻力因子。舉例來說,該隔膜可呈現在約25 ℃介於約1 – 2.5 GFD/PSI的純水通量。
用於該隔膜的例示材料包含但不限於:次微過濾材料、奈米多孔材料、離子交換材料(例如,陽離子交換材料)等等。這些材料的市售例子包含Dupont Nafion N324、Ion Power Vanadion 20-L、及Koch Membranes HFK-328 (PE/PES)。這些材料提供相當的流動阻力,同時使離子在電動勢的影響下能夠遷移通過該隔膜。
該隔膜應足夠厚而呈機械上穩定,且提供相對高的流動阻力。該隔膜應足夠薄,以使離子電流能夠輕易通過。在一些實施例中,該隔膜可具有厚度(在圖2A-2C中,上下量測)介於約0.1 mm - 0.5 mm。

隔膜框架
在數個實施例中,一隔膜框架可加以設置,以將隔膜固定至離子電阻元件。該隔膜框架可由用以形成陽極腔室隔膜框架106的相同材料任一者所構成,該陽極腔室隔膜框架106支撐隔膜105。用以製作該隔膜框架的材料應對在電鍍期間所使用的化學品有耐受性。例示材料包含但不限於聚乙烯、聚對苯二甲酸乙二酯、聚碳酸酯、聚丙烯、聚氯乙烯、聚苯硫醚等等。在一些實例中,該隔膜框架可使用3D列印技術加以製作。
該隔膜框架應形狀製作成使得其支持隔膜抵住離子電阻元件,且實質允許電流通過該隔膜。許多不同設計是可能的,以下就圖3C-3H進一步探討。
圖3A描繪一電鍍設備,其類似於圖2A顯示者(具有位於離子電阻元件107下方的隔膜120),且加入隔膜框架121於隔膜120下方。圖3B描繪一電鍍設備,其類似於圖2B顯示者(具有位於離子電阻元件107上方的隔膜120),且加入隔膜框架121於隔膜120上方。雖然圖3A及3B將隔膜框架描繪成一實心材料片,要理解的是,該隔膜包含開口,離子電流能夠通過該等開口。
圖3C-3H描繪隔膜框架121的俯視圖,其可在各種實施例中使用。在圖3C中,隔膜框架121包含在一板中所形成的圓形開口150的一圖案。任何數量、尺寸、形狀、及佈局的開口150可加以使用,只要足夠的電流能夠通過該等開口即可。在圖3D中,隔膜121包含一周圍環,其具有彼此交疊的三條線形肋部115。該等肋部115每一者橫過隔膜框架121的中心,形成大的大致三角形的開口150,電流可通過該等開口150。任何數量、尺寸、形狀、及佈局的肋部115/開口150可加以使用。在圖3E中,隔膜框架121包含一周圍環,具有配置成彼此平行的七條線形肋部115。開口150在相鄰的肋部115之間形成。任何數量、尺寸、形狀、及佈局/定向的肋部115/開口150可加以使用。在圖3F中,隔膜框架121包含在一板中所形成的方形開口150的一圖案。此實施例類似於圖3C顯示者,除了開口150的形狀之外。在圖3G中,隔膜框架121為一單純的環,其在隔膜的周邊支持隔膜。任何尺寸的環可加以使用。在圖3H中,隔膜框架121包含定向成彼此平行的第一組肋部115a、及定向成彼此平行的第二組肋部115b,其中第一組肋部115a與第二組肋部115b定向成彼此垂直。在各種實施例中,隔膜框架121可具有介於約10-40%之間或介於約5-75%之間的開通區域。
就圖3C-3H所顯示或描述的隔膜框架121任一者,可在實施此處實施例時加以使用。在一個例子中,圖3A的設備包含就圖3C-3H所顯示或描述的隔膜框架121其中一者。在另一例子中,圖3B的設備包含就圖3C-3H所顯示或描述的隔膜框架121其中一者。
在將一隔膜框架設置在離子電阻元件上方的實例中,隔膜框架可設計以促成在橫向流歧管內的一期望的流動圖案。舉例來說,參照圖3A,離子電阻元件107的上表面包含線形肋部115,其促成在橫向流歧管110之內的高速率橫向流。在圖3B的設備中,將這些肋部115省略,使得隔膜120平躺於離子電阻元件107之上。線形肋部115可替代地設置為隔膜框架121的部分,如圖3I-3K所顯示。圖3I顯示電鍍設備的剖面圖,圖3J顯示在隔膜框架121上方所配置的一橫向流侷限環108的視圖(隔膜框架121在未標記的隔膜120上方),且圖3K顯示在隔膜120之上的隔膜框架121的特寫圖。在圖3I-3K中顯示的隔膜框架121類似於圖3H中顯示者。在此例子中,隔膜框架121包含二組線形肋部,其包含:(i)第一組線形肋部115a,定向成使得它們的長度垂直於橫向流歧管之內的橫向流動電解液的方向;及(ii)第二組線形肋部115b,定向成使得它們的長度平行於橫向流歧管之內的橫向流動電解液的方向。在各種實施例中,該第一組線形肋部115a可在第二組線形肋部115b上方或下方,或與其齊平。在一些實例中,如圖3I及3K可見,將第一組肋部115a(定向成垂直於橫向流動電解液)配置成全體地或部分地在第二組肋部115(定向成平行於橫向流動電解液)上方是有益。第一組線形肋部115a可促進在橫向流歧管110內期望的流動圖案,而第二組肋部115b可用以相對第一組肋部115a提供結構剛性。第一和第二組肋部115a及115b可具有相同或不同的尺寸(例如,一組肋部可較寬、較高等等),且可具有介於肋部之間相同或不同的間隔(例如,一組肋部可相隔較遠)。

隔膜切口
在一些實施例中,隔膜包含一或多個切口,設計以使電解液如所期望擇路通過橫向流歧管及離子電阻元件歧管。在一些實例中,這可加以進行以提供更均勻的電鍍結果。舉例來說,若基板的一個區域經歷少於所欲之鍍覆,可使電解液擇路至此區域以促成較高程度的鍍覆,造成整體更均勻的鍍覆速率。低於所欲的局部鍍覆速率在一些實例中可能是局部厚光阻的結果。在這些或其他實例中,由於在電鍍期間電解液的流動圖案,局部鍍覆速率可能低於所欲的。舉例來說,在一些實例中,靠近基板中心的特徵部,與靠近基板邊緣的特徵部相比,經歷較少的對流,造成靠近基板中心之彎曲/圓頂狀的特徵部,以及靠近基板邊緣平坦/尖銳的特徵部。此非均勻性(例如,一般稱為晶圓內非均勻性)是不期望的。無論原因如何,此非均勻性可藉由在鄰近離子電阻元件的隔膜中包含一或多個切口而加以減輕,其中該等切口使電解液以所欲方式擇路。
圖4A描繪一電鍍設備,具有一隔膜120,該隔膜120具有一第一切口125及一第二切口126。在一些實施例中,第一和第二切口125及126可實施成如圖4H及4I所顯示。第一切口125配置成靠近側入口,且第二切口126配置成靠近基板中心。在電鍍期間,通過側入口113輸送的一些電解液,向下行進通過離子電阻元件107,通過在隔膜120之中的第一切口125,通過隔膜框架121,而進入離子電阻元件歧管111。電解液接著向上通過隔膜框架121,通過在隔膜120之中的第二切口126,通過離子電阻元件107,而回到橫向流歧管110之中。結果是,原來將靠近側出口114而穿過離子電阻元件107的電解液(例如,若省略隔膜120的情況下),替代為鄰近基板的中心擇路向上返回穿過離子電阻元件107,對靠近基板中心之基板的鍍覆面提供額外的對流。此技術特別有利於在電鍍期間基板中心較基板邊緣經歷相對小對流之實施例。此技術亦有利於對抗局部厚光阻。舉例來說,該等切口可設計成使得,電解液於基板上光阻局部厚(例如,較基板上其他位置處厚)之區域附近的位置處,向上擇路穿過隔膜120/離子電阻元件107。此增加的局部對流,對抗原本由非均勻光阻沉積所造成的鍍覆非均勻性。
圖4B-4J描繪隔膜的俯視圖,該等隔膜可在各種實施例中使用,其中各隔膜包含一或多個切口。該等切口係形狀設計成且配置成使電解液從橫向流歧管依期望擇路至離子電阻元件歧管,反之亦然。該隔膜係以斑點背景顯示,而切口以白色顯示。在圖4B-4J中,鄰近側入口的隔膜的部分係標示以「i」,且鄰近側出口的隔膜的部分係標示以「o」。在使用單一切口的實例中,切口的一個區域(例如,靠近側入口)可用以使電解液從橫向流歧管向下擇路至離子電阻元件歧管,而該切口的第二區域(例如,較遠離側入口)可用以使電解液從離子電阻元件歧管向上擇路至橫向流歧管。在使用多個切口的實例中,一或多個切口(例如,靠近側入口)可用以使電解液從橫向流歧管向下擇路至離子電阻元件歧管,且一或多個其他切口(例如,較遠離側入口,在一些實例中,靠近隔膜的中心或靠近側出口)可用以使電解液從離子電阻元件歧管向上擇路至橫向流歧管。向上向下通過隔膜的流動可由於電解液流動和壓力差而自然形成。
在圖4B中,隔膜包含單一切口,其從靠近側入口的一區域延伸至位在或靠近基板/隔膜中心的一區域。在圖4C中,隔膜包含與側入口鄰近/對準的半圓形切口,且在圖4D中,隔膜包含與側出口鄰近/對準的半圓形切口。在圖4E及4F中,隔膜為新月形的,且與側出口鄰近/對準(圖4E)或與側入口鄰近/對準(圖4F)。在圖4G中,隔膜包含鄰近基板/隔膜中心的單一圓形切口。在圖4H及4I中,隔膜包含鄰近側入口的第一切口及鄰近基板/隔膜中心的第二切口。在圖4J中,隔膜包含靠近側入口的數個圓形切口,及靠近基板/隔膜中心的單一圓形切口。各種隔膜切口設計可用以依期望使電解液擇路至基板表面的所欲部分。
除了用以使電解液在橫向流歧管與離子電阻元件歧管之間擇路的切口(例如,如就圖4A-4J所述)之外,此處所述隔膜、隔膜框架、及離子電阻元件任一者可包含與側入口對準的一入口開口,用以確保這些元件不阻擋電解液進入/通過側入口。圖4K及4L描繪具有一入口切口127的一隔膜120的不同視圖。該入口切口127係加以形塑及配置以對準側入口113。在此實施例中,離子電阻元件107、隔膜框架121、及隔膜20各自包含一開口/通路,電解液在輸送至側入口113時可流經該開口/通路。類似的開口/通路顯示於其他圖中,例如電解液朝側入口113行進時流經的垂直之桿/開口(舉例來說,參見圖1B)。返回圖4L,側入口歧管128主要形成為在離子電阻元件107之中的一腔。側入口歧管128的頂部表面包含一噴淋頭129,具有電解液流經的數個孔。隔膜框架121配置在隔膜120頂部之上及噴淋頭129頂部之上。噴淋頭129配置在隔膜120之中的入口切口127處。
以下探討的實驗結果顯示此處所述的隔膜在改善電鍍結果上非常有用,舉例來說,產生更期望的電解液流動及更高品質、更均勻的鍍覆結果。

擋板
在一些實施例中,一或多個擋板可在離子電阻元件歧管中加以設置,以降低如上所述電解液不期望地繞過橫向流歧管的程度。該等擋板可形成為離子電阻元件、鄰近離子電阻元件的隔膜框架、鄰近陽極腔室的隔膜框架、背側插件、或獨立硬體件的部分。該等擋板可設置在一起呈單一單元,或可個別設置。典型上,該等擋板定向成與橫向流歧管之內橫向流動電解液之方向垂直。在離子電阻元件或隔膜框架包含一系列線形肋部的實例中,線形肋部及擋板可定向成它們的長度彼此平行。該等擋板亦可稱為牆。
圖5A描述一電鍍設備,包含一系列的擋板130於離子電阻元件歧管111之中。擋板130將離子電阻元件歧管111分割成數個擋板區域139。在此例子中,擋板130由離子電阻元件107形成。擋板130從離子電阻元件107的主體向下垂直延伸,且亦延伸進/出頁面。在圖5A中,擋板130係加以形塑和間隔,以與離子電阻元件107的上表面上的肋部115對應,但這不總是如此。擋板130可與陽極腔室隔膜框架106配合。在電鍍期間,擋板130防止電解液在離子電阻元件歧管111之內橫跨電鍍槽(例如,在圖5A中左至右)而流動。結果是,輸送至側入口113之較大比例的電解液係維持在橫向流歧管110之內,而非洩漏穿過離子電阻元件107而進入離子電阻元件歧管111(如擋板不存在的情況下將發生的)。
在一些實例中,僅使用單一擋板。該擋板可靠近側入口,靠近基板的中心,或靠近側出口。在其他實例中,可使用二個、三個、四個、五個、六個、或更多的擋板。該等擋板可均勻地或非均勻地分隔開。在一些實例中,介於相鄰擋板之間的距離係介於約10 mm – 30 mm之間,或介於約5mm – 150 mm之間。各擋板的寬度(在圖5A中左至右加以量測)可介於約0.5 mm – 1.5 mm之間,或介於約0.25 mm – 3 mm之間。該等擋板可具有不同的尺寸,舉例來說,使得各擋板於其所在的位置處係與離子電阻元件歧管的形狀相匹配。在一些實例中,該等擋板一路延伸到離子電阻元件的邊緣(或隔膜或隔膜框架,若存在於離子電阻元件的正下方的話),一路延伸到界定陽極腔室之隔膜框架的邊緣,且一路延伸橫跨電鍍槽。此等擋板對流動提供非常高的阻力,這是因為對電解液來說沒有空間來擠壓繞過此等擋板。
在其他實例中,該等擋板可為延伸較少的。舉例來說,它們可能未一路向下延伸至界定陽極腔室的隔膜框架,及/或它們可能未一路延伸出去至電鍍腔室的邊緣。在這些實例中,該等擋板對電解液流動提供阻力,但不如先前例子般大。在一些實施例中,期望的是,在靠近陽極腔室的隔膜上提供增加的對流/澆灌。圖5G描繪一電鍍設備,其類似於圖5A中顯示者,除了擋板130沒有到達陽極腔室隔膜框架106。當一間隙設置在各擋板130的邊緣與陽極腔室隔膜框架106之間時,電解液穿過該間隙而從一擋板區域139移動至另一者,如彎曲的箭頭所顯示。因為各間隙靠近隔膜105,行進通過各間隙的電解液,當從一擋板區域139行進至另一者時,作用以澆灌隔膜105。此技術可改善電鍍結果,且可延長各隔膜105的可使用期限。
圖5B及5C描述一背側插件135,包含一系列的擋板130。圖5B顯示從下方觀看的背側插件135,且圖5C顯示從上方觀看的背側插件135,其中背側插件135安裝在離子電阻元件107下方及陽極腔室隔膜框架106上方。術語背側插件意指鄰近離子電阻元件的背側(例如,下側/低側)而安裝的一硬體件。該背側插件可加以夾持於陽極腔室隔膜框架106與離子電阻元件107之間。
在若干實施方式中,支撐界定陽極腔室之隔膜的隔膜框架可加以修改以與擋板配合。圖5D描繪一陽極腔室隔膜框架106,其具有一系列的凹口137形成於其中。該等凹口137的形狀和尺寸各自建構以承接擋板130的邊緣。圖5E描繪例示擋板130,其實施成個別的獨立件。這些擋板130(或其他者)可在陽極腔室隔膜框架106中由凹口137加以支撐。類似的凹口137可提供在離子電阻元件的下表面上,或在一隔膜框架(例如,如圖3A或4A所顯示的隔膜框架121)的下表面上,以支撐擋板130的上邊緣。
圖5F描繪一電鍍設備,其類似於圖5A顯示者,且加入與入口116連接的一個具溝槽的引入部140,其提供電解液至各擋板區域139。具溝槽的引入部140可將電解液朝離子電阻元件107向上輸送,朝隔膜105向下輸送,以一角度朝擋板130輸送,或以上的若干組合。在一些實例中,輸送通過具溝槽的引入部140的電解液用以澆灌在陽極腔室112附近的隔膜105。具溝槽的引入部140亦用以在離子電阻元件歧管111的各個不同的擋板區域139之中增加對流/循環。
在一些實施例中,在離子電阻元件歧管之中的擋板可設置為陽極腔室隔膜框架的一部分。在此等實例中,陽極腔室隔膜框架可稱為流聚焦(flow focusing)隔膜框架。
圖5H描繪電鍍設備101的一部分,其中流聚焦隔膜框架145係配置成包含擋板130。擋板130在離子電阻元件歧管111之內垂直延伸,離子電阻元件歧管111介於離子電阻元件107與位在流聚焦隔膜框架145正下方的隔膜105之間。如上所述,擋板130通常加以定向,使得它們的長度係垂直於在橫向流歧管中的橫向流動電解液的方向。雖為清楚起見未特別標示於圖5H中,理解的是,橫向流歧管位於基板102的下方及離子電阻元件107的上方。
在圖5H的例子中,相鄰的擋板130以支撐構件彼此連接。在此例子中,支撐構件一路向下延伸至隔膜105,但不一路向上延伸至離子電阻元件107。在其他實例中,該等支撐構件可一路向上延伸至離子電阻元件107,且/或可不一路向下延伸至隔膜105。在圖5H中,隔膜105以錐狀加以配向,該錐的尖部於隔膜105的中心指向下方。支撐構件及擋板130的底部表面係傾斜的,使得它們與隔膜105的形狀匹配。
開口141界定於流聚焦隔膜框架145之中,介於相鄰擋板130與支撐構件之間。開口141可針對特定應用依需要具有各種形狀和尺寸。在圖5H的實施例中,開口141當自上方觀看時為矩形。
圖5H亦描繪配置在陽極腔室112之中的陽極104,以及配置在基板固持器103之上的基板102。基板固持器103係顯示在鍍覆位置,但可向上升起以裝載/卸載基板。當在鍍覆位置時,如所顯示,基板固持器103鄰近前側插件108。前側插件108可至少部分配置於基板固持器103的徑向外側,如所顯示。在此例子中,背側插件135為環形的,且與基板固持器103大致共同延伸,其直徑大約等於離子電阻元件歧管111的直徑。背側插件135位於離子電阻元件107下方,在流聚焦隔膜框架145的上部的徑向內部。背側插件135可用於電流屏蔽。
圖5I描述流聚焦隔膜框架145,其類似於圖5H中所顯示者。在此例子中,在流聚焦隔膜框架145之中的開口141係圓形的,且以蜂巢圖案加以配置。擋板130係加以形塑,以從離子電阻元件107垂直延伸至隔膜105,如圖5H中所顯示。圖5I亦描繪在流聚焦隔膜框架145的周邊區域之中的二個弧形開口142。弧形開口142在一些實例中可用以使電解液擇路。
在若干實例中,流聚焦隔膜框架的擋板不一路延伸橫跨離子電阻元件歧管的寬度。此構造的一個優點是,單一流聚焦隔膜框架可用於以不同的背側插件電鍍不同的基板。舉例來說,背側插件可加以設計,以具有針對特定應用的特定幾何形狀(例如,內直徑)。不同的應用可利用不同尺寸的背側插件。流聚焦隔膜框架可加以設計,以可互換的方式與各種背側插件配合,以使流聚焦隔膜框架的可用性最大化。
圖5J和5K呈現根據若干實施方式的背側插件135的不同視圖。背側插件135包含一系列的突部143。該等突部143係加以配向以與流聚焦隔膜框架145的擋板130的邊緣配合,如圖5L所顯示。針對不同尺寸的背側插件135,突部143的長度可不相同,藉此允許各背側插件135與單一流聚焦隔膜框架145介接,以增加彈性並降低設備成本。為了確保不同的背側插件135可與流聚焦隔膜框架145可互換地配合,擋板130的上邊緣可延伸少於離子電阻元件歧管的全寬度,如圖5L所顯示。在背側插件135之上的突部143可從而配置成與擋板130的上邊緣鄰近,藉此確保擋板130有效地延伸橫跨離子電阻元件歧管的全寬度。
在若干實施例中(未顯示),該設備可包含(i)與離子電阻元件物理接觸的一隔膜(例如,如就圖2A-4L任一者所描述)、及(ii)一或多個擋板(例如,如就圖5A-5G所描述)。

電鍍系統
此處所述方法可藉由任何適合的系統/設備執行。適合的設備包含根據本案實施例用於達成該製程操作的硬體、及具有控制製程操作之指令的系統控制器。舉例來說,在一些實施例中,該硬體可包含一或多個製程站,該一或多個製程站包含於一製程機台中。
電沉積設備900的一個實施例在圖9中加以示意描繪。在此實施例中,電鍍設備900具有呈成對或多個「雙重站(duet)」配置的一組電鍍槽907,其各自容納一電鍍浴液。除了電鍍本身,電沉積設備900可執行各種其他電鍍相關的製程及子步驟,例如,旋轉潤洗、旋轉乾燥、金屬與矽的濕式蝕刻、無電鍍沉積、預濕式與預化學處理、還原、退火、電蝕刻和/或電拋光、光阻剝除、及表面預活化。電沉積設備900在圖9中以俯視而示意顯示,且在圖中僅單一層或「層面」加以揭示,但在此技術領域具有通常知識者容易理解的是,此一設備,例如Lam SabreTM 3D機台,可具有「堆疊」於彼此頂部的二或更多層,各自可能具有相同或不同類型的處理站。
再次參照圖9,待電鍍之基板906一般透過前端裝載之FOUP 901饋入電沉積設備900,且在此範例中係藉由前端機器人902從FOUP被帶到電沉積設備900之主要基板處理區域,前端機器人902可抽出在複數維度上受到轉軸903所驅動之基板906,並將基板906從可存取站(二前端可存取站904以及同樣的二前端可存取站908係顯示於此範例)之一站移動到另一者。前端可存取站904及908可包含例如預處理站、及旋轉潤洗乾燥(SRD)站。前端機器人902從一側到另一側之側向運動係利用機器人軌道902a所完成。基板906之每一者可藉由連接至馬達(未顯示)之轉軸903所驅動之杯體/錐體組件(未顯示)所固持,且該馬達可附接至安裝托架909。亦顯示於此範例的是電鍍槽907之四個「雙重站」,總共八電鍍槽907。系統控制器(未顯示)可耦接到電沉積設備900以控制電沉積設備900之一些或所有性質。可將系統控制器程式化或以其它方式配置成根據在此先前所述之製程而執行指令。
系統控制器
在一些實施方式中,控制器為系統的一部分,其可為前述範例之一部分。此種系統可包含半導體處理設備,其包括:一個以上處理機台、一個以上腔室、用於處理的一個以上平台、及/或特定處理元件(晶圓支座、氣流系統等等)。這些系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、與之後,控制系統的操作。該等電子設備可稱為「控制器」,其可控制一個以上系統的各種元件或子部件。根據處理要求及/或系統的類型,可將控制器加以編程,以控制此處揭露之任何製程,包括處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位與操作設定、進出機台及其他傳送機台及/或與特定系統連接或介接的負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式與控制器通訊的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施方式中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或以其他方式網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦可達成對該系統之遠端存取,以監視製造操作之目前進展、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及將控制器配置所介接或控制的機台類型。因此,如上所述,控制器可為分散式,例如藉由包含一或更多獨立的控制器,其透過網路連接在一起並朝共同的目標而作業,例如此處所述之製程及控制。用於此類用途的分散式控制器的範例可為腔室上之一或更多的積體電路,該積體電路與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路通訊,其結合以控制腔室上的製程。
在沒有限制的情況下,例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉潤洗腔室或模組、金屬鍍覆腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據待由機台執行之一個以上製程步驟,控制器可與下列一或多者通訊:其他機台電路或模組、其他機台元件、叢集機台、其他機台介面、相鄰機台、鄰近機台、遍及工廠的機台、主電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的機台位置及/或裝載埠的用於材料傳送之機台。
上述各種硬體及方法實施例可結合微影圖案化機台或製程而加以使用,舉例來說,用於製造或生產半導體元件、顯示器、LED、光伏板等等。通常,雖非必要,此等機台/製程可在一共同的製造設施中一起加以使用或進行。
一膜的微影圖案化通常包含一些或所有以下操作,每一操作係以若干個可能的機台進行:(1)利用旋塗或噴塗機台,塗佈光阻於工件(例如,具有矽氮化物膜形成於其上的基板)之上;(2)使用一熱板或爐或其他適合的固化機台固化光阻;(3)使用例如晶圓步進器之機台,將光阻暴露於可見光或UV或X光;(4)使用例如濕台或噴塗顯影器之機台,將光阻顯影以選擇性移除光阻且藉此將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻機台,將光阻圖案轉移至下層膜或工件之中;及(6)使用例如RF或微波電漿光阻剝除器之機台,將光阻移除。在一些實施例中,在塗佈光阻之前,可沉積一可灰化硬遮罩層(例如非晶碳層)及另一適合的硬遮罩(例如抗反射層)。
在此申請案中,術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「半成品積體電路」係可互換地加以使用。在此技術領域具有通常知識者理解,術語「半成品積體電路」可意指在一矽晶圓上的許多積體電路製造階段任一者期間的該矽晶圓。在半導體元件工業中使用的晶圓或基板通常具有200 mm、或300 mm、或450 mm的直徑。又,術語「電解液」、「鍍覆浴液」、「浴液」、及「鍍覆溶液」係可互換使用。實施方式章節假定該等實施例在晶圓上實施。然而,該等實施例非如此受限的。該工件可具有各種形狀、尺寸、及材料。除了半導體晶圓,與此處所揭露實施方式可一起使用的其他工件包含各種物件,例如印刷電路板、磁性記錄媒體、磁性記錄感測器、鏡、光學元件、微機械元件、及類似者。
在上述說明中,描述許多特定細節以提供所發表實施例的完整理解。所揭露實施例可在不具有若干或全部這些特定細節的情況下加以實行。另一方面,眾所周知的製程操作不詳細描述,以免不必要地混淆所揭露實施例。雖然所揭露實施例結合特定實施例加以描述,要理解的是,這並無意圖限定所揭露實施例。
除非針對特定參數另外定義,此處所使用術語「約」及「大約」係意欲意指相對於一相關數值的±10%。
要理解的是,此處所述構造及/或方式本質上為例示性的,且這些特定實施例或例子不以限制意義考量,因為許多變化是可能的。此處所述特定程序或方法可代表任何數量的處理策略的一或多者。因此,所描述各種動作可以所述順序執行,以其他順序執行,平行執行,或在一些實例中加以省略。類似地,上述製程的順序可加以改變。若干參考文件已藉由參照納入此處。要理解的是,在此等參考文件中的任何排除或拒絕聲明不必然適用於此處所述實施例。類似地,在此等參考文件中依需要所述的任何特徵可在此處實施例中加以省略。
本揭露內容的申請標的包含此處揭露之各種製程、系統及構造、及其他特徵、功能、動作、及/或特性的所有新穎性及進步性組合及次組合,以及任何及所有的其均等者。
實驗
圖6A及6B描繪在如圖1A-1C所顯示的一設備中鍍覆的特徵部。特別是,圖6A顯示靠近基板邊緣而鍍覆的一特徵部,而徒6B顯示靠近基板的中心而鍍覆的一特徵部。在圖6A中的特徵部,與圖6B中較為圓頂狀的特徵部相比,顯著較平坦/較尖銳。不期望受限於理論或動作的機制,吾人相信,與圖6A位於邊緣的特徵部相比,在圖6B中位於中心的特徵部,因為在電鍍期間經歷相對較低的對流而呈圓頂狀。
此處所述數個實施例,藉由在具有銅晶種層於其上的未圖案化基板上執行一靜態轉印而進行測試。為執行靜態轉印,一基板係裝載進充滿酸性富氧溶液的一電鍍設備。將此溶液,以與在電鍍期間電解液流過該設備的相同方式,流過該設備。此溶液若干程度地溶解銅晶種層,且經歷較高對流的區域顯示較高程度的蝕刻。在靜態轉印期間,無電流或電位施加至基板。基板在靜態轉印期間未加以旋轉。
圖7A描繪在如圖1A-1C中所顯示的電鍍設備上取得的一靜態轉印。在卵形中顯示的基板區域,與基板的其餘部分相比,顯著較受到蝕刻。這些結果意味著,經由側入口113所輸送的溶液的一部分繞過橫向流歧管110的大部分,而代之以流過離子電阻元件進入離子電阻元件歧管111。該溶液向上往回行進穿過離子電阻元件107而在靠近側出口114的區域進入橫向流歧管110,如圖1C顯示。向上往回行進穿過離子電阻元件107的溶液衝擊基板表面,造成與基板其他區域相比在卵形區域中更大幅度的蝕刻。
圖7B描繪在圖3A中顯示的電鍍設備上所擷取的一靜態轉印。該設備包含:一隔膜120,配置在離子電阻元件107正下方且與其物理接觸;及一隔膜框架121,為環狀且於隔膜周邊支撐隔膜120。在此例子中,無證據顯示溶液靠近側出口114穿過離子電阻元件107向上噴注。替代地,基板的中心(圈起處)顯示與基板邊緣相比相對較大程度的蝕刻,顯示於基板中心處改善的橫向流動。這些結果意味著,使用鄰近離子電阻元件之隔膜,可實質防止此處所述流動旁繞問題,且可實質改善靠近基板中心的橫向流動。
圖7C顯示在如圖4A中所顯示的電鍍設備上所擷取的靜態轉印,該電鍍設備使用圖4H中顯示的隔膜120(此隔膜包含靠近側入口113的第一開口、及靠近基板/隔膜120中心的第二開口)。在此例子中,無證據顯示溶液靠近側出口114向上噴注通過離子電阻元件107。由於使溶液擇路向下通過在隔膜120中的第一開口(靠近側入口113的開口)且接著向上返回通過在隔膜120中的第二開口(靠近基板/隔膜120中心的開口),此等結果顯示靠近基板120中心之溶液大量噴注。這些結果意味著,此處所述的隔膜切口可用以使電解液擇路至一期望的基板區域,舉例來說,靠近對流在其他情況下相對低的基板中心。
圖7D描繪,使用在圖4B中顯示的隔膜120(此隔膜包含單一開口,其從靠近側入口113處延伸至靠近基板/隔膜120的中心處),在如圖4A中顯示的電鍍設備上所擷取的靜態轉印。無證據顯示溶液靠近側出口114向上噴注穿過離子電阻元件107。有一些證據顯示流體靠近基板/隔膜120的中心(圈起處)向上噴注通過離子電阻元件107。此噴注不如圖7C中顯著。這些結果意味著,具有單一開口的隔膜可用以依期望使電解液擇路,改善靠近基板中心的橫向流動。
圖8顯示實驗結果,描述針對在此處所述各種設備中所鍍覆的基板之特徵部內非均勻度。具體而言,實例A關於如在圖1A-1C中顯示的一設備(例如,不包含與離子電阻元件107接觸之隔膜或擋板的一設備)。實例B關於圖4A中顯示的一設備,具有圖4B中顯示的隔膜120。實例C關於如圖5A中顯示的一設備,具有在離子電阻元件歧管111中的一系列的擋板130。在實例A中,其中沒有設置鄰近離子電阻元件的隔膜或擋板,特徵部內非均勻度係非常高(例如,上達60 μm)且變化的。在實例B中,其中設置一隔膜與該離子電阻元件接觸,特徵部內的非均勻度係低得多(例如,低於約13 μm),且具有非常低的變異性。類似地,在實例C中,其中在離子電阻元件歧管中設置擋板,特徵部內非均勻度係相當低(例如,低於約15 μm),且具有非常低的變異性。實例B顯示最佳的結果(最低且最少變化的非均勻度),但實例C的結果也非常好。這些結果顯示,此處所述技術可成功地實施而改善電鍍結果,特別是特徵部內的非均勻度。
101‧‧‧電鍍槽
102‧‧‧基板
103‧‧‧基板固持器
104‧‧‧陽極
105‧‧‧隔膜
106‧‧‧隔膜框架
107‧‧‧離子電阻元件
107a‧‧‧離子電阻元件的上部
107b‧‧‧離子電阻元件的下部
108‧‧‧前側插件
109‧‧‧堰牆
110‧‧‧橫向流歧管
111‧‧‧離子電阻元件歧管
112‧‧‧陽極腔室
113‧‧‧側入口
114‧‧‧側出口
115‧‧‧肋部
115a‧‧‧肋部
115b‧‧‧肋部
116‧‧‧入口
120‧‧‧隔膜
121‧‧‧隔膜框架
125‧‧‧切口
126‧‧‧切口
127‧‧‧入口切口
128‧‧‧側入口歧管
129‧‧‧噴淋頭
130‧‧‧擋板
135‧‧‧背側插件
137‧‧‧凹口
139‧‧‧擋板區域
140‧‧‧具溝槽的引入部
141‧‧‧開口
142‧‧‧開口
143‧‧‧突部
145‧‧‧流聚焦隔膜框架
150‧‧‧開口
900‧‧‧電沉積設備
901‧‧‧FOUP
902‧‧‧前端機器人
903‧‧‧轉軸
902a‧‧‧機器人軌道
904‧‧‧可存取站
906‧‧‧基板
907‧‧‧電鍍槽
908‧‧‧可存取站
909‧‧‧安裝托架
圖1A描繪一電鍍設備,其利用在電鍍期間於基板表面上的橫向流及衝擊流的組合。
圖1B顯示穿過在圖1A中所顯示電鍍設備的電解液流動。
圖1C描繪,當使用圖1A及1B中顯示之設備進行電鍍時,在一些情況下可能產生的流動旁繞問題。
圖2A描繪一電鍍設備,包含在一離子電阻元件正下方的一隔膜;圖2B描繪一電鍍設備,包含在離子電阻元件正上方的一隔膜;及圖2C描繪一電鍍設備,包含夾設於一離子電阻元件的二個部分之間的一隔膜。
圖3A顯示一電鍍設備,包含在一離子電阻元件正下方的一隔膜及隔膜框架;且圖3B顯示一電鍍設備,包含在一離子電阻元件正上方的一隔膜及隔膜框架。
圖3C-3H描繪根據實施例的各種隔膜框架。
圖3I描繪一電鍍設備,具有位在一離子電阻元件正上方的一隔膜及一隔膜框架,其中該隔膜框架包含在其上表面的一系列的線形肋部。
圖3J及3K描繪一隔膜框架,具有在其上表面上的二組垂直定向的線形肋部。
圖4A顯示一電鍍設備,具有位在一離子電阻元件正下方的一隔膜及一隔膜框架,其中該隔膜框架包含切口(cutout),設計用於以所欲方式使電解液擇路。
圖4B-4J根據各種實施例描繪具有切口的數個隔膜。
圖4K顯示在一離子電阻元件之上的一隔膜,其中該隔膜包含一入口切口,當將電解液輸送至側入口時該電解液可流過該入口切口。
圖4L描繪在一離子電阻元件中所形成的一入口歧管的特寫圖。
圖5A描繪一電鍍設備,包含在一離子電阻元件歧管中的一系列擋板。
圖5B描繪根據若干實施方式包含一系列擋板的一背側插件。
圖5C描繪圖5B的背側插件,安裝在一離子電阻元件下方且在界定一陽極腔室的一隔膜框架上方。
圖5D顯示界定一陽極腔室的一隔膜框架,其中該隔膜框架包含用於容納擋板的邊緣部之凹口。
圖5E根據若干實施例顯示實施成獨立件的數個擋板。
圖5F顯示類似於圖5A顯示的電鍍設備,其中加入一個具溝槽的入口,其輸送電解液至各擋板區域。
圖5G顯示類似於圖5A顯示的電鍍設備,其中擋板沒有一直延伸到隔膜框架,使得電解液可在擋板下方行進而澆灌界定陽極腔室的隔膜。
圖5H描述一實施例,其中擋板係設置在離子電阻元件歧管中,其中該等擋板形成為一陽極腔室隔膜框架的部分,該陽極腔室隔膜框架亦稱為流聚焦隔膜框架。
圖5I根據一實施例描繪包含擋板的一陽極腔室隔膜框架的視圖。
圖5J及5K根據若干實施例描繪具有突部的背側插件,該等突部建構以與擋板的邊緣部配合。
圖5L根據若干實施例顯示一背側插件,其與一陽極腔室隔膜框架配合。
圖6A及6B在如圖1A中顯示的電鍍設備中加以鍍覆的特徵部。
圖7A-7D顯示在如此處所述的各種電鍍設備中所處理的基板上所擷取的靜態印記結果。
圖8呈現實驗資料,描述針對在如此處所述各種電鍍設備中所處理的基板之特徵部內非均勻性。
圖9顯示一電鍍設備,具有數個不同電鍍槽及模組於其中。

Claims (22)

  1. 一種電鍍設備,包含: (a) 一鍍覆腔室,建構以在將金屬電鍍至一基板上期間容納電解液及一陽極,該基板為實質平面狀的; (b) 一基板固持器,建構以支撐該基板,俾使在鍍覆期間該基板的一鍍覆面浸入該電解液之中且與該陽極分隔開; (c) 一離子電阻元件,適用以在電鍍期間提供 通過該離子電阻元件的離子傳輸,其中該離子電阻元件為包含複數通孔的一板; (d) 一橫向流歧管,配置在該離子電阻元件上方,且當該基板存在於該基板固持器之中時在該基板的該鍍覆面下方; (e) 一陽極腔室隔膜框架,配置在該離子電阻元件下方,該陽極腔室隔膜框架係建構以與一陽極腔室隔膜配合;及 (f) 一離子電阻元件歧管,配置在該離子電阻元件下方且當該陽極腔室隔膜存在時在該陽極腔室隔膜上方,其中,該離子電阻元件歧管包含複數擋板區域,該複數擋板區域係藉由垂直定向的複數擋板而彼此至少部分地分隔開,其中各擋板從鄰近該離子電阻元件的一第一區域延伸至鄰近該陽極腔室隔膜的一第二區域。
  2. 如申請專利範圍第1項之電鍍設備,其中該等擋板在與介於一個側入口和一個側出口之間的一方向垂直的一方向上線性延伸橫跨該離子電阻元件歧管,其中該側入口和該側出口適用以在電鍍期間在該橫向流歧管之中產生橫向流動電解液。
  3. 如申請專利範圍第2項之電鍍設備,更包含與該陽極腔室隔膜框架接觸的該陽極腔室隔膜,其中該陽極腔室隔膜在電鍍期間將該陽極與該基板分隔開。
  4. 如申請專利範圍第3項之電鍍設備,其中各擋板的一上部區域係物理接觸該離子電阻元件或與該離子電阻元件鄰近的一框架。
  5. 如申請專利範圍第1-4項任一項之電鍍設備,其中在電鍍期間,該等擋板運作以降低從該橫向流歧管行進經過該離子電阻元件而進入該離子電阻元件歧管之電解液的量。
  6. 如申請專利範圍第1-4項任一項之電鍍設備,其中該陽極腔室隔膜框架包含該等擋板。
  7. 如申請專利範圍第6項之電鍍設備,更包含一背側插件,配置在該離子電阻元件與該陽極腔室隔膜框架之間,其中該背側插件包含複數突部,該複數突部定向成與該等擋板平行且建構以與該等擋板配合。
  8. 如申請專利範圍第1-4項任一項之電鍍設備,其中該等擋板不一路延伸至該陽極腔室隔膜框架。
  9. 如申請專利範圍第1-4項任一項之電鍍設備,其中該離子電阻元件包含該等擋板。
  10. 如申請專利範圍第1-4項任一項之電鍍設備,更包含一背側插件,配置在該離子電阻元件與該陽極腔室隔膜框架之間,其中該背側插件包含該等擋板。
  11. 如申請專利範圍第1-4項任一項之電鍍設備,更包含一背側插件,配置在該離子電阻元件與該陽極腔室隔膜框架之間,其中該等擋板係與該離子電阻元件、該陽極腔室隔膜框架、及該背側插件皆不呈一體的可拆卸件,且其中該等擋板安裝進入該離子電阻元件、該陽極腔室隔膜框架、及該背側插件其中至少一者之中的凹口中。
  12. 一種電鍍設備,包含: (a) 一鍍覆腔室,建構以在將金屬電鍍至一基板上期間容納電解液及一陽極,該基板為實質平面狀的; (b) 一基板固持器,建構以支撐該基板,俾使在鍍覆期間該基板的一鍍覆面浸入該電解液之中且與該陽極分隔開; (c) 一離子電阻元件,適用以在電鍍期間提供 通過該離子電阻元件的離子傳輸,其中該離子電阻元件為包含複數通孔的一板; (d) 一橫向流歧管,配置在該離子電阻元件上方,且當該基板存在於該基板固持器之中時在該基板的該鍍覆面下方; (e) 一隔膜,與該離子電阻元件物理接觸,其中該隔膜適用以在電鍍期間提供 通過該隔膜的離子傳輸,且其中該隔膜適用以在電鍍期間降低通過該離子電阻元件的電解液流動。
  13. 如申請專利範圍第12項之電鍍設備,其中該隔膜為平面狀的,且配置在與該離子電阻元件平行的一平面之內。
  14. 如申請專利範圍第12項之電鍍設備,其中該隔膜將在該離子電阻元件之中所有的該複數通孔加以覆蓋。
  15. 如申請專利範圍第12項之電鍍設備,其中該隔膜包含一或多個切口區域,俾使該隔膜將在該離子電阻元件之中該複數通孔的僅其中一些加以覆蓋。
  16. 如申請專利範圍第15項之電鍍設備,其中該隔膜包含一第一切口區域,其靠近該離子電阻元件的中心。
  17. 如申請專利範圍第16項之電鍍設備,其中該隔膜包含一第二切口區域,其靠近該橫向流歧管的側入口。
  18. 如申請專利範圍第15項之電鍍設備,其中該切口區域為方位角非均勻的。
  19. 如申請專利範圍第12-18項任一項之電鍍設備,其中該隔膜位在該離子電阻元件下方。
  20. 如申請專利範圍第12-18項任一項之電鍍設備,其中該隔膜位在該離子電阻元件上方。
  21. 如申請專利範圍第12-18項任一項之電鍍設備,更包含一隔膜框架,建構以將該隔膜置放成與該離子電阻元件物理接觸。
  22. 如申請專利範圍第21項之電鍍設備,其中該隔膜係配置在該離子電阻元件上方,其中該隔膜框架係配置在該隔膜上方;且其中該隔膜框架包含第一組肋部,該第一組肋部係線形的且彼此平行,並且在與在該橫向流歧管內的橫向流動電解液的方向垂直的一方向上延伸。
TW107128924A 2017-08-21 2018-08-20 電鍍期間用於流動隔離與集中的方法及設備 TWI794273B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762548116P 2017-08-21 2017-08-21
US62/548,116 2017-08-21
US16/101,291 US11001934B2 (en) 2017-08-21 2018-08-10 Methods and apparatus for flow isolation and focusing during electroplating
US16/101,291 2018-08-10

Publications (2)

Publication Number Publication Date
TW201920778A true TW201920778A (zh) 2019-06-01
TWI794273B TWI794273B (zh) 2023-03-01

Family

ID=65360343

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107128924A TWI794273B (zh) 2017-08-21 2018-08-20 電鍍期間用於流動隔離與集中的方法及設備
TW112103244A TW202321523A (zh) 2017-08-21 2018-08-20 電鍍期間用於流動隔離與集中的設備

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW112103244A TW202321523A (zh) 2017-08-21 2018-08-20 電鍍期間用於流動隔離與集中的設備

Country Status (7)

Country Link
US (1) US11001934B2 (zh)
JP (1) JP7194724B2 (zh)
KR (2) KR20240046284A (zh)
CN (2) CN111032927B (zh)
SG (1) SG11202001325QA (zh)
TW (2) TWI794273B (zh)
WO (1) WO2019040111A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI795015B (zh) * 2020-10-15 2023-03-01 美商應用材料股份有限公司 電鍍系統與其擋板

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating
JP7102865B2 (ja) * 2018-03-30 2022-07-20 ブラザー工業株式会社 定着器および画像形成装置
KR20210081441A (ko) 2018-11-19 2021-07-01 램 리써치 코포레이션 고대류 (high convection) 도금 셀들에서 거품을 방지하기 위한 교차 플로우 (cross flow) 도관
CN109621260B (zh) * 2018-11-27 2021-03-30 北京建筑大学 一种灭火车的控制系统
US20220298667A1 (en) * 2019-09-03 2022-09-22 Lam Research Corporation Low angle membrane frame for an electroplating cell
EP3868923A1 (en) * 2020-02-19 2021-08-25 Semsysco GmbH Electrochemical deposition system for a chemical and/or electrolytic surface treatment of a substrate
US11401624B2 (en) * 2020-07-22 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Plating apparatus and method for electroplating wafer
CN115803480A (zh) * 2021-02-01 2023-03-14 朗姆研究公司 用于在电镀期间调整流体动力学的空间及尺寸上非均一槽形板
US20230193501A1 (en) * 2021-03-10 2023-06-22 Ebara Corporation Plating apparatus and plating method
EP4219799A1 (en) * 2022-01-27 2023-08-02 Semsysco GmbH System for a chemical and/or electrolytic surface treatment of a substrate
KR102597424B1 (ko) * 2022-10-20 2023-11-02 최일규 전해동박 제박기용 도금액 유출시스템

Family Cites Families (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3477051A (en) 1967-12-26 1969-11-04 Ibm Die casting of core windings
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3862891A (en) 1973-09-24 1975-01-28 Gte Automatic Electric Lab Inc Uniform plating current apparatus and method
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4033833A (en) 1975-10-30 1977-07-05 Western Electric Company, Inc. Method of selectively electroplating an area of a surface
US4240886A (en) 1979-02-16 1980-12-23 Amax Inc. Electrowinning using fluidized bed apparatus
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
FR2479273A1 (fr) 1980-03-28 1981-10-02 Kodak Pathe Dispositif d'electrolyse a electrode poreuse et son application a la recuperation des metaux a partir des solutions aqueuses
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
DE3108358C2 (de) 1981-03-05 1985-08-29 Siemens AG, 1000 Berlin und 8000 München Vorrichtung zum partiellen Galvanisieren von zu elektrisch leitenden Bändern, Streifen oder dgl. zusammengefaßten Teilen im Durchlaufverfahren
US4605482A (en) 1981-04-28 1986-08-12 Asahi Glass Company, Ltd. Filter press type electrolytic cell
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4469564A (en) 1982-08-11 1984-09-04 At&T Bell Laboratories Copper electroplating process
GB2133806B (en) 1983-01-20 1986-06-04 Electricity Council Regenerating solutions for etching copper
JPS59162298A (ja) 1983-03-07 1984-09-13 Kawasaki Steel Corp 金属ストリツプの高電流密度めつき方法
US4633893A (en) 1984-05-21 1987-01-06 Cfm Technologies Limited Partnership Apparatus for treating semiconductor wafers
US4856544A (en) 1984-05-21 1989-08-15 Cfm Technologies, Inc. Vessel and system for treating wafers with fluids
US4738272A (en) 1984-05-21 1988-04-19 Mcconnell Christopher F Vessel and system for treating wafers with fluids
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
KR890001502B1 (ko) 1985-06-24 1989-05-06 씨.에프.엠 테크늘러지즈 인코포레이티드 반도체 웨이퍼 흐름 처리공정 및 그 장치
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
EP0283681B1 (de) 1987-02-23 1992-05-06 Siemens Aktiengesellschaft Galvanisiereinrichtung zur Erzeugung von Höckern auf Chip-Bauelementen
US4931149A (en) 1987-04-13 1990-06-05 Texas Instruments Incorporated Fixture and a method for plating contact bumps for integrated circuits
US4828654A (en) 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5146136A (en) 1988-12-19 1992-09-08 Hitachi, Ltd. Magnetron having identically shaped strap rings separated by a gap and connecting alternate anode vane groups
US4933061A (en) 1988-12-29 1990-06-12 Trifari, Krussman & Fishel, Inc. Electroplating tank
US5039381A (en) 1989-05-25 1991-08-13 Mullarkey Edward J Method of electroplating a precious metal on a semiconductor device, integrated circuit or the like
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5096550A (en) 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5162079A (en) 1991-01-28 1992-11-10 Eco-Tec Limited Process and apparatus for control of electroplating bath composition
US5156730A (en) 1991-06-25 1992-10-20 International Business Machines Electrode array and use thereof
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
JPH0625899A (ja) 1992-07-10 1994-02-01 Nec Corp 電解メッキ装置
JP2943551B2 (ja) 1993-02-10 1999-08-30 ヤマハ株式会社 メッキ方法及びその装置
US5316642A (en) 1993-04-22 1994-05-31 Digital Equipment Corporation Oscillation device for plating system
US5421987A (en) 1993-08-30 1995-06-06 Tzanavaras; George Precision high rate electroplating cell and method
US5476578A (en) 1994-01-10 1995-12-19 Electroplating Technologies, Ltd. Apparatus for electroplating
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
AU7403694A (en) 1994-07-19 1996-02-16 American Plating Systems, Inc. Electrolytic plating apparatus and method
US5567300A (en) 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5660699A (en) 1995-02-20 1997-08-26 Kao Corporation Electroplating apparatus
US5516412A (en) 1995-05-16 1996-05-14 International Business Machines Corporation Vertical paddle plating cell
JPH0953197A (ja) 1995-08-11 1997-02-25 Ibiden Co Ltd 電解めっき方法、被めっき物収容具
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US5626736A (en) 1996-01-19 1997-05-06 Shipley Company, L.L.C. Electroplating process
US20020066464A1 (en) 1997-05-09 2002-06-06 Semitool, Inc. Processing a workpiece using ozone and sonic energy
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US5908540A (en) 1997-08-07 1999-06-01 International Business Machines Corporation Copper anode assembly for stabilizing organic additives in electroplating of copper
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
AU5907798A (en) 1997-09-30 1999-04-23 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6921468B2 (en) 1997-09-30 2005-07-26 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
KR100474746B1 (ko) 1998-02-12 2005-03-08 에이씨엠 리서치, 인코포레이티드 도금 장치 및 방법
KR100616198B1 (ko) 1998-04-21 2006-08-25 어플라이드 머티어리얼스, 인코포레이티드 기판상에 전기도금하는 전기화학적 증착 시스템 및 방법
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6022465A (en) 1998-06-01 2000-02-08 Cutek Research, Inc. Apparatus and method utilizing an electrode adapter for customized contact placement on a wafer
US6395152B1 (en) 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6080291A (en) 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
JP2000087299A (ja) 1998-09-08 2000-03-28 Ebara Corp 基板メッキ装置
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6258220B1 (en) 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US7204924B2 (en) 1998-12-01 2007-04-17 Novellus Systems, Inc. Method and apparatus to deposit layers with uniform properties
US6251255B1 (en) 1998-12-22 2001-06-26 Precision Process Equipment, Inc. Apparatus and method for electroplating tin with insoluble anodes
JP3331332B2 (ja) 1999-08-25 2002-10-07 日本エレクトロプレイテイング・エンジニヤース株式会社 カップ式めっき装置
US6454918B1 (en) 1999-03-23 2002-09-24 Electroplating Engineers Of Japan Limited Cup type plating apparatus
GB9907848D0 (en) 1999-04-07 1999-06-02 Shipley Co Llc Processes and apparatus for removal of copper from fluids
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6368475B1 (en) 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
EP1194613A4 (en) 1999-04-13 2006-08-23 Semitool Inc PROCESSOR OF PARTS HAVING IMPROVED TREATMENT FLUID FLOW PROCESSING CHAMBER
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6254742B1 (en) 1999-07-12 2001-07-03 Semitool, Inc. Diffuser with spiral opening pattern for an electroplating reactor vessel
TW499329B (en) 1999-09-17 2002-08-21 Product System Inc Chemically inert megasonic transducer system
JP3635217B2 (ja) 1999-10-05 2005-04-06 東京エレクトロン株式会社 液処理装置及びその方法
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6562204B1 (en) 2000-02-29 2003-05-13 Novellus Systems, Inc. Apparatus for potential controlled electroplating of fine patterns on semiconductor wafers
WO2001068952A1 (fr) 2000-03-17 2001-09-20 Ebara Corporation Procede et appareil de plaquage electrolytique
US6521102B1 (en) 2000-03-24 2003-02-18 Applied Materials, Inc. Perforated anode for uniform deposition of a metal layer
US8475636B2 (en) 2008-11-07 2013-07-02 Novellus Systems, Inc. Method and apparatus for electroplating
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
JP2001316887A (ja) 2000-05-08 2001-11-16 Tokyo Electron Ltd メッキ処理装置
US6527920B1 (en) 2000-05-10 2003-03-04 Novellus Systems, Inc. Copper electroplating apparatus
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US6821407B1 (en) 2000-05-10 2004-11-23 Novellus Systems, Inc. Anode and anode chamber for copper electroplating
US6695962B2 (en) 2001-05-01 2004-02-24 Nutool Inc. Anode designs for planar metal deposits with enhanced electrolyte solution blending and process of supplying electrolyte solution using such designs
US6398926B1 (en) 2000-05-31 2002-06-04 Techpoint Pacific Singapore Pte Ltd. Electroplating apparatus and method of using the same
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US7273535B2 (en) 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US6927176B2 (en) 2000-06-26 2005-08-09 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US7456113B2 (en) 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
US20020062839A1 (en) 2000-06-26 2002-05-30 Steven Verhaverbeke Method and apparatus for frontside and backside wet processing of a wafer
US6964792B1 (en) 2000-11-03 2005-11-15 Novellus Systems, Inc. Methods and apparatus for controlling electrolyte flow for uniform plating
US6802946B2 (en) 2000-12-21 2004-10-12 Nutool Inc. Apparatus for controlling thickness uniformity of electroplated and electroetched layers
US6610189B2 (en) 2001-01-03 2003-08-26 Applied Materials, Inc. Method and associated apparatus to mechanically enhance the deposition of a metal film within a feature
JP4123330B2 (ja) 2001-03-13 2008-07-23 三菱マテリアル株式会社 電気メッキ用含燐銅陽極
JP2002289568A (ja) 2001-03-23 2002-10-04 Dainippon Screen Mfg Co Ltd 基板洗浄装置およびそれに用いる超音波振動エレメント
US6869515B2 (en) 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6605525B2 (en) 2001-05-01 2003-08-12 Industrial Technologies Research Institute Method for forming a wafer level package incorporating a multiplicity of elastomeric blocks and package formed
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
JP4043234B2 (ja) 2001-06-18 2008-02-06 株式会社荏原製作所 電解加工装置及び基板処理装置
WO2003007412A1 (en) 2001-07-13 2003-01-23 Brown University Research Foundation Polymer electrolyte membrane for electrochemical and other applications
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US6881318B2 (en) 2001-07-26 2005-04-19 Applied Materials, Inc. Dynamic pulse plating for high aspect ratio features
WO2003018879A1 (fr) 2001-08-22 2003-03-06 Optical Forming Corporation Appareil et procede d'electroformage
JP3869306B2 (ja) 2001-08-28 2007-01-17 東京エレクトロン株式会社 現像処理方法および現像液塗布装置
TWI224531B (en) 2001-09-11 2004-12-01 Ebara Corp Substrate processing apparatus and method
JP3681670B2 (ja) 2001-09-25 2005-08-10 シャープ株式会社 半導体集積回路の製造装置および製造方法
US6755946B1 (en) 2001-11-30 2004-06-29 Novellus Systems, Inc. Clamshell apparatus with dynamic uniformity control
TWI261875B (en) 2002-01-30 2006-09-11 Tokyo Electron Ltd Processing apparatus and substrate processing method
US8002962B2 (en) 2002-03-05 2011-08-23 Enthone Inc. Copper electrodeposition in microelectronics
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US6843855B2 (en) 2002-03-12 2005-01-18 Applied Materials, Inc. Methods for drying wafer
US7854828B2 (en) 2006-08-16 2010-12-21 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
DE10229001B4 (de) 2002-06-28 2007-02-15 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Steuern der Ionenverteilung während des galvanischen Auftragens eines Metalls auf eine Werkstückoberfläche
US7077585B2 (en) 2002-07-22 2006-07-18 Yoshitake Ito Developing method and apparatus for performing development processing properly and a solution processing method enabling enhanced uniformity in the processing
US20040118694A1 (en) 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
EP1391540A3 (en) 2002-08-08 2006-10-04 Texas Instruments Incorporated Methods and apparatus for improved current density and feature fill control in ECD reactors
US20040084318A1 (en) 2002-11-05 2004-05-06 Uri Cohen Methods and apparatus for activating openings and for jets plating
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
US7374646B2 (en) 2003-01-31 2008-05-20 Ebara Corporation Electrolytic processing apparatus and substrate processing method
JP2004250785A (ja) 2003-01-31 2004-09-09 Ebara Corp 電解処理装置及び基板処理装置
WO2004112093A2 (en) 2003-06-06 2004-12-23 P.C.T. Systems, Inc. Method and apparatus to process substrates with megasonic energy
DE602004018643D1 (de) 2003-06-24 2009-02-05 Sez Ag Einrichtung und verfahren zur nassbehandlung von scheibenartigen substraten
JP2005133160A (ja) 2003-10-30 2005-05-26 Ebara Corp 基板処理装置及び方法
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
JP4681221B2 (ja) 2003-12-02 2011-05-11 ミライアル株式会社 薄板支持容器
US7553401B2 (en) 2004-03-19 2009-06-30 Faraday Technology, Inc. Electroplating cell with hydrodynamics facilitating more uniform deposition across a workpiece during plating
USD553104S1 (en) 2004-04-21 2007-10-16 Tokyo Electron Limited Absorption board for an electric chuck used in semiconductor manufacture
JP4583811B2 (ja) 2004-05-31 2010-11-17 吉田 英夫 めっき処理方法
KR101368748B1 (ko) 2004-06-04 2014-03-05 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치
US8623193B1 (en) 2004-06-16 2014-01-07 Novellus Systems, Inc. Method of electroplating using a high resistance ionic current source
US7563348B2 (en) 2004-06-28 2009-07-21 Lam Research Corporation Electroplating head and method for operating the same
WO2006055766A1 (en) 2004-11-19 2006-05-26 Novellus Systems, Inc. Means to eliminate bubble entrapment during electrochemical processing of workpiece surface
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US7641776B2 (en) 2005-03-10 2010-01-05 Lsi Corporation System and method for increasing yield from semiconductor wafer electroplating
US7837851B2 (en) 2005-05-25 2010-11-23 Applied Materials, Inc. In-situ profile measurement in an electroplating process
WO2006127320A2 (en) 2005-05-25 2006-11-30 Applied Materials, Inc. Electroplating apparatus based on an array of anodes
US7255970B2 (en) 2005-07-12 2007-08-14 Az Electronic Materials Usa Corp. Photoresist composition for imaging thick films
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
USD552565S1 (en) 2005-09-08 2007-10-09 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD544452S1 (en) 2005-09-08 2007-06-12 Tokyo Ohka Kogyo Co., Ltd. Supporting plate
USD548705S1 (en) 2005-09-29 2007-08-14 Tokyo Electron Limited Attracting disc for an electrostatic chuck for semiconductor production
KR100657600B1 (ko) 2005-10-24 2006-12-19 (주)씨-넷 엘씨디모듈의 백라이트 유니트용 커넥터
KR20090029693A (ko) 2006-05-05 2009-03-23 세즈 아게 판상 기판 습식 처리장치 및 처리방법
USD587222S1 (en) 2006-08-01 2009-02-24 Tokyo Electron Limited Attracting plate of an electrostatic chuck for semiconductor manufacturing
US9822461B2 (en) * 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
CN101220500A (zh) 2007-08-29 2008-07-16 中国电子科技集团公司第二研究所 晶圆凸点制造挂具
USD614593S1 (en) 2008-07-21 2010-04-27 Asm Genitech Korea Ltd Substrate support for a semiconductor deposition apparatus
USD609652S1 (en) 2008-07-22 2010-02-09 Tokyo Electron Limited Wafer attracting plate
JP2010040849A (ja) 2008-08-06 2010-02-18 Tokyo Ohka Kogyo Co Ltd レジストパターン形成方法
USD609655S1 (en) 2008-10-03 2010-02-09 Ngk Insulators, Ltd. Electrostatic chuck
US8858774B2 (en) 2008-11-07 2014-10-14 Novellus Systems, Inc. Electroplating apparatus for tailored uniformity profile
US8262871B1 (en) 2008-12-19 2012-09-11 Novellus Systems, Inc. Plating method and apparatus with multiple internally irrigated chambers
US8581225B2 (en) 2010-04-28 2013-11-12 Panasonic Corporation Variable resistance nonvolatile memory device and method of manufacturing the same
US9624592B2 (en) 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US10233556B2 (en) 2010-07-02 2019-03-19 Lam Research Corporation Dynamic modulation of cross flow manifold during electroplating
US10094034B2 (en) 2015-08-28 2018-10-09 Lam Research Corporation Edge flow element for electroplating apparatus
US9523155B2 (en) 2012-12-12 2016-12-20 Novellus Systems, Inc. Enhancement of electrolyte hydrodynamics for efficient mass transfer during electroplating
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
KR20120036030A (ko) 2010-10-07 2012-04-17 동우 화인켐 주식회사 네가티브 포토레지스트 잔류물 제거용 조성물 및 이를 이용한 세정방법
USD648289S1 (en) 2010-10-21 2011-11-08 Novellus Systems, Inc. Electroplating flow shaping plate having offset spiral hole pattern
US9404194B2 (en) * 2010-12-01 2016-08-02 Novellus Systems, Inc. Electroplating apparatus and process for wafer level packaging
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
KR101783786B1 (ko) 2011-06-24 2017-10-10 에이씨엠 리서치 (상하이) 인코포레이티드 기판 상의 균일한 금속화를 위한 방법 및 장치
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
CN102719865B (zh) 2012-07-13 2016-02-24 曲悦峰 一种镀膜模具
KR102214898B1 (ko) * 2012-12-12 2021-02-10 노벨러스 시스템즈, 인코포레이티드 전기도금 동안 효율적인 대량 전달을 위한 전해질 유체역학의 향상
TW201439008A (zh) 2013-03-15 2014-10-16 Hydronovation Inc 電化學水軟化系統
US20140299476A1 (en) 2013-04-09 2014-10-09 Ebara Corporation Electroplating method
US9449808B2 (en) 2013-05-29 2016-09-20 Novellus Systems, Inc. Apparatus for advanced packaging applications
US9677190B2 (en) 2013-11-01 2017-06-13 Lam Research Corporation Membrane design for reducing defects in electroplating systems
US9303329B2 (en) 2013-11-11 2016-04-05 Tel Nexx, Inc. Electrochemical deposition apparatus with remote catholyte fluid management
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9816194B2 (en) 2015-03-19 2017-11-14 Lam Research Corporation Control of electrolyte flow dynamics for uniform electroplating
US9988733B2 (en) * 2015-06-09 2018-06-05 Lam Research Corporation Apparatus and method for modulating azimuthal uniformity in electroplating
WO2017120003A1 (en) * 2016-01-06 2017-07-13 Applied Materials, Inc. Systems and methods for shielding features of a workpiece during electrochemical deposition
US20170342590A1 (en) 2016-05-24 2017-11-30 Lam Research Corporation Modulation of applied current during sealed rotational electroplating
US10364505B2 (en) 2016-05-24 2019-07-30 Lam Research Corporation Dynamic modulation of cross flow manifold during elecroplating
US20180258546A1 (en) 2017-03-09 2018-09-13 Lam Research Corporation Electroplating apparatus and methods utilizing independent control of impinging electrolyte
US10781527B2 (en) 2017-09-18 2020-09-22 Lam Research Corporation Methods and apparatus for controlling delivery of cross flowing and impinging electrolyte during electroplating

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI795015B (zh) * 2020-10-15 2023-03-01 美商應用材料股份有限公司 電鍍系統與其擋板

Also Published As

Publication number Publication date
CN115613104A (zh) 2023-01-17
TWI794273B (zh) 2023-03-01
WO2019040111A1 (en) 2019-02-28
SG11202001325QA (en) 2020-03-30
TW202321523A (zh) 2023-06-01
CN111032927B (zh) 2022-09-30
KR20200035318A (ko) 2020-04-02
KR102652962B1 (ko) 2024-04-01
US20190055665A1 (en) 2019-02-21
US11001934B2 (en) 2021-05-11
JP7194724B2 (ja) 2022-12-22
JP2020531684A (ja) 2020-11-05
CN111032927A (zh) 2020-04-17
KR20240046284A (ko) 2024-04-08

Similar Documents

Publication Publication Date Title
TWI794273B (zh) 電鍍期間用於流動隔離與集中的方法及設備
KR102533812B1 (ko) 균일한 전기도금을 위한 전해액 플로우 역학의 제어
CN111094636B (zh) 用于在电镀期间控制横流和冲击电解液的输送的方法和装置
KR102383143B1 (ko) 전기도금 동안 교차 플로우 매니폴드의 동적 변조
KR102641119B1 (ko) 전기도금 시 방위각 균일도를 조정하기 위한 장치 및 방법
CN111492096B (zh) 混合特征电镀的对流优化
US10760178B2 (en) Method and apparatus for synchronized pressure regulation of separated anode chamber
KR20210081441A (ko) 고대류 (high convection) 도금 셀들에서 거품을 방지하기 위한 교차 플로우 (cross flow) 도관
US10975489B2 (en) One-piece anode for tuning electroplating at an edge of a substrate
TW202248466A (zh) 用於電鍍期間之特定流體動力學的空間及尺寸上非均勻之通道板
CN115768928A (zh) 使用空间上定制为管芯级图案的离子阻性离子可渗透元件或屏蔽件在衬底上电沉积金属