KR102641119B1 - 전기도금 시 방위각 균일도를 조정하기 위한 장치 및 방법 - Google Patents

전기도금 시 방위각 균일도를 조정하기 위한 장치 및 방법 Download PDF

Info

Publication number
KR102641119B1
KR102641119B1 KR1020160068193A KR20160068193A KR102641119B1 KR 102641119 B1 KR102641119 B1 KR 102641119B1 KR 1020160068193 A KR1020160068193 A KR 1020160068193A KR 20160068193 A KR20160068193 A KR 20160068193A KR 102641119 B1 KR102641119 B1 KR 102641119B1
Authority
KR
South Korea
Prior art keywords
shield
substrate
semiconductor substrate
electroplating
facing surface
Prior art date
Application number
KR1020160068193A
Other languages
English (en)
Other versions
KR20160144914A (ko
Inventor
가브리엘 해이 그라함
리 펭 추아
스티븐 티. 메이어
로버트 래쉬
아론 베르케
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160144914A publication Critical patent/KR20160144914A/ko
Application granted granted Critical
Publication of KR102641119B1 publication Critical patent/KR102641119B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/002Cell separation, e.g. membranes, diaphragms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/007Current directing devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/008Current shielding devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/10Agitating of electrolytes; Moving of racks
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/04Electroplating with moving electrodes
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition

Abstract

개선된 방위각 균일도로 반도체 기판 상에 금속을 전기도금하기 위한 장치는, 일 양태에서: 전해액 및 애노드를 담도록 구성된 도금 챔버; 반도체 기판을 홀딩하도록 구성된 기판 홀더; 기판 가까이 위치되도록 구성된 이온 저항성 이온 투과성 엘리먼트 ("엘리먼트"); 및 방위각적으로 비대칭인 차폐를 제공하고, 차폐부의 기판-대면 표면과 기판의 작업 표면 간의 가장 가까운 거리가 2 mm 미만이도록 기판 홀더와 엘리먼트 사이에 위치되도록 구성된 차폐부를 포함한다. 일부 실시예들에서, 전기도금 동안 엘리먼트의 기판-대면 표면과 차폐부 사이에 전해액-충진된 갭이 있다. 차폐부의 기판-대면 표면은 차폐부의 상이한 위치들로부터 기판까지의 거리가 가변하도록 윤곽이 이루어질 수도 있다.

Description

전기도금 시 방위각 균일도를 조정하기 위한 장치 및 방법{APPARATUS AND METHOD FOR MODULATING AZIMUTHAL UNIFORMITY IN ELECTROPLATING}
본 개시는 일반적으로 반도체 웨이퍼 상에 금속층을 전기도금하기 위한 방법 및 장치에 관한 것이다. 보다 구체적으로, 본 명세서에 기술된 방법 및 장치는 방위각 도금 균일도를 제어하는데 유용하다.
반도체 디바이스 제작 시에, 종종 구리와 같은 도전성 재료가 반도체 웨이퍼 기판 상의 하나 이상의 리세스된 피처들을 충진하도록 금속의 씨드 층 상에 전기도금에 의해 증착된다. 전기도금은 다마신 프로세싱 동안 웨이퍼의 비아들 및 트렌치들 내로 금속을 증착하기 위해 선택된 방법이고, 그리고 또한 웨이퍼 기판 상에 금속 필라들 또는 라인들을 형성하기 위한 WLP (wafer level packaging) 애플리케이션들에 사용된다. 전기도금의 또 다른 애플리케이션은 3D 집적 회로들 및 3D 패키지들에서 사용된 상대적으로 큰 수직 전기 연결부들인 TSV들 (Through-Silicon Vias) 을 충진하는 것이다.
일부 기판들의 전기도금 시, 전기도금 (통상적으로 다마신 및 TSV 프로세싱) 전에 기판의 전체 표면에 걸쳐 씨드층이 노출되고, 금속의 전착 (electrodeposition) 은 기판의 전체에 걸쳐 일어난다. 다른 전기도금 기판들에서, 씨드층의 일부는 비도전성 재료, 예컨대 포토레지스트로 커버되는 한편, 씨드층의 또 다른 부분은 노출된다. 부분적으로 마스킹된 씨드층을 갖는 이러한 기판들에서, 전기도금은 씨드층의 노출된 부분들 위에서만 일어나는 한편, 씨드층의 커버된 부분들은 그 위에 도금되는 것이 방지된다. 패터닝된 포토레지스트로 코팅된 씨드층을 갖는 기판 상의 전기도금은 쓰루 레지스트 도금 (through resist plating) 으로 지칭되고 통상적으로 WLP 애플리케이션들에서 사용된다.
전기도금 동안, 전기적 콘택트들은 웨이퍼 근방에서 씨드층 (예를 들어, 구리 씨드층) 에 대해 이루어지고, 웨이퍼는 캐소드로서 기능하도록 전기적으로 바이어스된다. 웨이퍼는 전해액과 콘택트하게 되고, 전해액은 도금될 금속의 이온들을 함유한다. 전해액은 통상적으로 전해액에 충분한 전도도를 제공하는 산을 또한 포함하고, 또한 기판의 상이한 표면들 상에서 전착 레이트들을 조절하는 촉진제, 억제제, 및 평탄화제로서 공지된 첨가제들을 함유할 수도 있다.
전기도금 동안 직면하는 문제들 중 하나는 원형 반도체 웨이퍼의 반경을 따라 전착된 금속의 불균일한 두께 분포이다. 이러한 타입의 불균일도는 방사상 불균일도로 공지된다. 방사상 불균일도는 다양한 인자들로 인해, 예컨대 터미널 이펙트 (terminal effect) 로 인해, 그리고 기판의 표면에서 전해액 플로우의 변동으로 인해 발생할 수도 있다. 터미널 이펙트는, 특히 얇은 저항성 씨드층이 사용된다면, 웨이퍼의 에지에서 전기적 콘택트들의 근방에서의 전위가 웨이퍼의 중심에서보다 상당히 보다 높을 수 있기 때문에 에지가 두꺼운 전기도금에서 나타난다.
전기도금 동안 직면할 수 있는 또 다른 타입의 불균일도는 방위각 불균일도이다. 명확성을 위해, 본 발명자들은, 웨이퍼 중심으로부터 고정된 방사상 위치에서 웨이퍼 상의 상이한 각 위치들에서 나타난 두께 변동들로서, 즉 웨이퍼의 주변 내의 주어진 원 또는 원의 일부를 따르는 불균일도로서, 극 좌표들을 사용하여 방위각 불균일도를 규정하였다. 이러한 타입의 불균일도는 방사상 불균일도와 독립적으로, 전기도금 애플리케이션들에 존재할 수 있고, 일부 애플리케이션들에서 제어될 필요가 있는 주요한 타입의 불균일도일 수도 있다. 이는 종종 웨이퍼의 주요 부분이 포토레지스트 코팅 또는 유사한 도금 방지 층으로 마스킹되는 쓰루 레지스트 도금에서 일어나고, 피처들의 마스킹된 패턴 또는 피처 밀도들은 웨이퍼 에지 근방에서 방위각적으로 균일하지 않다. 예를 들어, 일부 경우들에서 웨이퍼 넘버링 또는 핸들링을 허용하도록 웨이퍼의 노치 근방의 없어진 패턴 피처들의 기술적으로 요구된 익현 (chord) 구역이 있을 수도 있다.
과도한 방사상 불균일도 및 방위각 불균일도는 비기능성 칩들을 야기할 수 있다. 따라서, 도금 균일도를 개선하기 위한 방법들 및 장치가 필요하다.
개선된 도금 균일도로 기판 상에 금속을 전기도금하기 위한 방법 및 장치가 기술된다. 본 명세서에 기술된 장치 및 방법들은 다양한 기판들 상의 전기도금을 위해 사용될 수 있고, 방위각적으로 불균일한 다이 소실 영역 (missing die region) 을 갖는 기판 상과 같이, 방위각적으로 불균일한 기판들 상의 도금에 특히 유용하다. 장치 및 방법들은 방위각적으로 비대칭인 차폐부와 조합하여 이온 저항성 이온 투과성 엘리먼트 ("엘리먼트") 를 사용하고, 엘리먼트 및 차폐부는 도금의 균일성을 개선하는 구성으로 사용된다.
일 양태에서, 전기도금 장치가 제공된다. 전기도금 장치는: (a) 반도체 기판 상에 금속을 전기도금 하는 동안 전해액 및 애노드를 담도록 구성된 도금 챔버; (b) 전기도금 동안 반도체 기판을 홀딩하고 회전시키도록 구성된 기판 홀더; (c) 기판-대면 표면 및 반대편 표면을 포함하는 이온 저항성 이온 투과성 엘리먼트 (ionically resistive ionically permeable element) 로서, 이온 저항성 이온 투과성 엘리먼트는 전기도금 동안 기판을 향해 이온 저항성 이온 투과성 엘리먼트를 통해 이온 전류의 플로우를 허용하고, 이온 저항성 이온 투과성 엘리먼트는 복수의 비연통 (non-communicating) 채널들을 포함하고, 이온 저항성 이온 투과성 엘리먼트는 이온 저항성 이온 투과성 엘리먼트의 기판-대면 표면과 기판의 작업 표면 간의 가장 가까운 거리가 약 10 ㎜ 이하이도록 위치되는, 이온 저항성 이온 투과성 엘리먼트; 및 (d) 방위각적으로 비대칭인 차폐를 제공하도록 구성된 차폐부로서, 차폐부는 기판-대면 표면 및 반대편 표면을 갖고, 차폐부는 차폐부의 기판-대면 표면과 기판의 작업 표면 간의 가장 가까운 거리가 약 2 ㎜ 미만이도록 위치되는, 차폐부를 포함한다. 바람직하게, 차폐부의 기판-대면 표면과 기판의 작업 표면 간의 가장 가까운 거리는 약 0.5 ㎜ 내지 1.5 ㎜이다.
일부 실시예들에서, 차폐부의 기판-대면 표면은 차폐부의 기판-대면 표면으로부터 기판의 작업 표면으로 (예를 들어, 점진적으로 또는 불연속적인 단계들로) 가변하도록 윤곽이 이루어진다. 일부 실시예들에서, 차폐부의 기판-대면 표면은 차폐부의 기판-대면 표면으로부터 기판의 작업 표면까지의 거리가 선택된 방위각 위치에 대해 방사상으로 가변하도록 윤곽이 이루어진다. 예를 들어, 일 구현예에서, 차폐부의 기판-대면 표면은, 차폐부의 기판-대면 표면으로부터 기판의 작업 표면까지의 거리가 제 2 방사상 위치에서보다 제 1 방사상 위치에서 보다 크도록 윤곽이 이루어지고, 제 2 방사상 위치는 제 1 방사상 위치보다 크다. 방사상 위치는 기판의 에지의 방사상 위치를 향해 외측 방향으로 증가하도록 기판의 중심 (0 방사상 위치) 에 대응하는 방사상 위치로부터 측정된다. 일부 구현예들에서, 차폐부의 기판-대면 표면은 방사상 위치가 적어도 차폐부의 일부에 대해 증가할 때, 차폐부의 기판-대면 표면으로부터 기판의 작업 표면까지의 거리가 방사상 방향으로 감소하도록 윤곽이 이루어진다.
일부 실시예들에서, 차폐부의 반대편 표면은 이온 저항성 이온 투과성 엘리먼트와 콘택트하고 이온 저항성 이온 투과성 엘리먼트의 기판-대면 표면 상의 채널들의 일부를 차단한다.
차폐부는 일반적으로 (어떠한 개구도 갖지 않는) 단단할 수도 있고, 또는 일부 실시예들에서, 이온 전류로 하여금 개구들을 통과하게 하는 하나 이상의 전해액-투과성 개구들을 포함할 수도 있다.
일부 구현예들에서, 차폐부는 대체로 웨지 형상이다. 적합한 차폐부의 일 예는 약 100 내지 180 °의 중앙 웨지 각을 갖고, 기판의 에지의 방사상 위치로부터 약 10 내지 40 ㎜의 방사상 거리에 위치되는 차폐부이다.
많은 실시예들에서, 이온 저항성 이온 투과성 엘리먼트는, 전기도금 동안 이온 저항성 이온 투과성 엘리먼트의 기판-대면 표면과 기판 간의 거리가 약 2 내지 10 ㎜이도록 위치되고, 차폐부는, 전기도금 동안 차폐부의 기판-대면 표면과 기판의 작업 표면 간의 가장 작은 거리가 약 1.5 ㎜ 이하이도록 위치된다.
일부 구현예들에서, 차폐부는 전기도금 동안 이온 저항성 이온 투과성 엘리먼트의 기판-대면 표면과 차폐부 사이에 전해액-충진된 갭이 있도록 위치된다. 이 구성이 사용될 때, 차폐부의 투사부에 속하는 엘리먼트의 비연통 채널들의 적어도 일부가 이온 전류 플로우를 차단하도록 장치를 구성하는 것이 바람직하다. 이는 예를 들어, 이온 저항성 이온 투과성 엘리먼트의 반대편 표면에 콘택트하는 제 2 차폐부를 제공함으로써 달성될 수 있고, 제 2 차폐부는 차폐부의 투사부에 속하는 비연통 채널들의 적어도 일부를 차단하도록 역할을 한다. 또 다른 구성에서, 차폐부의 투사부에 속하는 이온 저항성 이온 투과성 엘리먼트의 적어도 일부는 채널들을 갖지 않는, 특수하게 설계된 엘리먼트가 제공된다. 또한, 엘리먼트와 상단 차폐부 사이에 갭이 있을 때, 일부 실시예들에서, 장치는 마이크로챔버로 흐르는 전해액을 도입하기 위한, 기판과 이온 저항성 이온 투과성 엘리먼트 간의 마이크로챔버로의 유입부 및 마이크로챔버를 통해 흐르는 전해액을 수용하기 위한 마이크로챔버로의 유출부를 더 포함하고, 유입부 및 유출부는 기판의 작업 표면의 방위각으로 반대되는 주변 위치들 근방에 위치되고, 유입부 및 유출부는 마이크로챔버 내에서 전해액의 직교-플로우 (cross-flow) 를 생성하도록 구성된다. 예를 들어, 장치는 이온 저항성 이온 투과성 엘리먼트와 차폐부 간의 갭을 통해 전해액 직교-플로우를 생성하기 위해 구성될 수도 있다. 일부 실시예들에서, 마이크로챔버의 유출부는 상단 차폐부 근방에 위치된다. 일부 실시예들에서, 이온 저항성 이온 투과성 엘리먼트와 차폐부 간의 갭은 약 0.5 내지 5 ㎜이다.
삭제
방위각 균일도를 제어하는 동안 기판 상에 금속을 전기도금하는 방법의 또 다른 양태가 제공된다. 일 실시예에서, 방법은: (a) 전기도금 동안 반도체 기판을 회전시키기 위해 구성된 전기도금 장치 내로 기판을 제공하는 단계로서, 전기도금 장치는: (i) 기판-대면 표면 및 반대편 표면을 포함하는 이온 저항성 이온 투과성 엘리먼트로서, 이온 저항성 이온 투과성 엘리먼트는 전기도금 동안 기판을 향해 이온 저항성 이온 투과성 엘리먼트를 통해 이온 전류의 플로우를 허용하고, 이온 저항성 이온 투과성 엘리먼트는 복수의 비연통 채널들을 포함하고, 이온 저항성 이온 투과성 엘리먼트는 이온 저항성 이온 투과성 엘리먼트의 기판-대면 표면과 기판의 작업 표면 간의 가장 가까운 거리가 약 10 ㎜ 이하이도록 위치되는, 이온 저항성 이온 투과성 엘리먼트; 및 (ii) 방위각적으로 비대칭인 차폐를 제공하도록 구성된 차폐부로서, 차폐부는 기판-대면 표면 및 반대편 표면을 갖고, 차폐부는 차폐부의 기판-대면 표면과 기판의 작업 표면 간의 가장 가까운 거리가 약 2 ㎜ 미만이도록 위치되는, 차폐부를 포함하는, 반도체 기판을 제공하는 단계; 및 (b) 선택된 방위각 위치에서 기판의 선택된 부분이 동일한 평균 호 길이 및 동일한 평균 방사상 위치를 갖고 상이한 각의 방위각 위치에 놓이는 기판의 제 2 부분과 상이한 양의 시간 동안 차폐된 영역에 머물도록 기판이 차폐부에 대해 회전하는 동안 기판 상에 금속을 전기도금하는 단계를 포함한다. 일부 실시예들에서, 전기도금은 기판의 선택된 부분이 덜 차폐될 때 제 1 속도로 회전하고, 기판의 선택된 부분이 보다 많이 차폐될 때 제 2 속도로 회전하는 것을 포함하고, 기판의 완전한 1 회전은 제 1 속도의 제 1 회전 기간 및 제 2 속도의 제 2 회전 기간을 포함한다. 일부 실시예들에서, 기판은 웨이퍼 상의 2 개의 별개의 방위각 부분들이 유사한 방위각 부분들 (동일한 평균 호 길이 및 동일한 평균 방사상 위치를 갖고 상이한 각의 방위각 위치에 놓이는 부분들) 보다 차폐된 영역에 보다 길계 머물 수 있도록 기판의 완전한 1 회전 당 2 회 이상 보다 많이 차폐된 영역에 대해 늦춰질 수도 있다. 일부 실시예들에서, 2 이상의 방위각적으로 비대칭인 상단 차폐부들이 사용될 수 있다.
일부 실시예들에서, 3 이상의 속도들이 채용될 수도 있다. 예를 들어, 기판의 완전한 1 회전은 제 1 속도의 회전, 이어서 제 2 속도로 늦춰지고; 제 2 속도의 회전, 이어서 제 3 속도로 빨라지고; 제 3 속도의 회전, 이어서 제 4 속도로 늦춰지고; 제 4 속도의 회전, 이어서 제 1 속도로 빨라지는 회전을 포함할 수도 있고, 제 1 속도 및 제 3 속도는 동일하거나 상이할 수도 있고, 제 2 속도 및 제 4 속도는 상이하거나 동일할 수도 있다. 가속 기간 및 감속 기간은 매우 신속할 수도 있고, 또는 일부 실시예들에서, 상대적으로 길 수도 있다. 머무는 기간들뿐만 아니라 가속 기간 및 감속 기간은 개선된 균일도를 달성하기 위해 조절될 수 있다. 예를 들어, 1 이상의 가속 시간들, 감속 시간들 및 머무는 시간들을 명시하는 상이한 파형들은 장치와 전기적으로 연결된 제어기의 프로그램 인스트럭션들의 형태로 사용될 수도 있다. 일 예시적인 제어기는 (a) 제 1 각 스팬에 대해 제 1 레이트로 기판을 회전시키기 위한 프로그램 인스트럭션; (b) 제 2 각 스팬에 대해 제 1 레이트로부터 제 2 레이트로 기판을 감속시키기 위한 프로그램 인스트럭션; (c) 제 3 각 스팬에 대해 제 2 레이트로 기판을 회전시키기 위한 프로그램 인스트럭션; 및 (d) 제 4 각 스팬에 대해 다시 제 1 레이트로 기판을 가속시키기 위한 프로그램 인스트럭션을 포함하고, 프로그램 인스트럭션 (a) 내지 프로그램 인스트럭션 (d) 는 기판의 완전한 1 회전 (360 °의 각 스팬에 대응) 동안 수행된다.
본 명세서에 제공된 방법들은 포토리소그래피 패터닝을 채용하는 프로세스들에 통합될 수 있다. 일 양태에서, 방법들은 상기 기술된 임의의 방법들을 포함하고, 포토레지스트를 웨이퍼 기판에 도포하는 단계; 포토레지스트를 광에 노출시키는 단계; 포토레지스트를 패터닝하고 패턴을 웨이퍼 기판에 전사하는 단계; 및 포토레지스트를 웨이퍼 기판으로부터 선택적으로 제거하는 단계를 더 포함한다. 본 발명의 또 다른 양태에서, 상기 기술된 임의의 장치들 및 스텝퍼를 포함하는 시스템이 제공된다.
일부 실시예들에서, 장치가 제공되고, 이 장치는 본 명세서에 기술된 임의의 방법들을 수행하기 위한 프로그램 인스트럭션들 및/또는 로직을 포함하는 제어기를 더 포함한다. 일 양태에서, 프로그램 인스트럭션들을 포함하는 비일시적인 컴퓨터 머신 판독가능 매체가 제공된다. 전기도금 장치의 제어를 위한 프로그램 인스트럭션들은 상기 기술된 임의의 방법들을 수행하기 위한 코드를 포함한다.
본 발명의 이들 및 다른 특징들 및 장점들은 연관된 도면들을 참조하여 이하에 보다 상세히 기술될 것이다.
도 1은 다이 소실 영역을 갖는 방위각적으로 비대칭인 기판의 개략적인 평면도이다.
도 2는 본 명세서에 제공된 실시예들에 따른 방위각적으로 비대칭인 차폐부의 개략적인 평면도이다.
도 3은 이온 저항성 이온 투과성 엘리먼트 아래에 위치된 방위각적으로 비대칭인 차폐부를 사용할 때 직면하게 되는 문제들을 예시하는 전기도금 장치의 개략적인 단면도이다.
도 4는 개선이 필요한 몇몇 장치 구성들에 대한 선택된 방위각 위치에서 방사상 위치의 함수로서 도금된 두께 분포를 예시하는 실험적 플롯이다.
도 5a 내지 도 5d는 본 명세서에 제공된 다양한 실시예들에 따른 방위각적으로 비대칭인 차폐부들의 배치를 예시하는 장치의 일부의 개략적인 단면도들이다.
도 6a 내지 도 6d는 본 명세서에 제공된 다양한 실시예들에 따른, 차폐부들을 포함하는 다양한 방위각적으로 비대칭인 차폐부들 및 어셈블리들의 사시도를 제공한다.
도 7a 내지 도 7c는 본 명세서에 제공된 상단 차폐부 및 하단 차폐부의 상이한 상대적인 배열에 대한 개략적인 평면도들을 제공한다.
도 7d는 본 명세서에 제공된 실시예들에 따른 상단 차폐부 및 하단 차폐부의 상대적인 위치들을 예시하는 장치의 일부의 사시도이다.
도 7e 및 도 7f는 상단 차폐부들의 예시적인 배치를 위한 개략적인 평면도들을 제공한다.
도 8은 본 명세서에 제공된 실시예에 따른, 전기도금 장치의 개략적인 단면도이다.
도 9는 본 명세서에 제공된 실시예들 중 하나에 따른 전기도금 방법을 위한 프로세스 흐름도이다.
도 10a 내지 도 10d는 실험적 예들에서 사용된 구성들의 상단 차폐부, 엘리먼트, 및 하단 차폐부의 상대적인 위치들을 예시하는 개략적인 단면도들이다.
도 11a는 실험 A 및 실험 B에 대한 선택된 방위각 위치에서 정규화된 도금된 두께의 방사상 분포를 도시하는 실험적 플롯이다.
도 11b는 실험 A 및 실험 B에 대해 정규화된 도금된 두께의 3차원 분포를 도시하는 실험적 플롯이다.
도 11c는 실험 A 및 실험 C에 대해 선택된 방위각 위치에서 정규화된 도금된 두께의 방사상 분포를 도시하는 실험적 플롯이다.
도 11d는 실험 A 및 실험 C에 대해 정규화된 도금된 두께의 3차원 분포를 도시하는 실험적 플롯이다.
도 11e는 실험 A 및 실험 D에 대해 선택된 방위각 위치에서 정규화된 도금된 두께의 방사상 분포를 도시하는 실험적 플롯이다.
도 11f는 실험 A 및 실험 D에 대해 정규화된 도금된 두께의 3차원 분포를 도시하는 실험적 플롯이다.
도 12는 실험 E, 실험 F, 및 실험 G에 대해 선택된 방위각 위치에서 다이 소실 영역을 갖는 웨이퍼의 정규화된 도금된 두께의 방사상 분포를 도시하는 실험적 플롯이다.
개선된 방위각 균일도로 기판 상에 금속을 전기도금하기위한 방법들 및 장치가 제공된다. 실시예들은 일반적으로 기판을 반도체 웨이퍼로 기술하지만, 본 발명은 이렇게 제한되지 않는다. 용어들 "반도체 웨이퍼" 및 "반도체 기판"은 상호교환가능하게 사용되고 워크피스의 어느 곳에든 반도체 재료, 예컨대 실리콘을 포함하는 워크피스를 지칭한다. 통상적으로, 반도체 기판의 반도체 재료는 다른 재료들 (예를 들어, 유전체 층 및 도전층) 의 하나 이상의 층들로 커버된다. 전기도금에 사용된 기판들은, 기판의 표면의 적어도 일부 위치들에서 노출된 도전성 씨드층을 포함한다. 씨드층은 통상적으로 금속층이고, 예를 들어, 구리층 (순수 구리 및 이의 합금들을 포함), 니켈층 (NiB 층 및 NiP 층 포함), 루테늄 층, 등일 수도 있다. 기판은 통상적으로 전기도금 프로세스 동안 충진되는 다수의 리세스된 피처들을 표면 상에 갖는다. 제공된 방법들을 사용하여 전기도금될 수 있는 금속들의 예들은, 비한정적으로, 구리, 은, 주석, 인듐, 크롬, 주석-납 합성물, 주석-은 합성물, 니켈, 코발트, 니켈 코발트 합금들 및 텅스텐을 포함하는 니켈 및/또는 코발트 합금들, 주석-구리 합성물, 주석-은-구리 합성물, 금, 팔라듐, 및 이들 금속들 및 합성물들을 포함하는 다양한 합금들을 포함한다.
방법들은 방위각적으로 비대칭인 기판들, 즉 선택된 고정된 방사상 위치에서 상이한 각 (방위각) 위치들에서 상이한 특성들을 갖는 기판들 상의 전기도금에 특히 유용하다. 방위각적으로 비대칭인 기판들의 예들은 방위각적으로 비대칭인 기하구조를 갖는 웨이퍼들 (예를 들어, 에지에 하나 이상의 노치들을 갖는 웨이퍼들, 또는 웨이퍼의 현을 따라 절단된 편평한 영역을 갖는 웨이퍼), 뿐만 아니라 표면 상에 방위각적으로 비대칭인 패터닝을 갖는 원형 웨이퍼들을 포함한다. 기판 상의 피처들 내의 이러한 비대칭은 도금 동안 원치 않는 이온 전류 범람 (crowding) 을 발생시킬 수도 있고, 웨이퍼의 특정한 방위각 위치들에서 증가된 도금을 야기할 수 있다. 예를 들어, 일부 실시예들에서, 전기도금은 다이 소실부를 갖는 기판 상에서 수행된다. 이러한 기판 상의 전기도금은 리세스된 피처들 소실 영역 및 다이 소실 영역에 인접한 영역들에서와 같이 방위각적으로 가변하는 패터닝에 인접한 영역들에서 전류 범람을 야기하고, 결국 이 영역에서 도금 불균일도를 야기한다. 방위각적으로 비대칭인 다이 소실 영역을 갖는 웨이퍼의 구체적인 예는 도 1에 개략적으로 도시된다. 원형 웨이퍼 (101) 는 패터닝된 영역 및 패터닝되지 않은 영역 (103) 을 포함하고, 패터닝되지 않은 영역은 방위각적으로 비대칭이다 (주어진 방사상 위치를 따라 모든 각 위치들에 존재하지 않는다). 쓰루 레지스트 전기도금 프로세스들을 참조하면, 패터닝되지 않은 영역은 아래에 놓인 씨드층이 노출되지 않도록 통상적으로 포토레지스트로 커버되지만, 패터닝된 영역은 리세스된 피처들의 하단 부분들에서 노출된 도전성 씨드층 및 어디에서나 노출된 포토레지스트를 포함한다. 이온 전류 범람 및 목표된 것보다 두꺼운 전기도금은 이러한 기판에서 패터닝되지 않은 포토레지스트에 바로 인접한 영역 내에서 노출된 씨드층에서 경험될 것이다.
방위각 비대칭성으로 인해 일어나는 이온 전류 범람은 방위각적으로 비대칭인 차폐를 제공하도록 구성된 차폐부들을 사용하여 어느 정도 보정될 수 있다. 예를 들어, 유전체 웨지 형상 차폐부는 이온 전류의 경로 상에 위치될 수 있고, 기판은 보정이 필요한 선택된 방위각 영역이 상이한 방위각 (각) 위치의 유사한 영역보다 긴 시간 동안 차폐된 영역에 머물도록 전기도금 동안 회전될 수 있다. 예를 들어 웨이퍼를 회전시키는 것은 다이 소실 영역이 차폐된 영역을 통과할 때 늦춰질 수도 있고 이어서 다이 소실 영역이 차폐된 영역을 나간 후에 보다 높은 회전 레이트로 가속될 것이다. 이러한 가변 레이트 회전은 상이한 방위각 위치에 위치된 웨이퍼 상의 유사한 영역 (동일한 평균 방사상 위치 및 호 길이를 갖는 영역) 보다 긴 시간 동안 차폐된 영역에 머무는 다이 소실 영역을 발생시킬 것이다. 따라서, 선택된 방위각 위치에서 전류 범람의 감소가 달성될 수 있다. 방위각적으로 비대칭인 차폐부의 예는 웨지형 차폐부 (201) 의 평면도를 예시하는 도 2에 도시된다.
전기도금 장치 내에서 차폐부의 위치 및 차폐부의 기판-대면 표면의 형상은 도금 균일도를 개선하기 위해 성공적으로 조절될 수 있는 중요한 파라미터들이라는 것을 알게 되었다.
전기도금 동안 직면하게 되는 문제들 중 하나는 각각 차폐된 영역에서 전착된 금속의 과도한 두께 또는 불충분한 두께를 발생시키는, 선택된 방위각 위치에서 불충분한 차폐 또는 과잉 차폐이다. 이 문제는 방위각 균일도에 대한 제어가 방사상 균일도에 대한 제어 및/또는 기판의 표면에서 전해액 플로우의 최적화와 밸런싱되어야 할 때 일어날 수 있다. 터미널 이펙트를 완화시키고 방사상 균일도를 개선하기 위해 사용된 전기도금 장치의 일 피처는, 애노드와 기판 사이에 위치된 이온 저항성 이온 투과성 엘리먼트 ("엘리먼트"로도 지칭됨) 이다. 엘리먼트는 저항성 재료로 이루어지고 이온 전류로 하여금 웨이퍼 캐소드를 향해 엘리먼트를 통과하게 하는 복수의 채널들을 포함한다. 엘리먼트는 이온 전류의 경로로 저항을 유도하고, 도전성 씨드층에서 큰 에지-대-중심 전압 강하로 인해 일어나는 터미널 이펙트를 약화시킨다. 일부 경우들에서, 엘리먼트는 또한 웨이퍼 캐소드를 향해 엘리먼트를 통과할 때 전해액의 플로우를 성형하도록 사용된다. 일부 경우들에서, 전해액 플로우의 성형은 엘리먼트의 주 기능이다. 엘리먼트의 예는 약 6,000 내지 12,000 개의 비연통 채널들을 포함하는 유전체 폴리머 플레이트이고, 엘리먼트는 실질적으로 기판과 같은 공간을 차지하고, 기판의 도금 표면으로부터 약 2 내지 10 ㎜ 만큼 이격된다. 엘리먼트가 이렇게 기판의 근방에 위치될 때 (성공적인 터미널 이펙트 완화를 위해 필요함), 방위각 불균일도의 완화를 위한 방위각적으로 비대칭인 차폐부들의 배치는 어려운 문제들을 제공한다.
엘리먼트와 콘택트하고 엘리먼트의 채널들을 차단하면서, 방위각적으로 비대칭인 차폐부가 엘리먼트의 바로 하단 또는 기판의 표면으로부터 2 ㎜ 보다 큰 거리로 엘리먼트의 상단에 위치된다면, 방위각 불균일도의 보정은 불충분할 수 있다는 것을 알게 되었다. 유사하게, 별도의 방위각적으로 비대칭인 차폐부 대신, 선택된 방위각 위치에서 채널들을 차단함으로써 (또는 선택된 방위각 위치에서 채널-프리 영역을 가짐으로써) 차폐부가 엘리먼트 내로 빌트인 (built-in) 되면, 방위각 불균일도의 보정이 또한 불충분할 수도 있다. 이 효과는 기판의 도금 표면과 상기 이온 저항성 이온 투과성 엘리먼트의 상기 기판-대면 표면 간의 거리가 기판의 직경의 1 % 이상일 때 특히 두드러진다. 따라서, 예를 들어, 이 효과는 엘리먼트가 300 ㎜의 직경을 갖는 웨이퍼의 표면으로부터 3 ㎜ 이상에 위치될 때 관찰된다. 이 효과는 엘리먼트 바로 아래에 방위각적으로 비대칭인 차폐부가 위치된 장치의 개략적인 단면도를 도시하는 도 3 및 도 3에 도시된 바와 같은 장치 설정에서 방위각 불균일도 정정을 사용하는 그리고 사용하지 않은, 선택된 방위각 위치에서 방사상 전착된 두께 프로파일을 예시하는 도 4를 참조하여 예시된다. 도 3을 참조하면, 전기도금 장치는 전해액 (303) 및 애노드 (305) 를 홀딩하도록 구성된 도금 챔버 (301) 를 포함한다. 장치는 반도체 기판 (309) 을 홀딩하고 회전시키도록 구성된 기판 홀더 (307) 를 더 포함한다. 반도체 기판 (309) 은 전력 공급부 (미도시) 에 전기적으로 연결되고 전기도금 동안 캐소드로 바이어스된다. 이온 저항성 이온 투과성 엘리먼트 (311) 는 기판 (309) 근방에 놓이고, 이온 전류로 하여금 화살표들로 도시된 바와 같이 엘리먼트의 채널들을 통과하게 한다. 채널들의 일부는 엘리먼트 (311) 바로 아래에 위치된 방위각적으로 비대칭인 웨지형 차폐부 (313) 에 의해 차단된다. 기판은 전기도금 동안 회전되고 웨이퍼의 선택된 방위각 위치가 방위각적으로 비대칭인 차폐부 (313) 위를 통과할 때 보다 낮은 속도로 늦춰진다. 방위각적으로 비대칭인 차폐부가 도 3에 도시된 바와 같이 위치될 때, 화살표 315로 나타낸 바와 같이 엘리먼트 위의 전해액에서 기판의 차폐된 방위각 위치로 이온 전류가 여전히 꽤 효과적으로 재분배될 수 있기 때문에, 항상 충분한 차폐를 제공하는 것은 아니라는 것을 알게 되었다.
3가지 전기도금 실험들이 도 3에 도시된 바와 같은 전기도금 장치 설정에서 다이 소실부를 갖는 300 ㎜ 웨이퍼 기판에 대해 수행되었다. 3가지 실험 모두에서 웨지형 차폐부는 웨이퍼의 중심에 대응하는 방사상 위치 (웨지의 최내측 지점의 위치로 참조됨) 로부터 120 ㎜의 방사상 위치에서 엘리먼트 바로 아래에 배치되고, 차폐부는 114 ° 웨지 각을 갖는다. 금속 두께의 방사상 분포는 다이 소실 영역에 인접한 방위각 위치에서 측정되었다. 제 1 실험에서, 방위각적으로 비대칭인 차폐부가 없다 (웨이퍼는 4 rpm의 일정한 레이트로 스핀함). 선택된 방위각 위치에서 발생된 두께 프로파일은 커브 (a) 로 도시된다. 다이 소실 영역 근방의 전류 범람에 대응하는 웨이퍼의 주변부에서의 두께의 큰 증가가 예상되는 것을 알 수 있다. 제 2 실험에서, 웨이퍼는 다이 소실 영역이 차폐된 영역에서 보다 길게 머물도록 스핀되었다. 구체적으로, 웨이퍼는 24 rpm으로 스핀되었지만, 다이 소실 영역이 차폐부 위를 통과할 때 10 ° 스팬 동안 1 rpm으로 늦춰졌다. 발생되는 두께 프로파일은 커브 (b) 로 도시된다. 균일도는 개선되었지만, 웨이퍼의 에지에서의 프로파일은 여전히 충분히 편평하지 않고, 전류 범람이 지속된다. 제 3 실험에서, 보다 큰 방위각 보정이 사용되지만, 모든 전기도금 조건들은 제 2 실험과 동일하다. 보다 큰 방위각 보정은 차폐된 영역의 보다 큰 스팬에 걸쳐 웨이퍼를 감속시킴으로써 획득된다. 구체적으로, 웨이퍼는 24 rpm으로 회전되었지만, 다이 소실 영역이 차폐부 위를 통과할 때 30 ° 스팬 동안 2 rpm으로 늦춰졌다. 제 3 실험 동안 획득된 프로파일은 커브 (c) 로 예시된다. 커브 (c) 는 기판의 주변의 과차폐된 영역에서 필요한 두께보다 적은 부분을 나타낸다는 것을 알 수 있다. 엘리먼트의 위치는 모든 3가지 실험들에서 동일하고, 이온 저항성 이온 투과성 엘리먼트의 기판-대면 표면으로부터 기판 (300 ㎜ 웨이퍼) 의 도금 표면까지의 거리는 4.5 ㎜였다. 엘리먼트의 두께는 12.7 ㎜였다. 이들 실험들은 차폐부가 웨이퍼 기판으로부터 너무 멀리 위치될 때, 이온 전류가 기판 근방에서 재분배될 충분한 기회를 갖기 때문에 과차폐와 차폐부족 (undershielding) 간의 밸런스를 찾기 어렵다는 것을 예시한다. 얇은 방위각적으로 비대칭인 차폐부가 상대적으로 멀리 (3 내지 10 ㎜에) 위치된 엘리먼트의 상단 바로 위에 위치된다면, 엘리먼트들의 홀들을 차단하면서 동일한 효과가 예상된다.
방위각 균일도는 기판의 매우 근접한, 일부 실시예들에서, 반드시 그러한 것은 아니지만 갭에 의해 엘리먼트로부터 분리된, 엘리먼트 위에 위치된 방위각적으로 비대칭인 차폐부들을 사용하여 개선될 수 있다는 것을 알았다. 바람직하게 기판의 도금될 표면과 차폐부의 기판-대면 표면 간의 가장 가까운 거리는 기판의 직경의 0.7 % 이하, 예컨대 기판의 직경의 0.4 % 이하이다. 구체적으로 차폐부의 기판-대면 표면과 기판의 작업 표면 간의 가장 가까운 거리는 2 ㎜ 이하, 바람직하게 약 0.5 내지 1.5 ㎜이어야 한다. 예를 들어 방위각적으로 비대칭인 차폐부는, 300 ㎜ 웨이퍼가 프로세싱될 때 약 0.5 내지 1.5 ㎜의 거리만큼 (가장 가까운 거리를 참조하면, 거리가 차폐부의 기판-대면 표면의 윤곽으로 인해 가변한다면) 기판의 표면으로부터 분리되도록 위치될 수 있다. 이러한 작은 웨이퍼-차폐부 간격의 사용은 차폐된 영역으로 이온 전류가 재분배되는 것을 어렵게 하고, 원치 않는 전류로부터 보다 완전한 차폐를 발생시킨다. 이온 저항성 이온 투과성 엘리먼트의 기판-대면 표면은 일부 실시예들에서, 방위각적으로 비대칭인 차폐부로부터 갭으로 분리되고, 갭은 엘리먼트와 기판 간의 전해액의 방해받지 않는 횡단 플로우를 허용하는데 유용하다. 일부 실시예들에서, 갭은 기판의 직경의 약 0.1 내지 1.7 %이다. 예를 들어, 300 ㎜ 웨이퍼가 프로세싱될 때, 약 0.5 내지 5 ㎜의 갭이 사용될 수 있다. 부가적으로, 바람직하게, 엘리먼트와 방위각적으로 비대칭인 차폐부 간의 갭이 존재한다면, 차폐부의 투사부에 속하는 엘리먼트의 비연통 채널들의 적어도 일부는 이온 전류 플로우에 대해 차단되어야 한다. 예를 들어 제 2 차폐부는 이온 플로우에 대해 엘리먼트의 채널들을 차단하는 엘리먼트와 직접 콘택트하도록 위치될 수 있거나, 엘리먼트의 채널들이 엘리먼트의 선택된 영역에 없도록, 엘리먼트가 제자될 수 있다. 구성이 2 개의 방위각적으로 비대칭인 차폐부들을 포함할 때, 엘리먼트 위에 놓이고 웨이퍼 면-하향 구성으로 기판에 보다 가까운 차폐부는 "상단 차폐부"로 지칭되는 한편, 엘리먼트 아래에 놓인 차폐부는 "하단 차폐부"로 지칭된다.
이전에 언급된 바와 같이, 이온 저항성 이온 투과성 엘리먼트 (또한 "엘리먼트"로 지칭됨) 는 캐소드로 바이어스된 웨이퍼 기판을 향해 이온 전류의 경로 상에 부가적인 저항을 제공하고, 전기도금 동안 기판을 향해 엘리먼트를 통한 이온들의 이동을 허용하는 전기도금 장치의 컴포넌트이다.
일부 실시예들에서, 엘리먼트는 복수의 비연통 채널들을 갖는 플레이트이고, 플레이트의 바디는 저항성 재료로 이루어지고, 저항성 재료의 채널들은 캐소드로 바이어스된 기판을 향해 플레이트를 통해 이온들의 이동을 허용한다. 엘리먼트는 바람직하게 (반드시 그러한 것은 아니지만) 평면형이고 기판에 평행한 기판-대면 표면 및 평면형이거나 커브될 수도 있는 반대되는 표면을 갖는다. 엘리먼트는 기판에 근접하게 위치되지만, 기판과 콘택트하지 않는다. 바람직하게, 엘리먼트는 기판의 약 10 ㎜ 이내, 보다 바람직하게 기판의 약 5 ㎜ 이내에 위치되고, 이 숫자는 기판의 도금 면과 엘리먼트의 기판-대면 표면 간의 가장 가까운 거리를 참조한다.
일부 실시예들에서, 엘리먼트의 최대 두께는 약 10 내지 약 50 ㎜의 범위이고, 최소 다공성은 통상적으로 약 1 내지 5 %의 범위이다. 다공성은 엘리먼트의 기판-대면 표면의 총 면적에 대한 이온 저항성 이온 투과성 엘리먼트의 기판-대면 표면 상의 채널 개구들의 면적의 비로서 결정된다.
비연통 쓰루 홀들을 갖는 엘리먼트의 예는, 약 6,000 내지 12,000 개의 1차원 쓰루 홀들을 갖는, 이온 저항성 재료, 예컨대 폴리에틸렌, 폴리프로필렌, 폴리비닐리덴 디플루오라이드 (PVDF), 폴리테트라플루오로에틸렌, 폴리술폰, 폴리비닐 클로라이드 (PVC), 폴리카보네이트, 등으로 이루어진 디스크이다. 일부 구현예들에서, 엘리먼트는 전해액 플로우 성형 기능을 더 제공할 수도 있고, 보다 큰 체적의 전해액으로 하여금 엘리먼트 바디의 채널들을 통과하게 할 수도 있고 웨이퍼의 표면에 충돌하는 전해액의 플로우를 제공할 수도 있다. 채널들의 직경들은 기판과 엘리먼트의 기판-대면 표면 간의 거리보다 보다 크지 않아야 하고, 통상적으로 직경은 5 ㎜를 초과하지 않아야 한다. 통상적으로, 채널들의 직경들은 약 0.5 내지 1 ㎜의 범위이다. 예를 들어 채널들은 0.508 ㎜ 또는 0.66 ㎜의 직경들을 가질 수도 있다. 채널들은 엘리먼트의 기판-대면 표면에 대해 90 °의 각으로 또는 상이한 상승 각으로 지향될 수도 있다.
본 명세서에 제공된 방위각적으로 비대칭인 차폐부들은 통상적으로 사용될 전해액들 (종종 산성 전해액들) 과 양립가능한 유전체 재료들로 이루어진다. 예를 들어 차폐부들은 산-내성 폴리머 재료들로 이루어질 수도 있다. 차폐부들의 기하구조는 보정될 특정한 불균일도에 대해 맞춰질 수 있다. 일부 실시예들에서, 상단 차폐부의 기판-대면 표면의 윤곽이 이루어진다. 본 명세서에 사용된 용어 "윤곽을 이루다 (contoured)"는 차폐부의 기판-대면 표면으로부터 기판의 작업 표면까지 적어도 2 개의 상이한 거리들을 제공하는 표면의 형상을 지칭한다. 일부 실시예들에서, 차폐부의 기판-대면 표면은, 2 개의 상이한 방사상 위치들 및 동일한 방위각 위치에서 차폐부로부터 기판까지의 거리들이 가변하도록 방사상 방향으로 윤곽을 이룬다. 일부 실시예들에서, (중심에 보다 가까운) 보다 작은 방사상 위치에서보다 (기판의 주변에 보다 가까운) 보다 큰 방사상 위치에서 기판까지의 거리가 보다 작도록 위치될 수 있는 차폐부들을 제공하는 것이 바람직하다. 예를 들어 에지-두꺼운 웨지형 차폐부들이 사용될 수 있다. 일부 실시예들에서, 상단 차폐부의 기판-대면 표면은 기판을 향한 거리가 방사상 위치에서 점진적으로 가변하도록 (예를 들어, 주변부를 향해 증가하는 방사상 위치와 함께 보다 작아지는) 윤곽을 이룬다. 일부 실시예들에서, 방위각적으로 비대칭인 상단 차폐부는 전해액으로 하여금 차폐부를 통과하게 하고 차폐된 영역에서 이온 전류 환경을 조절하게 하는 하나 이상의 개구들을 갖는다. 일부 실시예들에서, 방위각적으로 비대칭인 차폐부들은 전기도금 챔버 내에 차폐부를 장착하기 위해 그리고/또는 기판의 주변부에서 일정한 양의 대칭적인 차폐를 제공하도록 사용될 수 있는 내측 웨지형 부분에 릴리즈 가능하게 또는 고정적으로 부착된 환형부를 갖는다. 바람직한 실시예에서, 방위각적으로 비대칭인 상단 차폐부는 고정되고, 기판은 고정된 차폐부에 대해 회전한다.
도 5a 내지 도 5d는 방위각 균일도를 개선하기 위해 사용될 수 있는 차폐부들의 가능한 구성들의 다양한 실시예들을 예시한다. 명확성을 위해, 엘리먼트, 차폐부들 및 기판을 포함하는 장치의 일부만이 도시된다.
제공된 장치의 일 실시예는, 웨이퍼 기판의 주변의 도금 챔버의 일부분의 개략적 단면도를 도시하는 도 5a로 예시된다. 웨이퍼 기판 (501) 은 전기도금 동안 기판을 회전시키도록 구성된 기판 홀더 (503) 에 의해 제자리에 홀딩된다. 기판 홀더는 또한 기판의 주변부에서 웨이퍼 기판 (501) 과 전기적으로 연결되는 복수의 전기적 콘택트들을 포함한다. 기판은 전기도금 동안 도금될 표면과 함께 전해액 내로 침지되고, 음으로 바이어스된다. 컵 (505) 으로 지칭되는 기판 홀더의 일부는 기판의 도금 표면을 넘어 엘리먼트 (507) 을 향해 돌출한다. 엘리먼트 (507) 는, 마이크로챔버 (509) 가 형성되도록 기판의 도금 표면으로부터 거리 D1 (10 ㎜ 미만) 에 위치된다. 웨지형 차폐부 (511) 는 차폐부의 기판-대면 표면이 거리 D2 (2 ㎜ 미만, 바람직하게 약 0.5 내지 1.5 ㎜) 만큼 기판의 도금 표면으로부터 분리되도록 기판에 근접하게 위치된다. 웨지형 차폐부 (511) 의 하단 표면은 거리 D3만큼 엘리먼트 (507) 의 상단 표면으로부터 분리된다. 도시된 실시예에서, 전해액은 측면 상의 개구를 통해 마이크로챔버 (509) 내로 제공되고, 화살표들로 나타낸 바와 같이, 방위각으로 반대되는 위치의 또 다른 개구를 통해 마이크로챔버를 나간다. 도시된 실시예에서, 전해액을 위한 유출부는 웨지형 차폐부 (511) 와 엘리먼트 (507) 간의 갭의 주변부에 제공된다. 동시에, 전해액의 제 2 부분은 엘리먼트 (507) 의 채널들을 통해 상향으로 흐른다. 웨지형 방위각적으로 비대칭인 차폐부 (513) 는 엘리먼트들의 채널들이 이온 전류를 흘리는 것을 차단하도록 엘리먼트 (507) 의 하단 표면에 위치된다. 도시된 실시예에서, 이 하단 차폐부는 상단 웨지형 차폐부 (511) 와 같은 공간을 차지하지만, 일반적으로 하단 차폐량은 가변할 수 있고 상단 웨지형 차폐부 (511) 아래의 엘리먼트를 통과하는 전류의 양을 튜닝하도록 사용될 수 있다. 선택가능한 환형 대칭적 차폐부 (515) 는 엘리먼트 (507) 의 하단부에 놓여, 엘리먼트의 외측 주변부에서 채널들을 차단한다.
같은 공간을 차지하는 하단 웨지형 차폐부 및 상단 웨지형 차폐부를 갖는 어셈블리의 사시도가 도 6a에 도시된다. 이 도면에서, 상단 차폐부 (611) 는 엘리먼트 (609) 위에 놓이고 작은 갭에 의해 엘리먼트로부터 분리된다. 엘리먼트 상으로 투사된 차폐부 (611) 의 영역은, 엘리먼트의 하단 표면에 콘택트하고 이 영역의 이온 전류 플로우를 차단하는 하단 웨지형 차폐부 (613) 에 의해 이온 플로우가 차단된다.
도 5a 및 도 6a에 도시된 실시예들에서, 상단 차폐부는 편평한 기판-대면 표면 및 기판의 표면에 대해 일정한 거리를 갖는다. 다른 실시예들에서, 기판의 도금 표면으로부터 차폐부의 기판-대면 표면까지의 거리는 가변한다. 예를 들어, 차폐부의 상단 표면은 기판까지의 거리가 차폐부의 중심에서보다 차폐부의 주변부에서 보다 작도록 윤곽을 이룰 수도 있다. 이 거리에서의 변동은 기판의 표면에서 이온 전류의 분포를 조절하기 위한 부가적인 방법을 제공한다. (차폐부의 기판-대면 표면과 기판의 작업 표면 간의 거리가 가변하도록) 차폐부의 상단 표면의 윤곽을 이루는 것은, 차폐부가 기판에 매우 근접하게 위치된다면 (차폐부의 기판-대면 표면과 기판의 작업 표면 간의 가장 가까운 거리를 참조하면, 2 ㎜ 미만, 예컨대 1.5 ㎜ 이하), 이온 전류 분포를 조절하는데 특히 효율적인 방법이고, 차폐부가 기판으로부터 더 이격되게 위치된다면, 효율성을 상실한다. 이 실시예를 예시하는 장치의 일부의 단면도는, 도 5a의 장치에서와 같이 장치의 모든 엘리먼트들이 배열되지만 상단 차폐부 (521) 가 가변하는 두께를 갖고, 차폐부의 편평한 표면과 엘리먼트가 대면하도록 위치되는, 도 5b에 도시된다. 이 예에서 차폐부의 상단 표면으로부터 기판까지의 거리는 기판의 에지를 향해 방사상 방향으로 점진적으로 감소한다. 이러한 가변 두께 상단 차폐부들의 사시도들이 도 6b 및 도 6c에 도시된다.
일부 실시예들에서, 상단 차폐부는 차폐부를 통한 이온 전류의 통과를 허용하는 하나 이상의 개구들을 가질 수도 있다. 개구들의 존재는 차폐부가 전류 일부로 하여금 통과하게 하고 과차폐를 방지할 수도 있기 때문에, 차폐된 영역에서 전류를 조절하기에 유리할 수 있다. 도 5c는 차폐부 내에 윤곽을 이룬 상단 표면 및 일 개구 양자를 갖는 상단 차폐부 (531) 를 갖는 장치의 단면도를 도시한다. 도 6d는 차폐부가 윤곽을 이루지 않지만, 이온 저항성 이온 투과성 엘리먼트 위에 이온 전류의 통과를 위한 하나의 큰 개구를 갖는 또 다른 실시예의 사시도를 도시한다.
엘리먼트와 상단 차폐부 간의 갭의 존재는 가로지르는 플로우에 유리하지만, 일부 실시예들에서, 도 5d에 도시된 바와 같이 상단 차폐부 (521) 는 엘리먼트 (507) 와 직접 콘택트하게 놓일 수 있다. 차폐부의 기판-대면 표면으로부터 기판의 작업 표면까지의 가장 가까운 거리, D2는 약 2 ㎜ 미만, 바람직하게 약 0.5 내지 1.5 ㎜이다. 도시된 실시예에서, 차폐부의 기판-대면 표면과 기판의 작업 표면 간의 가장 큰 거리는 기판으로부터 엘리먼트까지의 거리 D1와 동일하고, 예를 들어, 약 2.5 내지 9 ㎜일 수 있다. 상단 차폐부가 엘리먼트의 바로 상단에 위치되고 엘리먼트의 홀들이 흘리는 것을 차단할 때, 상단 차폐부는 이미 홀 차단 기능을 달성하였기 때문에, 하단 차폐부의 존재는 필요하지 않다는 것을 주의한다.
도면들에 예시되지 않지만, (편평한 기판-대면 표면을 갖고, 윤곽을 이룬 기판-대면 표면을 갖고, 그리고 하나 이상의 개구들을 갖는) 도 5a 내지 도 5c에 도시된 모든 타입들의 상단 차폐부들은, 차폐부의 기판-대면 표면과 기판의 작업 표면 간의 가장 가까운 거리가 2 ㎜ 미만으로 제공된 상단 차폐부가 도 5d에 도시된 바와 같이 엘리먼트와 직접 콘택트하여 위치된 구성에서 사용될 수 있다는 것이 이해된다.
웨이퍼에서 목표된 전류 프로파일을 획득하기 위해 조절될 수 있는 또 다른 파라미터는, 상단 및 하단 방위각적으로 비대칭인 차폐부들 양자가 사용될 때, 상단 및 하단 방위각적으로 비대칭인 차폐부들에 의해 점유된 상대적인 면적들이다. 바람직하게, 엘리먼트 상으로 상단 차폐부에 의해 투사된 영역의 적어도 일부는 이온 전류가 차단된다. 일부 실시예들에서, 차단된 면적은 상단 차폐부의 투사의 총 면적보다 작다. 예를 들어, 이온 전류는 엘리먼트와 콘택트하고 총 투사 면적의 약 60 내지 99 %, 예컨대 70 내지 95 %를 점유하는 하단 차폐부에 의해 차단될 수 있다. 도 7a는 상단 차폐부 (701) 및 상단 차폐부 아래에 놓이고 상단 차폐부보다 작은 면적을 점유하는 하단 차폐부 (703) 의 개략적인 평면도를 도시한다. 차폐부들 사이에 놓인 엘리먼트는 명확성을 보존하도록 도시되지 않는다. 이러한 타입의 구성을 갖는 장치의 일부의 사시도는 도 7d에 도시되고, 이 경우, 하단 차폐부 (703) 가 엘리먼트 (705) 상에 상단 차폐부 (701) 에 의해 투사된 영역의 일부로부터의 이온 전류만을 차단한다.
일부 실시예들에서, 상단 차폐부의 엘리먼트 상으로의 전체 투사는 투사부 둘레의 부가적인 영역들이 차단되지 않는 동안 이온 전류가 차단된다. 이는 상단 차폐부와 같은 공간을 차지하는 하단 차폐부를 사용함으로써 달성될 수 있다. 이 구성은 하단 차폐부 (703) 위의 상단 차폐부 (701) 의 평면도를 도시하는 도 7b에 개략적으로 도시된다. 일부 실시예들에서, 엘리먼트 상으로 상단 차폐부에 의해 투사된 영역은 이온 전류가 차단되고, 투사된 영역에 인접한 부가적인 영역도 또한 차단된다. 예를 들어, 일부 실시예들에서 상단 차폐부보다 큰 면적을 갖는 하단 차폐부 (예를 들어, 방사상 방향으로 보다 큰 길이를 갖는) 가 사용될 수 있다. 이는 상단 차폐부 (701) 가 아래에 놓인 차폐부 (703) 보다 작은 투사된 면적을 갖는 도 7c에 예시된다.
방위각적으로 비대칭인 차폐부들의 형상 및 방사상 배치는 일반적으로 보정되어야 하는 방위각 불균일도의 타입 및 사이즈에 따라 결정된다. 통상적으로, 웨이퍼의 에지에서 패터닝되지 않은 영역을 갖는 웨이퍼 상의 이온 전류를 보정하기 위해, 웨지형 차폐부는 패터닝되지 않은 영역의 방사상 거리들과 같거나 근접한 방사상 거리에 위치된다. 일부 실시예들에서, 다이 소실 영역 (또는 방위각 불균일도을 유발하는 다른 영역) 의 면적보다 큰 면적을 갖는 방위각적으로 비대칭인 차폐부들을 사용하는 것이 바람직하다. 이는 스핀 사이클의 느린 스핀 부분 동안 전체 다이 소실 영역이 대부분의 시간을 차폐된 영역 내에 있는 것이 바람직하기 때문이다. 예를 들어, 일부 실시예들에서, 웨이퍼는 약 8 내지 30 °의 각 스핀보다 느린 레이트로 스핀되고, 방위각적으로 비대칭인 차폐부는 다이 소실 영역 (또는 방위각 불균일도를 유발하는 또 다른 영역) 에 대응하는 호 길이보다 큰 호 길이를 갖는다. 도 7e 및 도 7f는 방위각적으로 비대칭인 상단 차폐부 배치의 2가지 실시예들을 예시한다. 도 7e는 수평면 상의 웨이퍼 기판 (711) 의 투사와 관련하여 방위각적으로 비대칭인 차폐부 (701) 의 개략적인 평면도를 도시한다. 따라서,웨이퍼의 중심의 방사상 위치는 차폐부의 평면 상의 지점 A에 대응한다. 차폐부의 배치 및 사이즈는 높이 AB, 및 차폐부의 중심각 α를 특징으로 할 수 있다. 일부 실시예들에서, 중심각은 약 100 내지 180 °이고, 차폐부는 (300 ㎜ 웨이퍼가 프로세싱될 때) 약 110 내지 140 ㎜의 높이에 배치된다. 일부 실시예들에서, 중심각은 약 100 내지 180 °이고, 차폐부는 (임의의 직경의 웨이퍼에 대해) 웨이퍼의 에지에 대응하는 방사상 위치로부터 약 10 내지 40 ㎜의 방사상 거리에 위치된다 (지점 B로 참조됨). 일부 실시예들에서, 차폐부는 프로세싱될 웨이퍼의 직경의 60 내지 95 %에 대응하는 방사상 위치에 위치된다 (지점 B로 참조됨).
본 명세서에 제공된 방위각적으로 비대칭인 차폐부들은 웨이퍼 상향 대면 장치 (wafer face-up apparatus) 및 웨이퍼 하향 대면 장치를 포함하는 다양한 전기도금 장치들에서 사용될 수 있다. 기술된 차폐부들의 구성들 및 이온 저항성 이온 투과성 엘리먼트를 포함할 수 있는 웨이퍼 상향 대면 장치의 예는 California, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 Sabre 3DTM 전기도금 시스템이다. 일반적으로, 전기도금 장치는, 반도체 기판 상에 금속을 전기도금 하는 동안 전해액 및 애노드를 담도록 구성된 전기도금 챔버; 전기도금 동안 기판의 도금면이 애노드로부터 분리되도록 반도체 기판을 홀딩하도록 구성된 기판 홀더; 이온 전류로 하여금 이온 저항성 이온 투과성 엘리먼트를 통과하게 하는 복수의 비연통 채널들을 갖는 이온 저항성 이온 투과성 엘리먼트; 및 방위각적으로 비대칭인 차폐를 제공하도록 구성된 차폐부를 포함하고, 차폐부는 기판의 작업 표면과 차폐부의 기판-대면 표면 간의 가장 가까운 거리가 약 2 ㎜ 미만이도록 엘리먼트와 기판 사이에 위치된다. 차폐부의 기판-대면 표면은 기판의 도금 표면에 평행할 수도 있고 또는 기판의 도금 표면과 차폐부의 기판-대면 표면 간의 거리가 가변하도록 윤곽이 이루어질 수도 있다. 장치는 또한 본 명세서에 제공된 임의의 방법들을 수행하기 위한 프로그램 인스트럭션들을 갖는 제어기를 포함할 수도 있다.
방위각적으로 비대칭인 상단 차폐부가 기판에 인접하게 위치되고 전해액-충진된 갭에 의해 이온 저항성 이온 투과성 엘리먼트로부터 분리되는 장치의 일 예시적인 예가 도 8에 제공된다. 전기도금 장치의 도식적인 단면도가 도시된다. 도금 용기 (801) 는, 도금 용액 (전해액) (803) 을 담고, 통상적으로 금속 이온들 및 산의 소스를 포함한다. 웨이퍼 (809) 는 하향 대면 배향으로 도금 용액 내로 침지되고, "클램쉘" 홀딩 픽스처 (807) 에 의해 홀딩되고, 클램쉘 (807) 과 웨이퍼 (809) 의 일방향 또는 양방향 회전을 가능하게 하는 회전가능 스핀들 상에 장착된다. 본 발명에 사용하기 적합한 양태들을 갖는 클램쉘 타입 도금 장치의 일반적인 기술은, 참조로서 본 명세서에 인용된, Patton 등에 허여된 미국 특허 제 6,156,167 호 및 Reid 등에 허여된 미국 특허 제 6,800,187 호에 상세히 기술된다. (불활성이거나 소모성 애노드일 수도 있는) 애노드 (805) 는 도금 욕 (801) 내에서 웨이퍼 아래에 배치되고, 장치를 음극액 영역과 양극액 영역으로 분할하는 이온 선택성 멤브레인 (미도시) 에 의해 웨이퍼 영역으로부터 분리될 수도 있다. 이온 저항성 이온 투과성 엘리먼트 (811) 는 웨이퍼 (809) 와 인접하게 놓이고, 웨이퍼와 같은 공간을 차지하고, 그리고 10 ㎜ 이하의 전해액-충진된 갭에 의해 웨이퍼로부터 분리된다. 방위각적으로 비대칭인 상단 차폐부 (813) 는, 차폐부의 기판-대면 표면과 기판의 작업 표면 간의 가장 가까운 거리가 2 ㎜ 미만이도록 엘리먼트 (811) 와 웨이퍼 (809) 사이에 웨이퍼에 인접하게 위치된다. 상단 차폐부 (813) 는 갭만큼 엘리먼트 (811) 로부터 분리된다. 도시된 장치는 방위각적으로 비대칭인 하단 차폐부 (815) 를 더 포함하고, 하단 차폐부는 엘리먼트의 하단 표면과 콘택트하고, 엘리먼트 (811) 상으로의 상단 차폐부 (813) 으로부터 투사부 내에 들어가는 영역의 엘리먼트로 이온 전류가 통과하는 것을 차단한다.
도시된 실시예에서, 도금 용액은 엘리먼트 (811) 위의 도금 챔버 측면 상의 진입 포트 (817) 를 통해 펌프 (미도시) 에 의해 도금 욕 (801) 으로 제공된다. 도금 용액은 별도의 횡단 속도 컴포넌트 (웨이퍼의 도금면에 평행) 로 챔버를 통해 흐르고, 화살표들로 나타낸 바와 같이, 엘리먼트 (811) 와 상단 차폐부 (813) 간의 갭을 통과한 후 진출 포트 (819) 를 통해 도금 챔버를 나간다. 도시된 실시예에서, 진출 포트는 상단 차폐부 (813) 주변부 근방 및 진입 포트 (817) 에 반대되는 방위각 위치에 위치된다. 이 플로우 패턴은, 전체가 본 명세서에 참조로서 인용된, 2014년 8월 5일 Mayer 등에 허여된 명칭이 "Control of Electrolyte Hydrodynamics for Efficient Mass Transfer Control during Electroplating"인 미국 특허 제 8,795,480 호 및 2013년 11월 28일 공개된 Abraham 등의, 명칭이 "Cross Flow Manifold for Electroplating Apparatus"인 미국 특허 공개번호 제 2013/0313123 호에 상세히 기술된 직교-플로우 매니폴드 (cross-flow manifold) 를 사용하여 달성될 수 있다. 이들 실시예들에서의 장치는 엘리먼트와 웨이퍼 사이에 위치된 플로우 성형 디바이스를 포함할 수도 있고, 플로우 성형 디바이스는 웨이퍼 기판에 실질적으로 평행한 직교-플로우를 제공한다. 예를 들어 플로우 성형 디바이스는 오메가 형상 플레이틔 개구를 향해 직교-플로우를 지향시키는 오메가 형상 플레이트일 수도 있다. 동시에, 이온 전류는 웨이퍼의 도금 표면에 직교하는 현저한 충돌 컴포넌트를 갖는 방향으로 엘리먼트 (811) 의 채널들을 통해 챔버의 하단부로부터 이동한다. 도금 용액은 또한 동시에 제공되고 별도의 전해액 전달 루프에서 애노드 근방의 챔버의 하단부로 제거될 수도 있다.
DC 전력 공급부 (미도시) 는 웨이퍼 (809) 와 애노드 (805) 를 전기적으로 연결하고, 웨이퍼 (809) 를 음으로 바이어스하고 애노드 (805) 를 양으로 바이어스하도록 구성된다. 장치는 전기도금을 수행하기 위한 프로그램 인스트럭션들을 포함하고, 전기도금 셀의 엘리먼트들로 제공된 전류 및/또는 전위의 조절을 허용하는 제어기 (821) 를 더 포함한다. 제어기는 웨이퍼의 선택된 방위각 영역이 동일한 방사상 위치를 갖지만 상이한 방위각 위치를 갖는 영역과 유사한 시간 양 동안 차폐된 영역에 머물도록 웨이퍼의 회전 레이트들 및 웨이퍼 가속 및 감속 타이밍을 명시하는 프로그램 인스트럭션들을 포함할 수도 있다. 제어기는 또한 전해액 전달 레이트들 및 전해액 조성을 명시하는 프로그램 인스트럭션들을 포함할 수 있다. 일반적으로, 제어기는 도금 장치의 컴포넌트들과 전기적으로 연결되고, 제공된 전기도금 방법들의 모든 파라미터들을 명시하는 프로그램 인스트럭션들 또는 로직을 포함할 수 있다.
전기도금 장치는 전착의 균일도를 튜닝하는 것을 도울 수도 있는 하나 이상의 부가적인 컴포넌트들을 더 포함할 수도 있다. 예를 들어, 일부 실시예들에서, 장치는 기판 주변 근방에 위치된 씨빙 캐소드 (thieving cathode) 를 포함하고, 기판의 에지 근방부로부터 도금 전류의 방황을 바꾸도록 구성된다. 일부 실시예들에서, 장치는 차폐된 영역 내에 전류를 제한하도록 도금 전류의 경로 상에 하나 이상의 방위각적으로 비대칭인 유전체 차폐부들을 더 포함할 수도 있다. 이들 선택가능한 컴포넌트들은 명확성을 보존하기 위해 장치의 예시에 도시되지 않는다.
본 명세서에 제공된 방위각적으로 비대칭인 차폐부들의 사용한 방위각 불균일도의 보정은 전체가 참조로서 본 명세서에 인용된, 2014년 10월 14일에 Mayer 등에 허여된 명칭이 "Electroplating Apparatus for Tailored Uniformity Profile"인 미국 특허 제 8,858,774 호에 상세히 기술된 방법들을 사용하여 달성될 수 있다. 방법들은 본 명세서에 기술된 임의의 전기도금 장치 내로 웨이퍼 기판을 제공하고, 선택된 방위각 위치의 기판의 선택된 부분이 동일한 평균 호 길이 및 동일한 평균 방사상 위치를 갖고 상이한 각의 방위각 위치에 놓이는 기판의 제 2 부분과 상이한 시간 양 동안 차폐된 영역에 머물도록 기판이 차폐부에 대해 회전하는 동안 기판 상에 금속을 전기도금하는 것을 수반한다. 일부 실시예들에서, 이는 다양한 회전 방법을 사용함으로써 달성된다. 이 방법에서, 웨이퍼의 선택된 방위각 영역은, 주어진 영역, 예를 들어 엘리먼트의 홀 영역에 대해 특정한 각 속도, R1로 회전하고, 이어서 또 다른 영역, 예를 들어, 차폐된 영역에 대해 상이한 각 속도, R2로 회전한다. 즉, 임의의 개별적인 웨이퍼의 완전한 회전 동안, 회전 속도를 가변시키는 것은 웨이퍼가 노출되는, 시간 평균된 차폐부의 방위각 가변 양을 조정 및 획득하는 일 방법이다. 일 실시예는 상기 기술된 장치들 중 어느 하나에서 전기도금하는 것이고, 이 경우 웨이퍼 속도는 회전 각각 동안 가변하거나, 대안적으로 웨이퍼 속도는 단일 회전 동안 또는 일부 회전들 동안 가변할 수도 있고, 다른 경우들에는 가변하지 않을 수도 있다. 또한, 웨이퍼 속도는 (양방향 회전이 사용된다면) 회전의 일 방향 (예를 들어 시계 방향) 으로 스핀하는 경우에만 가변할 수도 있고 다른 방향 (예를 들어 반시계 방향) 으로 스핀하는 동안에는 가변하지 않을 수도 있거나 두 회전 방향들에서 가변할 수도 있다.
이 프로세스는 도 9에 도시된 프로세스 흐름도로 예시된다. 프로세스는 웨이퍼 상에 선택된 방위각 위치를 등록함으로써 동작 901에서 시작된다. 예를 들어 다이 소실 영역의 방위각 위치 또는 노치의 방위각 위치는 광학 정렬기에 의해 등록될 수도 있고 또는 메모리에 기록될 수도 있다. 동작 903에서, 기판은 기판 홀더 내로 제공되고, 전해액 내로 침지된다. 동작 905에서 기판의 선택된 부분이 차폐된 영역 내에 없다면, 기판이 제 1 속도로 회전하는 동안 기판이 도금된다. 동작 907에서, 기판은, 기판의 선택된 부분이 차폐된 영역 (즉 상단 차폐부 위) 을 통과할 때 상이한 속도록 회전된다. 가변 속도 회전은 나중에 필요에 따라 반복될 수 있다. 예를 들어, 완전한 1 회전은 20 rpm 이상의 회전 기간 이어서 10 rpm 이하의 회전 기간을 포함할 수도 있고, 이 경우 도금은 적어도 5 회의 완전한 가변-속도 회전들을 포함한다. 일 예에서, 웨이퍼의 선택된 부분이 차폐되지 않을 때, 웨이퍼의 완전한 1 회전은 약 40 rpm의 회전 기간을 포함하고, 이어서 웨이퍼의 선택된 부분이 차폐된 영역을 통과하는 동안 약 1 rpm의 회전 기간을 포함한다. 도금은 적어도 약 10 회, 예컨대 적어도 약 20 회의 가변 속도 회전들을 포함할 수도 있다. 전기도금의 모든 회전들이 가변 속도일 필요는 없다는 것이 이해된다. 예를 들어, 도금 프로세스는 일정한 속도의 완전한 회전들 및 가변 속도 완전한 회전들 양자를 포함할 수 있다. 또한, 가변 속도 회전은 전기도금 프로세스에서 일방향 회전 및 양방향 회전 양자 동안 구현될 수 있다.
일부 실시예들에서, 기판은 웨이퍼 상의 2 개의 별도의 방위각 부분들이 유사한 방위각 부분들 (동일한 평균 호 길이 및 동일한 평균 방사상 위치를 갖고 상이한 각의 방위각 위치에 놓이는 부분들) 보다 길게 차폐된 영역 내에 머물도록 기판의 완전한 1 회전 당 2 회 이상 보다 많은 차폐된 영역에 대해 늦춰질 수도 있다. 일부 실시예들에서, 2 이상의 방위각적으로 비대칭인 상단 차폐부들이 사용될 수 있다.
일부 실시예들에서, 3 이상의 속도들이 채용될 수도 있다. 예를 들어, 기판의 완전한 1 회전은 제 1 속도의 회전, 이어서 제 2 속도로 늦춰지고; 제 2 속도의 회전, 이어서 제 3 속도로 빨라지고; 제 3 속도의 회전, 이어서 제 4 속도로 늦춰지고; 제 4 속도의 회전, 이어서 제 1 속도로 빨라지는 회전을 포함할 수도 있고, 제 1 속도 및 제 3 속도는 동일하거나 상이할 수도 있고, 제 2 속도 및 제 4 속도는 상이하거나 동일할 수도 있다. 가속 기간 및 감속 기간은 매우 신속할 수도 있고, 또는 일부 실시예들에서, 상대적으로 길 수도 있다. 머무는 기간들뿐만 아니라 가속 기간 및 감속 기간은 개선된 균일도를 달성하기 위해 조절될 수 있다. 예를 들어, 1 이상의 가속 시간들, 감속 시간들 및 머무는 시간들을 명시하는 상이한 파형들은 장치와 전기적으로 연결된 제어기의 프로그램 인스트럭션들의 형태로 사용될 수도 있다. 일 예시적인 제어기는 (a) 제 1 각 스팬에 대해 제 1 레이트로 기판을 회전시키기 위한 프로그램 인스트럭션; (b) 제 2 각 스팬에 대해 상기 제 1 레이트로부터 제 2 레이트로 기판을 감속시키기 위한 프로그램 인스트럭션; (c) 제 3 각 스팬에 대해 제 2 레이트로 기판을 회전시키기 위한 프로그램 인스트럭션; 및 (d) 제 4 각 스팬에 대해 다시 제 1 레이트로 기판을 가속시키기 위한 프로그램 인스트럭션을 포함하고, 프로그램 인스트럭션 (a) 내지 프로그램 인스트럭션 (d) 는 기판의 완전한 1 회전 (360 °의 각 스팬에 대응) 동안 수행된다. 각 스팬은 웨이퍼의 중심에서 시작되는 각을 지칭한다.
또 다른 구현예에서, 차폐된 영역에서 머무는 시간에 대한 유사한 효과가 양방향 회전을 사용하여 달성될 수 있다. 양방향 회전은 차폐된 영역에서 선택된 방위각 위치의 기판의 선택된 부분의 머무는 시간이 (동일한 평균 호 길이 및 동일한 평균 방사상 위치를 갖는) 상이한 방위각 위치의 기판의 유사한 부분의 머무는 시간과 상이하도록, 선택된 방위각 위치의 기판의 선택된 부분의 머무는 시간을 조정하도록 사용될 수 있다. 예를 들어, 웨이퍼가 상이한 각도로 시계 방향 및 반시계 방향으로 회전한다면, 다른 것들보다 특정한 방위각 위치들에서 보다 많은 시간을 보낼 것이다. 이들 위치들은 차폐되는 방위각 위치들에 대응하도록 선택될 수도 있다. 예를 들어, 웨이퍼가 시계 방향으로 360 °만큼 회전하고 반시계 방향으로 90 °만큼 회전하면, 270 내지 360 °의 섹터에서 보다 긴 시간을 보낼 것이다. 따라서, 일부 실시예들에서, 웨이퍼는, 기판의 선택된 방위각 영역이 방위각적으로 비대칭인 상단 차폐부에 의해 차폐된 영역에서 보다 오래 머물도록 양방향으로 회전된다.
실험 예들
실험 A 내지 실험 D. 차폐부들의 4 가지 상이한 구성들에 대해 도금 전류 및 도금된 두께의 분포가 실험적으로 연구되었다. 모든 경우들에서, 구리의 전기도금이 방위각적으로 비대칭인 영역을 갖지 않는 블랭킷 300 ㎜ 반도체 웨이퍼들에 대해 수행된다. 따라서, 차폐 효율 및 차폐의 기하구조는 차폐된 영역에서 도금된 두께의 감소에 의해 평가된다. 모든 경우들에서, 전기도금 장치는 비연통 채널들을 갖는 이온 저항성 이온 투과성 엘리먼트를 포함하고, 엘리먼트는 편평한 웨이퍼 대면 표면을 갖고, 웨이퍼의 도금 표면으로부터 4.5 ㎜만큼 이격된다. 실험 B, 실험 C, 및 실험 D에서, 전기도금 장치는, 엘리먼트의 상단 표면과 차폐부의 하단 표면 사이에 1.5 ㎜ 전해액-충진된 갭이 있도록 엘리먼트 위에 위치된 방위각적으로 비대칭인 웨지형 차폐부를 포함한다. 갭은 기판의 도금 표면에 평행한 방향의 전해액 플로우를 허용한다. 제공된 예들에서, 전해액은 외측 방향으로 갭 내를 흐르고 갭의 에지에서 도금 셀을 여기한다. 웨이퍼는 24 rpm의 회전 레이트로 회전하고, 웨이퍼의 선택된 방위각 위치가 방위각적으로 비대칭인 차폐부를 통과할 때, 10 °의 각 스팬에 대해 1 rpm으로 늦춰진다.
실험 A (비교예). 비교를 위한 실험 A에서 전기도금 장치는 엘리먼트 위에 어떠한 방위각적으로 비대칭인 차폐부들도 갖지 않고, 엘리먼트의 채널들을 차단하는 웨지형 하단 차폐부만을 포함한다. 하단 차폐부의 중심 각은 114 °이고, 120 ㎜의 높이에 위치된다. 이 구성은 장치의 일부 (우측 에지) 의 측단면도를 도시하는 도 10a에 개략적으로 예시된다. 하단 차폐부 (1001) 는 엘리먼트 (1003) 바로 아래에 엘리먼트 (1003) 와 콘택트하여 위치된다.
실험 B. 실험 B에서 전기도금 장치는 실험 A와 동일한 웨지형 하단 차폐부를 포함하지만, 하단 차폐부와 같은 공간을 차지하는 상단 웨지형 차폐부를 부가적으로 포함하고, 상단 차폐부는 차폐부의 편평한 웨이퍼 대면 표면으로부터 웨이퍼까지의 거리가 0.5 ㎜이도록 위치된다. 상단 차폐부의 중심 각은 114 °이고 120 ㎜의 높이에 위치된다. 이 구성은 장치의 일부 (우측 에지) 의 측단면도를 도시하는 도 10a에 개략적으로 예시된다. 하단 차폐부 (1001) 는 엘리먼트 (1003) 바로 아래에 엘리먼트 (1003) 와 콘택트하여 위치되는 한편, 상단 차폐부 (1005) 는 엘리먼트 위에 위치되고 하단 차폐부와 같은 공간을 차지한다.
실험 C. 실험 C에서 전기도금 장치는 실험 A와 동일한 웨지형 하단 차폐부를 포함하지만, 하단 차폐부보다 작은 상단 웨지형 차폐부를 더 포함한다. 상단 차폐부는 또한 실험 B의 상단 차폐부보다 얇고, 차폐부의 편평한 웨이퍼 대면 표면으로부터 웨이퍼까지의 거리가 1.5 ㎜이도록 위치된다. 상단 차폐부의 중심 각은 114 °이고 130 ㎜의 높이에 위치된다. 따라서, 이 구성에서 하단 차폐부는 상단 차폐부의 전체 투사부뿐만 아니라, 부가적인 영역도 점유한다. 이 구성은 장치의 일부 (우측 에지) 의 측단면도를 도시하는 도 10c에 개략적으로 예시된다. 하단 차폐부 (1001) 는 엘리먼트 (1003) 바로 아래에 엘리먼트 (1003) 와 콘택트하여 위치되는 한편, 얇은 상단 차폐부 (1005) 는 엘리먼트 위에 위치된다.
실험 D. 실험 D에서 전기도금 장치는 상단 차폐부만을 포함하고 하단 차폐부는 포함하지 않는다. 상단 차폐부는 실험 B와 같고, 차폐부의 편평한 웨이퍼 대면 표면으로부터 웨이퍼까지의 거리가 0.5 ㎜이도록 위치된다. 상단 차폐부의 중심 각은 114 °이고 120 ㎜의 높이에 위치된다. 이 구성은 장치의 일부 (우측 에지) 의 측단면도를 도시하는 도 10d에 개략적으로 예시된다. 상단 차폐부 (1005) 는 엘리먼트 (1003) 의 우측 에지 위에 놓인다.
도 11a는 실험 A (커브 a) 및 실험 B (커브 b) 에서 획득된 전기도금된 두께 분포를 비교하는 플롯을 예시한다. 플롯은 차폐가 발생하는 방위각 위치에서의 웨이퍼 반경의 함수로서 정규화된 두께를 도시한다. 도금된 두께는 구성 A에서보다 구성 B에서 웨이퍼의 주변에서 보다 상당히 크게 떨어진다는 것을 알 수 있다. 구성 B에서 차폐부는 구성 A에서보다 웨이퍼의 중심으로부터 보다 먼 거리에서 보다 갑자기 "작동"하기 시작한다는 것을 또한 알 수 있다.
도 11b는 실험 A (플롯 a) 및 실험 B (플롯 b) 동안 웨이퍼 상에 도금된 두께의 3차원 플롯을 도시한다. 실험 B에서 사용된 상단 차폐부 및 하단 차폐부를 갖는 구성은 실험 A에서 사용된 하단 차폐부만을 갖는 구성보다 우수한 차폐를 제공한다는 것을 알 수 있다.
도 11c는 실험 A (커브 a) 및 실험 C (커브 c) 에서 획득된 전기도금된 두께 분포를 비교하는 플롯을 예시한다. 두 구성들이 웨이퍼의 가장 에지에서 동일한 차폐를 갖지만, 실험 C에서 사용된 구성은 실험 A에서 사용된 구성보다 주변 영역 (약 110 내지 140 ㎜의 방사상 거리) 에서 감소된 차폐부를 제공한다는 것을 알 수 있다. 도 11d는 실험 A (플롯 a) 및 실험 C (플롯 c) 동안 웨이퍼 상에 도금된 두께의 3차원 플롯을 도시한다.
도 11e는 실험 A (커브 a) 및 실험 D (커브 d) 에서 획득된 전기도금된 두께 분포를 비교하는 플롯을 예시한다. 구성 D는 구성 A보다 우수한 차폐를 웨이퍼의 에지에서 제공하지만, 약 120 ㎜의 방사상 위치에서 두께가 급증한다 (spike). 이러한 두께의 급증은 엘리먼트를 통과할 수 있고 상단 차폐부의 주변부로 지향될 수 있어서 이 영역에 전류 범람을 유발하는 하단 차폐 전류의 부재라는 사실로 인한 것이다. 도 11f는 실험 A (플롯 a) 및 실험 D (플롯 d) 에 대한 웨이퍼 상에 도금된 두께의 3차원 플롯을 도시한다.
실험 E, 실험 F, 및 실험 G. 이들 실험들에서, 도금된 구리 두께의 분포는 에지 (142 ㎜의 방사상 위치로부터 150 ㎜의 방사상 위치까지) 에 위치된 다이 소실 영역을 갖고, 대체로 도 1에 도시된 바와 같이 성형된, 패터닝된 300 ㎜ 웨이퍼 상에서 3 개의 상이한 구성들에 대해 실험적으로 연구되었다. 모든 경우들에서, 전기도금 장치는 비연통 채널들을 갖는 이온 저항성 이온 투과성 엘리먼트를 포함하고, 엘리먼트는 편평한 웨이퍼 대면 표면을 갖고, 4.5 ㎜만큼 웨이퍼의 도금 표면으로부터 이격된다. 비교를 위한 실험 E 및 실험 F에서 전기도금 장치는, 돋 10a에 도시된 바와 같이, 엘리먼트 아래에 방위각적으로 비대칭인 하단 차폐부만을 갖고 상단 차폐부는 없다. 실험 G에서, 전기도금 장치는, 도 10b의 구성에 도시된 바와 같이, 상단 차폐부 및 하단 차폐부 양자를 갖고, 두 차폐부들은 160 °의 중심 각을 갖는 웨지 형상이고, (웨이퍼의 중심의 방사상 위치로부터 웨지의 중심 각의 꼭지점을 참조하면) 130 ㎜의 방사상 위치에 위치된다. 엘리먼트의 상단 표면과 상단 차폐부의 하단 표면 간의 갭은 0.5 ㎜이다.
실험 E에서 웨이퍼는 4 rpm의 일정한 속도로 회전하고 방위각 균일도의 보정은 수행되지 않았다. 실험 F 및 실험 G에서 웨이퍼는 24 rpm의 속도로 회전되고 다이 소실 영역이 차폐된 영역을 통과할 때 10 °의 각 스팬에 대해 1 rpm으로 늦춰진다.
도 12는 다이 소실 영역에 인접한 방사상 거리의 함수로서 정규화된 도금된 두께를 예시하는 플롯이다. 실험 E, 실험 F, 및 실험 G에서 획득된 도금된 두께는 커브 e, 커브 f, 및 커브 g로 각각 예시된다. 예상된 바와 같이 어떠한 방위각 불균일도 보정도 없이 획득된 커브 (e) 가 전류 범람으로 인해 다이 소실 영역 근방에서 가장 두드러진 두께의 증가를 보인다는 것을 알 수 있다. 하단 차폐부만을 갖는, 방위각 불균일도 보정이 수행된 커브 (f) 는 균일도의 개선을 예시하지만, 또한 115 내지 135 ㎜ 영역에서 과차폐로 인한 필요한 두께보다 작은 두께 영역을 갖는다. 커브 (g) 는 본 명세서에 제공된 실시예들을 사용한 장점들을 예시한다. 본 명세서에 제공된 바와 같이, 상단 차폐부 및 하단 차폐부 양자가 사용될 때, 115 내지 135 ㎜ 영역에서의 과차폐가 감소되고 균일도가 개선된다.
제어기
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 1차 애노드, 2차 전극, 및 기판으로의 전력의 전달 파라미터들을 포함하는 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다. 구체적으로, 제어기는 전력의 인가 타이밍, 인가된 전력의 레벨, 등에 대한 인스트럭션들을 포함할 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
본 명세서에 상기 기술된 장치 및 프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LEDs, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그런 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로, 단계들 각각이 다수의 가능한 툴들을 사용하여 인에이블되는, 이하의 단계들: (1) 스핀-온 (spin-on) 툴 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 그 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계의 일부 또는 전부를 포함한다.

Claims (28)

  1. (a) 반도체 기판 상에 금속을 전기도금 하는 동안 전해액 및 애노드를 담도록 구성된 도금 챔버;
    (b) 전기도금 동안 상기 반도체 기판을 홀딩하도록 구성된 기판 홀더;
    (c) 기판-대면 표면 및 반대편 표면을 포함하는 이온 저항성 이온 투과성 엘리먼트 (ionically resistive ionically permeable element) 로서, 상기 이온 저항성 이온 투과성 엘리먼트는 전기도금 동안 상기 반도체 기판을 향해 상기 이온 저항성 이온 투과성 엘리먼트를 통해 이온 전류의 플로우를 허용하고, 상기 이온 저항성 이온 투과성 엘리먼트는 복수의 비연통 (non-communicating) 채널들을 포함하고, 상기 이온 저항성 이온 투과성 엘리먼트는 상기 이온 저항성 이온 투과성 엘리먼트의 상기 기판-대면 표면과 상기 반도체 기판의 작업 표면 간의 가장 가까운 거리가 10 ㎜ 이하이도록 위치되는, 상기 이온 저항성 이온 투과성 엘리먼트; 및
    (d) 방위각적으로 비대칭인 차폐를 제공하도록 구성된 차폐부로서, 상기 차폐부는 기판-대면 표면 및 반대편 표면을 갖고, 상기 차폐부는 상기 차폐부의 상기 기판-대면 표면과 상기 반도체 기판의 상기 작업 표면 간의 가장 가까운 거리가 2 ㎜ 미만이도록 그리고 전기도금 동안 상기 이온 저항성 이온 투과성 엘리먼트의 상기 기판-대면 표면과 상기 차폐부 사이에 전해액-충진된 갭이 있도록 위치되는, 상기 차폐부를 포함하고,
    상기 차폐부의 투사부에 속하는 상기 비연통 채널들의 적어도 일부는 상기 비연통 채널들의 상기 일부를 통한 이온 전류 플로우가 차단되도록 차단되거나, 또는 상기 차폐부의 투사부에 속하는 상기 이온 저항성 이온 투과성 엘리먼트의 일부는 채널들을 갖지 않는, 전기도금 장치.
  2. 제 1 항에 있어서,
    상기 차폐부의 상기 기판-대면 표면과 상기 반도체 기판의 상기 작업 표면 간의 가장 가까운 거리는 0.5 ㎜ 내지 1.5 ㎜인, 전기도금 장치.
  3. 제 1 항에 있어서,
    상기 차폐부의 상기 기판-대면 표면은 상기 차폐부의 상기 기판-대면 표면으로부터 상기 반도체 기판의 상기 작업 표면까지의 거리가 가변하도록 윤곽이 이루어지는, 전기도금 장치.
  4. 제 1 항에 있어서,
    상기 차폐부의 상기 기판-대면 표면은 상기 차폐부의 상기 기판-대면 표면으로부터 상기 반도체 기판의 상기 작업 표면까지의 거리가 선택된 방위각 위치에 대해 방사상으로 가변하도록 윤곽이 이루어지는, 전기도금 장치.
  5. 제 1 항에 있어서,
    상기 차폐부의 상기 기판-대면 표면은, 상기 차폐부의 상기 기판-대면 표면으로부터 상기 반도체 기판의 상기 작업 표면까지의 거리가 제 2 방사상 위치에서보다 제 1 방사상 위치에서 보다 크도록 윤곽이 이루어지고, 상기 제 2 방사상 위치는 상기 제 1 방사상 위치보다 큰, 전기도금 장치.
  6. 제 1 항에 있어서,
    상기 차폐부의 상기 기판-대면 표면은, 방사상 위치가 적어도 상기 차폐부의 일부에 대해 증가함에 따라 상기 차폐부의 상기 기판-대면 표면으로부터 상기 반도체 기판의 상기 작업 표면까지의 거리가 방사상 방향으로 점진적으로 감소하도록 윤곽이 이루어지는, 전기도금 장치.
  7. 삭제
  8. 제 1 항에 있어서,
    상기 차폐부는 하나 이상의 전해액-투과성 개구들을 갖는, 전기도금 장치.
  9. 제 1 항에 있어서,
    상기 차폐부는 웨지 형상인, 전기도금 장치.
  10. 제 1 항에 있어서,
    상기 차폐부는 웨지 형상이고, 100 내지 180 °의 중앙 웨지 각을 갖고, 상기 반도체 기판의 에지의 방사상 위치로부터 10 내지 40 ㎜의 방사상 거리에 위치되는, 전기도금 장치.
  11. 제 1 항에 있어서,
    상기 이온 저항성 이온 투과성 엘리먼트는, 전기도금 동안 상기 이온 저항성 이온 투과성 엘리먼트의 상기 기판-대면 표면과 상기 반도체 기판의 상기 작업 표면 간의 상기 거리가 2 내지 10 ㎜이도록 위치되고, 상기 차폐부는, 전기도금 동안 상기 차폐부의 상기 기판-대면 표면과 상기 반도체 기판의 상기 작업 표면 간의 가장 작은 거리가 1.5 ㎜ 이하이도록 위치되는, 전기도금 장치.
  12. 삭제
  13. 삭제
  14. 제 1 항에 있어서,
    상기 차폐부의 투사부에 속하는 상기 비연통 채널들의 상기 적어도 일부는 이온 저항성 이온 투과성 엘리먼트의 상기 반대편 표면에 콘택트하는 제 2 차폐부에 의해 차단되는, 전기도금 장치.
  15. 삭제
  16. 제 1 항에 있어서,
    마이크로챔버로 흐르는 전해액을 도입하기 위한 상기 반도체 기판과 상기 이온 저항성 이온 투과성 엘리먼트 간의 상기 마이크로챔버로의 유입부 및 상기 마이크로챔버를 통해 흐르는 전해액을 수용하기 위한 상기 마이크로챔버로의 유출부를 더 포함하고,
    상기 유입부 및 상기 유출부는 상기 반도체 기판의 상기 작업 표면의 방위각으로 반대되는 주변 위치들 근방에 위치되고, 상기 유입부 및 상기 유출부는 상기 마이크로챔버 내에서 전해액의 직교-플로우 (cross-flow) 를 생성하도록 구성되는, 전기도금 장치.
  17. 제 1 항에 있어서,
    상기 전기도금 장치는 상기 이온 저항성 이온 투과성 엘리먼트와 상기 차폐부 간의 상기 갭을 통해 전해액 직교-플로우를 생성하기 위해 구성되는, 전기도금 장치.
  18. 제 1 항에 있어서,
    상기 이온 저항성 이온 투과성 엘리먼트와 상기 차폐부 간의 상기 갭은 0.5 내지 5 ㎜인, 전기도금 장치.
  19. 제 1 항에 있어서,
    선택된 방위각 위치에서 상기 반도체 기판의 선택된 부분이 동일한 평균 호 길이 및 동일한 평균 방사상 위치를 갖고 상이한 각의 방위각 위치에 놓이는 상기 반도체 기판의 제 2 부분과 상이한 양의 시간 동안 차폐된 영역에 머물도록 상기 반도체 기판이 상기 차폐부에 대해 회전하는 동안 상기 반도체 기판 상에 상기 금속을 전기도금하기 위한 프로그램 인스트럭션들 및/또는 로직을 포함하는 제어기를 더 포함하는, 전기도금 장치.
  20. 제 1 항에 기재된 상기 전기도금 장치 및 스텝퍼를 포함하는, 시스템.
  21. 방위각 균일도를 제어하는 동안 반도체 기판 상에 금속을 전기도금하기 위한 방법에 있어서,
    상기 방법은,
    (a) 전기도금 동안 상기 반도체 기판을 회전시키기 위해 구성된 제1항에 기재된 상기 전기도금 장치 내로 상기 반도체 기판을 제공하는 단계; 및
    (b) 선택된 방위각 위치에서 상기 반도체 기판의 선택된 부분이 동일한 평균 호 길이 및 동일한 평균 방사상 위치를 갖고 상이한 각의 방위각 위치에 놓이는 상기 반도체 기판의 제 2 부분과 상이한 양의 시간 동안 차폐된 영역에 머물도록 상기 반도체 기판이 상기 차폐부에 대해 회전하는 동안 상기 반도체 기판 상에 상기 금속을 전기도금하는 단계를 포함하는, 전기도금 방법.
  22. 제 21 항에 있어서,
    상기 단계 (b) 는 상기 선택된 방위각 위치가 상기 차폐된 영역에 머물 때, 상기 반도체 기판의 상기 회전을 늦추는 것을 포함하는, 전기도금 방법.
  23. 제 21 항에 있어서,
    상기 단계 (b) 는 상기 반도체 기판 상의 2 개의 별도의 방위각 부분들이 상기 반도체 기판의 유사한 방위각 부분들보다 오래 상기 차폐된 영역에 머물도록, 상기 반도체 기판의 완전한 1 회전 당 2 회 이상 상기 반도체 기판의 속도를 늦추는 것을 포함하는, 전기도금 방법.
  24. 제 21 항에 있어서,
    상기 반도체 기판의 완전한 1 회전은 제 1 속도로 상기 반도체 기판을 회전시키고, 이어서 상기 반도체 기판을 제 2 속도로 감속시키고; 상기 제 2 속도로 상기 반도체 기판을 회전시키고 이어서 제 3 속도로 상기 반도체 기판을 가속시키고; 상기 제 3 속도로 상기 반도체 기판을 회전시키고 이어서 상기 반도체 기판을 제 4 속도로 감속시키고; 그리고 상기 제 4 속도로 상기 반도체 기판을 회전시키고 이어서 상기 제 1 속도로 가속시키는 것을 포함하는, 전기도금 방법.
  25. 제 21 항에 있어서,
    상기 차폐부의 상기 기판-대면 표면은, 상기 차폐부의 상기 기판-대면 표면으로부터 상기 반도체 기판의 상기 작업 표면까지의 거리가 선택된 방위각 위치에 대해 가변하도록 윤곽이 이루어지는, 전기도금 방법.
  26. 제 21 항에 있어서,
    상기 차폐부의 상기 기판-대면 표면은, 상기 방사상 위치가 적어도 상기 차폐부의 일부에 대해 증가함에 따라 상기 차폐부의 상기 기판-대면 표면으로부터 상기 반도체 기판의 상기 작업 표면까지의 거리가 방사상 방향으로 점진적으로 감소하도록 윤곽이 이루어지는, 전기도금 방법.
  27. 제 21 항에 있어서,
    포토레지스트를 상기 반도체 기판에 도포하는 단계;
    상기 포토레지스트를 광에 노출시키는 단계;
    상기 포토레지스트를 패터닝하고 상기 패턴을 상기 반도체 기판에 전사하는 단계; 및
    상기 포토레지스트를 상기 반도체 기판으로부터 선택적으로 제거하는 단계를 더 포함하는, 전기도금 방법.
  28. 삭제
KR1020160068193A 2015-06-09 2016-06-01 전기도금 시 방위각 균일도를 조정하기 위한 장치 및 방법 KR102641119B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/734,882 2015-06-09
US14/734,882 US9988733B2 (en) 2015-06-09 2015-06-09 Apparatus and method for modulating azimuthal uniformity in electroplating

Publications (2)

Publication Number Publication Date
KR20160144914A KR20160144914A (ko) 2016-12-19
KR102641119B1 true KR102641119B1 (ko) 2024-02-28

Family

ID=57516743

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160068193A KR102641119B1 (ko) 2015-06-09 2016-06-01 전기도금 시 방위각 균일도를 조정하기 위한 장치 및 방법

Country Status (4)

Country Link
US (2) US9988733B2 (ko)
KR (1) KR102641119B1 (ko)
CN (2) CN110387564A (ko)
TW (1) TWI700395B (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI550139B (zh) 2011-04-04 2016-09-21 諾菲勒斯系統公司 用於裁整均勻輪廓之電鍍裝置
JPWO2018163399A1 (ja) * 2017-03-10 2019-11-07 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP6859150B2 (ja) * 2017-03-22 2021-04-14 株式会社荏原製作所 めっき装置及びめっき槽構成の決定方法
US11001934B2 (en) * 2017-08-21 2021-05-11 Lam Research Corporation Methods and apparatus for flow isolation and focusing during electroplating
KR102446560B1 (ko) * 2017-09-07 2022-09-26 에이씨엠 리서치 (상하이) 인코포레이티드 도금 척
TWI791785B (zh) * 2019-03-06 2023-02-11 大陸商盛美半導體設備(上海)股份有限公司 電鍍夾盤
PL3739122T3 (pl) 2019-05-14 2021-11-29 Joseph Vögele AG Układarka i sposób określania grubości warstwy wytwarzanej warstwy wbudowanej
JP7354020B2 (ja) * 2020-03-04 2023-10-02 株式会社荏原製作所 めっき装置および抵抗体
US11401624B2 (en) * 2020-07-22 2022-08-02 Taiwan Semiconductor Manufacturing Company Limited Plating apparatus and method for electroplating wafer
US11795566B2 (en) * 2020-10-15 2023-10-24 Applied Materials, Inc. Paddle chamber with anti-splashing baffles
WO2022197738A1 (en) * 2021-03-19 2022-09-22 Lam Research Corporation Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate
US11942341B2 (en) 2022-01-26 2024-03-26 Asmpt Nexx, Inc. Adaptive focusing and transport system for electroplating
JP7126634B1 (ja) * 2022-01-31 2022-08-26 株式会社荏原製作所 めっき装置、およびめっき方法
TWI806408B (zh) * 2022-02-08 2023-06-21 日商荏原製作所股份有限公司 鍍覆裝置及鍍覆方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100032303A1 (en) * 2006-08-16 2010-02-11 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US20120258408A1 (en) * 2008-11-07 2012-10-11 Mayer Steven T Electroplating apparatus for tailored uniformity profile
US20130327650A1 (en) 2008-11-07 2013-12-12 Novellus Systems, Inc. Method and apparatus for electroplating
US20140144781A1 (en) 2012-11-27 2014-05-29 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880725A (en) 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
US4549005A (en) 1984-10-09 1985-10-22 Ashland Oil, Inc. Cured polymeric material prepared by reacting an azadioxabicyclooctane with a polyisocyanate in the presence of moisture
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
US5169684A (en) 1989-03-20 1992-12-08 Toyoko Kagaku Co., Ltd. Wafer supporting jig and a decompressed gas phase growth method using such a jig
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5078852A (en) 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5312532A (en) 1993-01-15 1994-05-17 International Business Machines Corporation Multi-compartment eletroplating system
US5312352A (en) 1993-01-19 1994-05-17 Datascope Investment Corp. Bubble-free connector for liquid carrying tubing
JP3088262B2 (ja) 1995-02-10 2000-09-18 エイ・ティ・アンド・ティ・コーポレーション 低歪差動増幅回路
US5620581A (en) 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
US6599412B1 (en) 1997-09-30 2003-07-29 Semitool, Inc. In-situ cleaning processes for semiconductor electroplating electrodes
US6174425B1 (en) 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6228231B1 (en) 1997-05-29 2001-05-08 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6004440A (en) 1997-09-18 1999-12-21 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
WO1999016936A1 (en) 1997-09-30 1999-04-08 Semitool, Inc. Electroplating system having auxiliary electrode exterior to main reactor chamber for contact cleaning operations
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6126798A (en) 1997-11-13 2000-10-03 Novellus Systems, Inc. Electroplating anode including membrane partition system and method of preventing passivation of same
US6843894B2 (en) 1997-12-18 2005-01-18 Semitool, Inc. Cathode current control system for a wafer electroplating apparatus
US6168693B1 (en) 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
KR100474746B1 (ko) 1998-02-12 2005-03-08 에이씨엠 리서치, 인코포레이티드 도금 장치 및 방법
DE69929967T2 (de) 1998-04-21 2007-05-24 Applied Materials, Inc., Santa Clara Elektroplattierungssystem und verfahren zur elektroplattierung auf substraten
US6106687A (en) 1998-04-28 2000-08-22 International Business Machines Corporation Process and diffusion baffle to modulate the cross sectional distribution of flow rate and deposition rate
US6071388A (en) 1998-05-29 2000-06-06 International Business Machines Corporation Electroplating workpiece fixture having liquid gap spacer
US6716334B1 (en) 1998-06-10 2004-04-06 Novellus Systems, Inc Electroplating process chamber and method with pre-wetting and rinsing capability
US6099702A (en) 1998-06-10 2000-08-08 Novellus Systems, Inc. Electroplating chamber with rotatable wafer holder and pre-wetting and rinsing capability
US6143155A (en) 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6497801B1 (en) 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6132587A (en) 1998-10-19 2000-10-17 Jorne; Jacob Uniform electroplating of wafers
US6132805A (en) 1998-10-20 2000-10-17 Cvc Products, Inc. Shutter for thin-film processing equipment
US7070686B2 (en) 2000-03-27 2006-07-04 Novellus Systems, Inc. Dynamically variable field shaping element
US6402923B1 (en) 2000-03-27 2002-06-11 Novellus Systems Inc Method and apparatus for uniform electroplating of integrated circuits using a variable field shaping element
US6919010B1 (en) 2001-06-28 2005-07-19 Novellus Systems, Inc. Uniform electroplating of thin metal seeded wafers using rotationally asymmetric variable anode correction
US6773571B1 (en) 2001-06-28 2004-08-10 Novellus Systems, Inc. Method and apparatus for uniform electroplating of thin metal seeded wafers using multiple segmented virtual anode sources
JP4219562B2 (ja) 1999-04-13 2009-02-04 セミトゥール・インコーポレイテッド ワークピースを電気化学的に処理するためのシステム
US7160421B2 (en) 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
US6193860B1 (en) 1999-04-23 2001-02-27 Vlsi Technolgy, Inc. Method and apparatus for improved copper plating uniformity on a semiconductor wafer using optimized electrical currents
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
US6737360B2 (en) 1999-12-30 2004-05-18 Intel Corporation Controlled potential anodic etching process for the selective removal of conductive thin films
US6551483B1 (en) 2000-02-29 2003-04-22 Novellus Systems, Inc. Method for potential controlled electroplating of fine patterns on semiconductor wafers
US8308931B2 (en) 2006-08-16 2012-11-13 Novellus Systems, Inc. Method and apparatus for electroplating
US7622024B1 (en) 2000-05-10 2009-11-24 Novellus Systems, Inc. High resistance ionic current source
US20050145499A1 (en) 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
US6800187B1 (en) 2001-05-31 2004-10-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating wafers
US7682498B1 (en) 2001-06-28 2010-03-23 Novellus Systems, Inc. Rotationally asymmetric variable electrode correction
JP2003268591A (ja) 2002-03-12 2003-09-25 Ebara Corp 電解処理方法及び電解処理装置
US6911136B2 (en) 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
EP1532670A4 (en) 2002-06-07 2007-09-12 Praesagus Inc CHARACTERIZATION AND REDUCTION OF VARIATION FOR INTEGRATED CIRCUITS
US6773570B2 (en) 2002-11-14 2004-08-10 International Business Machines Corporation Integrated plating and planarization process and apparatus therefor
US20040149584A1 (en) 2002-12-27 2004-08-05 Mizuki Nagai Plating method
JP2005146398A (ja) 2003-11-19 2005-06-09 Ebara Corp めっき方法及びめっき装置
CN101056718A (zh) 2004-11-19 2007-10-17 诺发系统有限公司 工件表面电化学加工期间清除残存空气的方法
TW200641189A (en) 2005-02-25 2006-12-01 Applied Materials Inc Counter electrode encased in cation exchange membrane tube for electroplating cell
US20070029193A1 (en) 2005-08-03 2007-02-08 Tokyo Electron Limited Segmented biased peripheral electrode in plasma processing method and apparatus
US9822461B2 (en) 2006-08-16 2017-11-21 Novellus Systems, Inc. Dynamic current distribution control apparatus and method for wafer electroplating
US7837841B2 (en) 2007-03-15 2010-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
US8475637B2 (en) 2008-12-17 2013-07-02 Novellus Systems, Inc. Electroplating apparatus with vented electrolyte manifold
US9624592B2 (en) * 2010-07-02 2017-04-18 Novellus Systems, Inc. Cross flow manifold for electroplating apparatus
US8795480B2 (en) 2010-07-02 2014-08-05 Novellus Systems, Inc. Control of electrolyte hydrodynamics for efficient mass transfer during electroplating
KR102214898B1 (ko) * 2012-12-12 2021-02-10 노벨러스 시스템즈, 인코포레이티드 전기도금 동안 효율적인 대량 전달을 위한 전해질 유체역학의 향상
US9752248B2 (en) 2014-12-19 2017-09-05 Lam Research Corporation Methods and apparatuses for dynamically tunable wafer-edge electroplating
US9567685B2 (en) 2015-01-22 2017-02-14 Lam Research Corporation Apparatus and method for dynamic control of plated uniformity with the use of remote electric current
US9481942B2 (en) * 2015-02-03 2016-11-01 Lam Research Corporation Geometry and process optimization for ultra-high RPM plating

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100032303A1 (en) * 2006-08-16 2010-02-11 Novellus Systems, Inc. Method and apparatus for electroplating including remotely positioned second cathode
US20120258408A1 (en) * 2008-11-07 2012-10-11 Mayer Steven T Electroplating apparatus for tailored uniformity profile
US20130327650A1 (en) 2008-11-07 2013-12-12 Novellus Systems, Inc. Method and apparatus for electroplating
US20140144781A1 (en) 2012-11-27 2014-05-29 Lam Research Corporation Method and apparatus for dynamic current distribution control during electroplating

Also Published As

Publication number Publication date
CN106245078A (zh) 2016-12-21
CN110387564A (zh) 2019-10-29
TWI700395B (zh) 2020-08-01
US9988733B2 (en) 2018-06-05
KR20160144914A (ko) 2016-12-19
CN106245078B (zh) 2019-07-23
US20180312991A1 (en) 2018-11-01
TW201715094A (zh) 2017-05-01
US20160362809A1 (en) 2016-12-15

Similar Documents

Publication Publication Date Title
KR102641119B1 (ko) 전기도금 시 방위각 균일도를 조정하기 위한 장치 및 방법
KR102533812B1 (ko) 균일한 전기도금을 위한 전해액 플로우 역학의 제어
US10923340B2 (en) Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
KR102205977B1 (ko) 맞춤형 균일도 프로파일을 위한 전기도금 장치
KR102423978B1 (ko) 전기도금 동안 교차 플로우 매니폴드의 동적 변조
KR102554254B1 (ko) 리모트 전류를 사용하여 도금 균일도의 동적 제어를 위한 장치 및 방법
CN111492096B (zh) 混合特征电镀的对流优化
US20240141541A1 (en) Electrodeposition of metals using an ionically resistive ionically permeable element or a shield spatially tailored to die-level patterns on a substrate
US20240076795A1 (en) Spatially and dimensionally non-uniform channelled plate for tailored hydrodynamics during electroplating
US20220396894A1 (en) Wafer shielding for prevention of lipseal plate-out
KR20230157852A (ko) 기판 상의 다이-레벨 패턴들에 대해 공간적으로 맞춤된 (tailor) 차폐부 (shield) 또는 이온 저항성 (resistive) 이온 투과성 (permeable) 엘리먼트를 사용한 금속들의 전착 (electrodeposition)

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right