CN107887274A - 利用应力记忆技术的半导体器件及其制造方法及电子设备 - Google Patents

利用应力记忆技术的半导体器件及其制造方法及电子设备 Download PDF

Info

Publication number
CN107887274A
CN107887274A CN201710530684.XA CN201710530684A CN107887274A CN 107887274 A CN107887274 A CN 107887274A CN 201710530684 A CN201710530684 A CN 201710530684A CN 107887274 A CN107887274 A CN 107887274A
Authority
CN
China
Prior art keywords
channel layer
layer
grid
source drain
periphery
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710530684.XA
Other languages
English (en)
Other versions
CN107887274B (zh
Inventor
朱慧珑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Publication of CN107887274A publication Critical patent/CN107887274A/zh
Application granted granted Critical
Publication of CN107887274B publication Critical patent/CN107887274B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0208Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the configuration of the monitoring system
    • G05B23/0216Human interface functionality, e.g. monitoring system providing help to the user in the selection of tests or in its configuration
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T19/00Manipulating 3D models or images for computer graphics
    • G06T19/006Mixed reality
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • H01L21/2253Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2258Diffusion into or out of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0925Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising an N-well only in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0638Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for preventing surface leakage due to surface inversion layer, e.g. with channel stopper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/151Compositional structures
    • H01L29/152Compositional structures with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7788Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N23/00Cameras or camera modules comprising electronic image sensors; Control thereof
    • H04N23/60Control of cameras or camera modules
    • H04N23/698Control of cameras or camera modules for achieving an enlarged field of view, e.g. panoramic image capture
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/18Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
    • H04N7/181Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast for receiving images from a plurality of remote sources
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32014Augmented reality assists operator in maintenance, repair, programming, assembly, use of head mounted display with 2-D 3-D display and voice feedback, voice and gesture command
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/048Interaction techniques based on graphical user interfaces [GUI]
    • G06F3/0481Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance
    • G06F3/04817Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance using icons
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/048Interaction techniques based on graphical user interfaces [GUI]
    • G06F3/0481Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance
    • G06F3/0482Interaction with lists of selectable items, e.g. menus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/40Scenes; Scene-specific elements in video content
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/40Scenes; Scene-specific elements in video content
    • G06V20/44Event detection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N13/00Stereoscopic video systems; Multi-view video systems; Details thereof
    • H04N13/10Processing, recording or transmission of stereoscopic or multi-view image signals
    • H04N13/106Processing image signals
    • H04N13/111Transformation of image signals corresponding to virtual viewpoints, e.g. spatial image interpolation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N13/00Stereoscopic video systems; Multi-view video systems; Details thereof
    • H04N13/30Image reproducers
    • H04N13/332Displays for viewing with the aid of special glasses or head-mounted displays [HMD]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N13/00Stereoscopic video systems; Multi-view video systems; Details thereof
    • H04N13/30Image reproducers
    • H04N13/366Image reproducers using viewer tracking
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N13/00Stereoscopic video systems; Multi-view video systems; Details thereof
    • H04N13/30Image reproducers
    • H04N13/398Synchronisation thereof; Control thereof
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N23/00Cameras or camera modules comprising electronic image sensors; Control thereof
    • H04N23/90Arrangement of cameras or camera modules, e.g. multiple cameras in TV studios or sports stadiums

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Computer Graphics (AREA)
  • General Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

公开了一种应用应力记忆技术制造半导体器件的方法。根据实施例,该方法可以包括:在衬底上设置包括第一源/漏层、沟道层和第二源/漏层的有源区;绕沟道层的外周形成牺牲栅;形成能够在去除牺牲栅后保持沟道层中至少部分应力并露出至少部分牺牲栅的保持层;去除牺牲栅并绕沟道层外周形成栅堆叠。

Description

利用应力记忆技术的半导体器件及其制造方法及电子设备
技术领域
本公开涉及半导体领域,具体地,涉及利用应力记忆技术(Stress MemorizedTechnique,SMT)的竖直型半导体器件及其制造方法以及包括这种半导体器件的电子设备。
背景技术
在水平型器件如金属氧化物半导体场效应晶体管(MOSFET)中,源极、栅极和漏极沿大致平行于衬底表面的方向布置。由于这种布置,水平型器件不易进一步缩小。与此不同,在竖直型器件中,源极、栅极和漏极沿大致垂直于衬底表面的方向布置。因此,相对于水平型器件,竖直型器件更容易缩小。
发明内容
有鉴于此,本公开的目的至少部分地在于提供一种应用应力记忆技术的竖直型半导体器件及其制造方法以及包括这种半导体器件的电子设备。
根据本公开的一个方面,提供了一种制造半导体器件的方法,包括:在衬底上设置包括第一源/漏层、沟道层和第二源/漏层的有源区;绕沟道层的外周形成牺牲栅;形成能够在去除牺牲栅后保持沟道层中至少部分应力并露出至少部分牺牲栅的保持层;去除牺牲栅并绕沟道层外周形成栅堆叠。
根据本公开的另一方面,提供了一种半导体器件,包括:衬底;依次叠置在衬底上的第一源/漏层、沟道层和第二源/漏层;以及绕沟道层的外周形成的栅堆叠,其中,在沟道层以及栅堆叠靠近沟道层的端部中存在应力。特别是,栅堆叠靠近沟道层的端部与栅堆叠中的其余部分相比,有着明显的增强应力分布。
根据本公开的另一方面,提供了一种半导体器件,包括:衬底;依次叠置在衬底上的第一源/漏层、沟道层和第二源/漏层;绕沟道层的外周形成的栅堆叠;以及与第一源/漏层和第二源/漏层物理接触并露出至少部分栅堆叠的保持层,其中,在沟道层以及保持层中存在应力。
根据本公开的另一方面,提供了一种电子设备,包括由上述半导体器件形成的集成电路。
根据本公开的实施例,在制造过程中可以设置保持层。由于保持层的存在,可以应用应力记忆技术。例如,可以通过保持层或者牺牲栅来在沟道层中产生应力。在保持层带应力的情况下,牺牲栅的去除可以导致沟道层中的应力增加。另外,在牺牲栅能够在沟道层中产生应力的情况下,由于保持层的存在,尽管牺牲栅被去除,也可以至少部分地保持沟道层中的应力。
另外,在去除保持层时,栅堆叠可以至少部分地保持应力。
栅堆叠绕沟道层的外周形成且沟道形成于沟道层中,从而栅长由沟道层的厚度确定。沟道层例如可以通过外延生长来形成,从而其厚度可以很好地控制。因此,可以很好地控制栅长。沟道层的外周相对于第一、第二源/漏层的外周可以向内凹入,从而栅堆叠可以嵌入该凹入中,减少或甚至避免与源/漏区的交迭,有助于降低栅与源/漏之间的寄生电容。另外,沟道层可以是单晶半导体材料,可以具有高载流子迁移率和低泄漏电流,从而改善了器件性能。
附图说明
通过以下参照附图对本公开实施例的描述,本公开的上述以及其他目的、特征和优点将更为清楚,在附图中:
图1至20示出了根据本公开实施例的制造半导体器件的流程中部分阶段的示意图;
图21至26示出了根据本公开另一实施例的制造半导体器件的流程中部分阶段的示意图;
图27和28示出了根据本公开实施例的源/漏层细化处理的示意图。
贯穿附图,相同或相似的附图标记表示相同或相似的部件。
具体实施方式
以下,将参照附图来描述本公开的实施例。但是应该理解,这些描述只是示例性的,而并非要限制本公开的范围。此外,在以下说明中,省略了对公知结构和技术的描述,以避免不必要地混淆本公开的概念。
在附图中示出了根据本公开实施例的各种结构示意图。这些图并非是按比例绘制的,其中为了清楚表达的目的,放大了某些细节,并且可能省略了某些细节。图中所示出的各种区域、层的形状以及它们之间的相对大小、位置关系仅是示例性的,实际中可能由于制造公差或技术限制而有所偏差,并且本领域技术人员根据实际所需可以另外设计具有不同形状、大小、相对位置的区域/层。
在本公开的上下文中,当将一层/元件称作位于另一层/元件“上”时,该层/元件可以直接位于该另一层/元件上,或者它们之间可以存在居中层/元件。另外,如果在一种朝向中一层/元件位于另一层/元件“上”,那么当调转朝向时,该层/元件可以位于该另一层/元件“下”。
根据本公开实施例的竖直型半导体器件可以包括在衬底上依次叠置的第一源/漏层、沟道层和第二源/漏层。各层之间可以彼此邻接,当然中间也可能存在其他半导体层,例如泄漏抑制层和/或开态电流增强层(带隙比相邻层大或小的半导体层)。在第一源/漏层和第二源/漏层中可以形成器件的源/漏区,且在沟道层中可以形成器件的沟道区。根据本公开的实施例,这种半导体器件可以是常规场效应晶体管(FET)。在FET的情况下,第一源/漏层和第二源/漏层(或者说,沟道层两侧的源/漏区)可以具有相同导电类型(例如,n型或p型)的掺杂。分处于沟道区两端的源/漏区之间可以通过沟道区形成导电通道。或者,这种半导体器件可以是隧穿FET。在隧穿FET的情况下,第一源/漏层和第二源/漏层(或者说,沟道层两侧的源/漏区)可以具有不同导电类型(例如,分别为n型和p型)的掺杂。这种情况下,带电粒子如电子可以从源区隧穿通过沟道区而进入漏区,从而使源区和漏区之间形成导通路径。尽管常规FET和隧穿FET中的导通机制并不相同,但是它们均表现出可通过栅来控制源/漏区之间导通与否的电学性能。因此,对于常规FET和随穿FET,统一以术语“源/漏层(源/漏区)”和“沟道层(沟道区)”来描述,尽管在隧穿FET中并不存在通常意义上的“沟道”。沟道层中可以具有大的应力,例如10MPa-5GPa,尽管器件中的应力施加结构可能已被去除。例如,这可以通过下述应力记忆技术(SMT)来实现。
栅堆叠可以绕沟道层的外周形成。于是,栅长可以由沟道层自身的厚度来确定,而不是如常规技术中那样依赖于耗时刻蚀或平坦化如CMP来确定。沟道层例如可以通过外延生长来形成,从而其厚度可以很好地控制。因此,可以很好地控制栅长。沟道层的外周可以相对于第一、第二源/漏层的外周向内凹入。这样,所形成的栅堆叠可以嵌于沟道层相对于第一、第二源/漏层的凹入中。优选地,栅堆叠在第一源/漏层、沟道层和第二源/漏层的叠置方向(竖直方向,例如大致垂直于衬底表面)上的范围处于所述凹入在该方向上的范围之内。于是,可以减少或甚至避免与源/漏区的交迭,有助于降低栅与源/漏之间的寄生电容。由于SMT技术,在沟道层以及栅堆叠位于所述凹入内的部分中可以存在较大的应力。
沟道层可以由单晶半导体材料构成,以改善器件性能。当然,第一、第二源/漏层也可以由单晶半导体材料构成。这种情况下,沟道层的单晶半导体材料与源/漏层的单晶半导体材料可以是共晶体。沟道层单晶半导体材料的电子或空穴迁移率可以大于第一、第二源/漏层的电子或空穴迁移率。另外,第一、第二源/漏层的禁带宽度可以大于沟道层单晶半导体材料的禁带宽度。
根据本公开的实施例,沟道层单晶半导体材料与第一、第二源/漏层可以具有相同的晶体结构。在这种情况下,第一、第二源/漏层在没有应变的情况下的晶格常数可以大于沟道层单晶半导体材料在没有应变的情况下的晶格常数。于是,沟道层单晶半导体材料的载流子迁移率可以大于其在没有应变的情况下的载流子迁移率,或沟道层单晶半导体材料的轻载流子的有效质量可以小于其在没有应变的情况下的轻载流子的有效质量,或沟道层单晶半导体材料的轻载流子的浓度可以大于其在没有应变的情况下的轻载流子的浓度。备选地,第一、第二源/漏层在没有应变的情况下的晶格常数可以小于沟道层单晶半导体材料在没有应变的情况下的晶格常数。于是,沟道层单晶半导体材料的电子迁移率大于其在没有应变的情况下的电子迁移率,或沟道层单晶半导体材料的电子的有效质量小于其在没有应变的情况下的电子的有效质量。
根据本公开的实施例,对于源/漏区的掺杂可以部分地进入沟道层靠近第一源/漏层和第二源/漏层的端部。由此,在沟道层靠近第一源/漏层和第二源/漏层的端部形成掺杂分布,这有助于降低器件导通时源/漏区与沟道区之间的电阻,从而提升器件性能。
根据本公开的实施例,沟道层可以包括与第一、第二源/漏层不同的半导体材料。这样,有利于对沟道层进行处理例如选择性刻蚀,以使之相对于第一、第二源/漏层凹入。另外,第一源/漏层和第二源/漏层可以包括相同的半导体材料。
例如,第一源/漏层可以是半导体衬底自身。这种情况下,沟道层可以是在衬底上外延生长的半导体层,第二源/漏层可以是在沟道层上外延生长的半导体层。备选地,第一源/漏层可以是在衬底上外延生长的半导体层。这种情况下,沟道层可以是在第一源/漏层上外延生长的半导体层,第二源/漏层可以是在沟道层上外延生长的半导体层。
根据本公开的实施例,还可以在第一源/漏层和第二源/漏层的表面上设置衬层。这种衬层可以带应力。例如,对于n型器件,应力衬层可以带压应力,以在沟道层中产生拉应力;对于p型器件,应力衬层可以带拉应力,以在沟道层中产生压应力。因此,可以进一步改善器件性能。
这种半导体器件例如可以如下制造。具体地,可以在衬底上设置包括第一源/漏层、沟道层和第二源/漏层的有源区。如上所述,可以通过衬底自身或者通过在衬底上外延生长来设置第一源/漏层。接着,可以在第一源/漏层上外延生长沟道层,并可以在沟道层上外延生长第二源/漏层。在外延生长时,可以控制所生长的沟道层的厚度。由于分别外延生长,至少一对相邻层之间可以具有清晰的晶体界面。另外,可以分别对各层进行掺杂,从而至少一对相邻层之间可以具有掺杂浓度界面。
对于叠置的第一源/漏层、沟道层和第二源/漏层,可以在其中限定有源区。例如,可以将它们依次选择性刻蚀为所需的形状。通常,有源区可以呈柱状(例如,圆柱状)。为了便于在后继工艺中连接第一源/漏层中形成的源/漏区,对第一源/漏层的刻蚀可以只针对第一源/漏层的上部,从而第一源/漏层的下部可以延伸超出其上部的外周。然后,可以绕沟道层的外周形成栅堆叠。
另外,可以使沟道层的外周相对于第一、第二源/漏层的外周向内凹入,以便限定容纳栅堆叠的空间。例如,这可以通过选择性刻蚀如原子层刻蚀(ALE)或数字化刻蚀来实现。这种情况下,栅堆叠可以嵌入该凹入中。
根据本公开的实施例,可以应用应力记忆技术。例如,可以绕沟道层的外周形成牺牲栅。在沟道层的外周相对于第一、第二源/漏层的外周向内凹入的情况下,牺牲栅可以填充在凹入中。另外,可以形成保持有源区特别是第二源/漏层的保持层。保持层可以露出至少部分牺牲栅,以便随后对牺牲栅进行处理,并且可以在去除牺牲栅之后保持沟道层中至少部分应力。牺牲栅和保持层中至少之一可以在沟道层中产生应力。例如,牺牲栅可以包括与沟道层晶格常数不同的晶体材料或带应力的材料。例如,对于p型器件,在无应变情况下牺牲栅材料的晶格常数比在无应变情况下沟道层材料的晶格常数小;而对于n型器件,在无应变情况下牺牲栅材料的晶格常数比在无应变情况下沟道层材料的晶格常数大。保持层可以包括带应力材料。保持层可以保持第二源/漏区的一部分表面(例如,防止其自由移动,以便保持应力),并可以露出绕沟道层的外周形成的牺牲栅的一部分,以便后继进行替代栅处理。
可以进行替代栅工艺,去除牺牲栅,并代之以真正的栅堆叠。在保持层带应力的情况下,牺牲栅的去除可以导致沟道层中的应力增加。另外,在牺牲栅能够在沟道层中产生应力的情况下,由于保持层的存在,尽管牺牲栅被去除,也可以至少部分地保持沟道层中的应力。随后,可以去除保持层。由于栅堆叠的存在,可以至少部分地保持应力,从而实现应力记忆。
在第一、第二源/漏层中可以形成源/漏区。例如,这可以通过对第一、第二源/漏层掺杂来实现。例如,可以进行离子注入、等离子体掺杂,或者在生长第一、第二源/漏层时原位掺杂。根据一有利实施例,可以在沟道层的外周相对于第一、第二源/漏层的外周形成的凹入中,形成牺牲栅,然后在第一、第二源/漏层的表面上形成掺杂剂源层,并通过例如退火使掺杂剂源层中的掺杂剂经第一、第二源/漏层进入有源区中。牺牲栅可以阻止掺杂剂源层中的掺杂剂直接进入沟道层中。但是,可以有部分掺杂剂经由第一、第二源/漏层而进入沟道层靠近第一源/漏层和第二源/漏层的端部。
本公开可以各种形式呈现,以下将描述其中一些示例。
图1至20示出了根据本公开实施例的制造半导体器件的流程中部分阶段的示意图。
如图1所示,提供衬底1001。该衬底1001可以是各种形式的衬底,包括但不限于体半导体材料衬底如体Si衬底、绝缘体上半导体(SOI)衬底、化合物半导体衬底如SiGe衬底等。在以下的描述中,为方便说明,以体Si衬底为例进行描述。
在衬底1001上,可以通过例如外延生长,依次形成沟道层1003和另一半导体层1005。例如,沟道层1003可以包括不同于衬底1001、半导体层1005的半导体材料如SiGe(Ge的原子百分比可以为约10-40%),厚度为约10-100nm;半导体层1005可以包括与衬底1001相同的半导体材料如Si,厚度为约20-50nm。当然,本公开不限于此。例如,沟道层1003可以包括与衬底1001或半导体层1005相同的构成组分,但是组分含量不同的半导体材料(例如,都是SiGe,但是其中Ge的原子百分比不同),只要沟道层1003相对于之上的衬底1001以及之上的半导体层1005具备刻蚀选择性。
接下来,可以限定器件的有源区。例如,这可以如下进行。具体地,如图2(a)和2(b)(图2(a)是截面图,图2(b)是俯视图,其中的AA′线示出了截面的截取位置)所示,可以在图1所示的衬底1001、沟道层1003和半导体层1005的叠层上形成光刻胶(未示出),通过光刻(曝光和显影)将光刻胶构图为所需形状(在该示例中,大致圆形),并以构图后的光刻胶为掩模,依次对半导体层1005、沟道层1003和衬底1001进行选择性刻蚀如反应离子刻蚀(RIE)。刻蚀进行到衬底1001中,但并未进行到衬底1001的底面处。于是,刻蚀后半导体层1005、沟道层1003以及衬底1001的上部形成柱状(在本示例中,圆柱状)。RIE例如可以按大致垂直于衬底表面的方向进行,从而该柱状也大致垂直于衬底表面。之后,可以去除光刻胶。
然后,如图3所示,可以使沟道层1003的外周相对于衬底1001和半导体层1005的外周凹入(在该示例中,沿大致平行于衬底表面的横向方向凹入)。例如,这可以通过相对于衬底1001和半导体层1005,进一步选择性刻蚀沟道层1003来实现。例如,可以使用原子层刻蚀(ALE)或数字化刻蚀。例如,通过例如热处理,使衬底1001、沟道层1003和半导体层1005的表面氧化,且然后去除它们各自的表面氧化层。在沟道层1003是SiGe且衬底1001和半导体层1005为Si的情况下,SiGe的氧化速率高于Si的氧化速率,且SiGe上的氧化物更易于去除。可以重复氧化-去除氧化物的步骤,以实现所需的凹入。相比于选择性刻蚀,这种方式可以更好地控制凹入的程度。
这样,就限定了该半导体器件的有源区(刻蚀后的衬底1001尤其是其上部、沟道层1003和半导体层1005)。在该示例中,有源区大致呈柱状。在有源区中,衬底1001的上部和半导体层1005的外周实质上对准,而沟道层1003的外周相对凹入。该凹入的上下侧壁分别由沟道层1003与半导体层1005以及沟道层与衬底1001之间的界面限定。
当然,有源区的形状不限于此,而是可以根据设计布局形成其他形状。例如,在俯视图中,有源区可以呈椭圆形、方形、矩形等。
在沟道层1003相对于衬底1001的上部和半导体层1005的外周而形成的凹入中,随后将形成栅堆叠。为避免后继处理对于沟道层1003造成影响或者在该凹入中留下不必要的材料从而影响后继栅堆叠的形成,可以在该凹入中填充一材料层以占据栅堆叠的空间(因此,该材料层可以称作“牺牲栅”)。例如,这可以通过在图3所示的结构上淀积氮氧化物,然后对淀积的氮氧化物进行回蚀如RIE。可以以大致垂直于衬底表面的方向进行RIE,氮化物可仅留在凹入内,形成牺牲栅1007,如图4所示。这种情况下,牺牲栅1007可以基本上填满上述凹入。
接下来,可以在衬底1001和半导体层1005中形成源/漏区。这可以通过对衬底1001和半导体层1005进行掺杂来形成。例如,这可以如下进行。
具体地,如图5所示,可以在图4所示的结构上形成掺杂剂源层1009。例如,掺杂剂源层1009可以包括氧化物如氧化硅,其中含有掺杂剂。对于n型器件,可以包含n型掺杂剂;对于p型器件,可以包含p型掺杂剂。在此,掺杂剂源层1009可以是一薄膜,从而可以通过例如化学气相淀积(CVD)或原子层淀积(ALD)等大致共形地淀积在图4所示结构的表面上。
接着,如图6所示,可以通过例如退火,使掺杂剂源层1009中包含的掺杂剂进入有源区中,从而在其中形成掺杂区,如图中的阴影部分所示。更具体地,可以在衬底1001中形成源/漏区之一1011-1,且在半导体层1005中形成另一源/漏区1011-2。之后,可以去除掺杂剂源层1009。
另外,尽管有牺牲栅1007存在,但是掺杂剂也可以经由衬底1001和半导体层1005而进入沟道层1003中,从而在沟道层1003的上下两端处形成一定的掺杂分布,如图中的椭圆虚线圈所示。这种掺杂分布可以降低器件导通时源漏区之间的电阻,从而提升器件性能。
在以上示例中,通过从掺杂剂源层向有源区中驱入(drive in)掺杂剂来形成源/漏区,但是本公开不限于此。例如,可以通过离子注入、等离子体掺杂(例如,沿着图4中结构的表面进行共形掺杂)等方式,来形成源/漏区。或者,在以上结合图1描述的处理中,可以在衬底1001中形成阱区,然后在之上生长沟道层1003,接着在沟道层1003上生长半导体层1005上对其进行原位掺杂。在生长沟道层1003时,也可以对其进行原位掺杂,以便调节器件的阈值电压(Vt)。
在该示例中,掺杂剂源层1009包括沿衬底1001的水平表面延伸的部分,从而衬底1001中形成的掺杂区延伸超出柱状有源区的外周。这样,在后继工艺中可以容易地通过该掺杂区电连接到源/漏区1011-1。
另外,为了降低接触电阻,还可以对源/漏层进行硅化处理。例如,可以在图6所示的结构上淀积一层NiPt(例如,Pt含量为约2-10%,厚度为约2-10nm),并在约200-400℃的温度下退火,使NiPt与Si发生反应,从而生成SiNiPt。之后,可以去除未反应的剩余NiPt。
接下来,可以形成保持层。
例如,如图7(a)和7(b)(图7(a)是截面图,图7(b)是俯视图,其中的AA′线示出了截面的截取位置)所示,可以在图6所示的结构上形成例如淀积保持层1103。例如,保持层1103可以包括氮化物,厚度为约5nm-100nm。保持层1103可以带应力。例如,对于n型器件,保持层1103可以带压应力,以在沟道层1003中产生拉应力;而对于p型器件,保持层1103可以带拉应力,以在沟道层1003中产生压应力。
另外,为了便于后继刻蚀的控制,还可以先形成例如淀积一刻蚀停止层1101。例如,刻蚀停止层1101可以包括氧化物,厚度为约1-5nm。
为了对牺牲栅进行替换处理,可以对保持层1103(以及刻蚀停止层1101)进行构图,以露出牺牲栅的至少一部分。
例如,如图8(a)和8(b)(图8(a)是截面图,图8(b)是俯视图,其中的AA′线示出了截面的截取位置)所示,可以在保持层1103上形成光刻胶1105,并通过光刻对光刻胶1105进行构图。在该示例中,将光刻胶1105构图为条形。如图8(b)所示,在俯视图中,光刻胶1105的条形与下方的有源区的圆形(图中虚线所示)相交,且相交部分仅占据有源区的圆形的一部分,从而露出圆形的一部分外周。当然,光刻胶1105的形状不限于此,而是可以构图为任何便于加工的形状。
接下来,如图9(a)、9(b)和9(c)(图9(a)、9(b)是截面图,图9(c)是俯视图,其中的AA′、BB′线分别示出了图9(a)、9(b)中截面图的截取位置)所示,可以光刻胶1105为掩模,对保持层1103进行选择性刻蚀如RIE。RIE可以停止于刻蚀停止层1103。之后,可以去除光刻胶1105。
于是,保持层1103可以被构图为类似于光刻胶1105的条形。如图9(b)和9(c)所示,该条形的保持层1103一方面保持有源区特别是位于顶端的半导体层1005。具体地,保持层1103将有源区特别是顶端的半导体层1005物理连接或者固定到衬底,从而可以至少部分地抑制有源区特别是顶端的半导体层1005自由移动。另一方面,如图9(a)和9(c)所示,保持层1005露出了牺牲栅1007的部分侧壁(刻蚀停止层在随后将被去除)。
可以在有源区周围形成隔离层,以实现电隔离。例如,如图10(a)和10(b)所示,可以在图9(a)和9(b)所示的结构上淀积氧化物,并对其回蚀,以形成隔离层1013。在回蚀之前,可以对淀积的氧化物进行平坦化处理如化学机械抛光(CMP)或溅射,CMP可以停止于保持层1103。在此,隔离层1013的顶面可以靠近沟道层1003与衬底1001之间的界面。在对氧化物进行回蚀时,在此也为氧化物的刻蚀停止层1101的露出部分也可以被去除。于是,如图10(a)所示,牺牲栅1007被部分地露出。
在形成隔离层时,由于牺牲栅1007的存在,可以避免隔离层的材料进入要容纳栅堆叠的上述凹入中。之后,可以去除牺牲栅1007,以释放该凹入中的空间。
例如,如图11(a)和11(b)所示,可以相对于隔离层1013(氧化物)、保持层1103(氮化物)以及半导体层1005(Si)和沟道层1003(SiGe),选择性刻蚀牺牲栅1007(氮氧化物)。这样,清空了上述凹入,并露出了沟道层1003的外周。另外,如图11(b)所示,凹入的一部分被保持层1103所围绕。在此,在凹入外周的刻蚀停止层(氧化物)较薄,也可能在对氮氧化物的刻蚀过程中被去除。
由于牺牲栅1007的去除,从而由其提供的反作用力(针对保持层1103中的应力)消失。因此,沟道层1003中的与保持层中相反的应力(即,对于n型器件为拉应力,对于p型器件为压应力)将增大。也即,保持层1103中的应力释放在沟道层1003中产生了较强的应力。
然后,如图12(a)和12(b)所示,可以在凹入中形成栅堆叠。具体地,可以在图11(a)和11(b)所示的结构(去除牺牲栅1007)上依次淀积栅介质层1015和栅导体层1017,并对所淀积的栅导体层1017(以及可选地栅介质层1015)进行回蚀,使其在凹入之外的部分的顶面不高于且优选低于沟道层1003的顶面。例如,栅介质层1015可以包括高K栅介质如HfO2;栅导体层1017可以包括金属栅导体。另外,在栅介质层1015和栅导体层1017之间,还可以形成功函数调节层。在形成栅介质层1015之前,还可以形成例如氧化物的界面层。
这样,栅堆叠可以嵌入到凹入中,从而与沟道层1003的整个高度相交迭。
另外,取决于隔离层1013的顶面位置,栅堆叠可能与下方的源/漏区1011-1存在一定的交迭(例如,在隔离层1013的顶面低于沟道层1003与衬底1001之间的界面的情况下),这会增加栅与源/漏之间的寄生电容。因此,优选地,隔离层1013的顶面不低于沟道层1003与衬底1001之间的界面。也即,隔离层1013的顶面可以位于沟道层1003的顶面与底面之间,这有助于形成自对准的栅堆叠。
接下来,可以对栅堆叠的形状进行调整,以便于后继互连制作。例如,如图13(a)、13(b)和13(c)(图13(a)、13(b)是截面图,图13(c)是俯视图,其中的AA′、BB′线分别示出了图13(a)、13(b)中截面图的截取位置)所示,可以在图12(a)和12(b)所示的结构上形成光刻胶1019。该光刻胶1019例如通过光刻构图为覆盖栅堆叠露于凹入之外的一部分(在该示例中,图中左半部,该部分可以呈从有源区的外周向外沿一定方向延伸的条状,如图13(c)所示),且露出栅堆叠露于凹入之外的另一部分(在该示例中,图中右半边)。
然后,如图14(a)、14(b)和14(c)(图14(a)、14(b)是截面图,图14(c)是俯视图,其中的AA′、BB′线分别示出了图14(a)、14(b)中截面图的截取位置)所示,可以光刻胶1019为掩模,对栅导体层1017进行选择性刻蚀如RIE。这样,栅导体层1017除了留于凹入之内的部分之外,被光刻胶1019遮挡的部分得以保留。随后,可以通过该部分来实现到栅堆叠的电连接。
根据另一实施例,也可以进一步对栅介质层1015进行选择性刻蚀如RIE(图中未示出)。之后,可以去除光刻胶1019。
然后,如图15(a)和15(b)所示,可以通过选择性刻蚀如RIE,去除保持层1103。由于栅堆叠特别是其中的栅导体层1017的存在,可以抑制沟道层1003中的应力释放,并因此至少部分地保持沟道层1003中的应力。于是,在沟道层1003中“记忆”了应力,从而可以增强器件性能。另外,在栅堆叠嵌入于凹入的部分中,特别是栅导体层中,可以存在较大的应力。由于这种SMT技术,栅堆叠嵌入凹入的部分中的应力在平行于器件的电流密度的方向(例如,从第二源/漏层指向沟道层的方向)上的绝对值可以大于与垂直于电流密度的方向上的绝对值。另外,在平行于器件的电流密度的方向上,栅堆叠嵌入凹入的部分中的应力与沟道层1003中的应力可以相反。
另外,可以进一步处理,以露出衬底1001和半导体层1005的表面(半导体层1005的表面事实上已经露出在外),特别是即将要在之上形成接触部的上表面。为此,可以对栅介质层1015和隔离层1013进行选择性刻蚀如RIE。RIE可以沿大致垂直于衬底表面的方向进行。在对隔离层1013进行RIE时,残留的刻蚀停止层1101也可以被去除。于是,除了留于栅堆叠和沟道层下方的部分之外,衬底1001的上表面被露出。
然后,如图16所示,可以在图15(a)和15(b)所示的结构上形成一衬层1201。例如,可以通过大致共形地淀积一层氮化物层,来形成衬层1201。衬层1201的厚度可以为约10-50nm。如图所示,衬层1201可以覆盖半导体层1005以及衬底1001的上表面,于是可以保护有源区,而且可以在随后的接触孔刻蚀时充当刻蚀停止层。
有利地,衬层1201可以带应力。例如,对于n型器件,衬层1201可以带压应力,以在沟道层中产生或者增强拉应力;而对于p型器件,衬层1201可以带拉应力,以在沟道层中产生或者增强压应力。
然后,可以如图17所示,在图16所示的结构上形成层间电介质层1021。例如,可以淀积氧化物并对其进行平坦化如CMP来形成层间电介质层1021。在层间电介质层1021中,可以形成各种接触部。
具体地,如图18所示,可以在层间电介质层1021上形成光刻胶1203,并通过光刻将光刻胶1203构图为露出要形成接触孔的部分。之后,以构图后的光刻胶1203为掩模,对层间电介质层1021进行选择性刻蚀如RIE。在此,RIE可以停止于衬层1201。
由于源/漏区1011-1、源/漏区1011-2以及栅导体层1017上方的层间电介质层1021具有不同的厚度,因此对于接触孔的刻蚀是困难的。而在该实施例中,由于衬层1201的存在,可以相对容易地控制接触孔刻蚀的停止。
然后,如图19所示,可以进一步对衬层1201进行刻蚀如RIE。在此,RIE可以停止于半导体材料的衬底1001和半导体层1005上。由于衬层1201可以具有基本上均匀的厚度,因而对衬层1201的刻蚀可以相对容易地控制。
之后,如图20所示,可以通过向接触孔中填充导电材料如金属(例如,W)等,形成到源/漏区1011-1的接触部1023-1、到源/漏区1011-2的接触部1023-2以及到栅导体层1017的接触部1023-3。在填充金属之前,可以在接触孔的内壁上形成阻挡层如TiN。
由于栅导体层1017延伸超出有源区外周,从而可以容易地形成它的接触部1023-3。另外,由于衬底1001中的掺杂区延伸超出有源区之外且至少在其一部分上方并不存在栅导体层,从而可以容易地形成它的接触部1023-1。
如图20所示,根据该实施例的半导体器件包括沿竖直方向叠置的衬底1001、沟道层1003和半导体层1005。在衬底1001中形成了源/漏区1011-1,在半导体层1005中形成了源/漏区1011-2。沟道层1003横向凹入,栅堆叠(1015/1017)绕沟道层1003的外周形成,且嵌于该凹入中。沟道层1003中具有增强的应力,即便应力施加结构已被去除。
根据本公开的另一实施例,牺牲栅1007可以是带应力的。于是,牺牲栅1007本身也可以在沟道层中产生应力。例如,对n型器件,牺牲栅1007可以带压应力,以在沟道层1003中产生拉应力;对p型器件,牺牲栅1007可以带拉应力,以在沟道层1003中产生压应力。
例如,对于p型器件,可以在凹入中形成Si:C作为牺牲栅1007。由于凹入的侧壁均为半导体材料(SiGe和Si),因此可以通过外延生长来形成Si:C的牺牲栅1007。
在这种情况下,所形成的保持层1103甚至可以不带应力(当然也可以带应力)。在去除牺牲栅1007时,由于保持层1103的存在从而抑制了有源区特别是顶端的半导体层1005的自由移动,可以至少部分地保持沟道层1007中的应力。这种情况下,也可以在保持层1103,特别是其对应于沟道层的部分中,产生较大的应力。保持层1103也可以留在最终的器件结构中。
图21至26示出了根据本公开另一实施例的制造半导体器件的流程中部分阶段的示意图。以下,将主要描述本实施例与上述实施例的不同之处。以下,将主要描述本实施例与上述实施例的不同之处。
如图21所示,提供衬底2001。关于衬底,可以参见以上结合图1的描述。在此,同样以体Si衬底为例进行描述。
在衬底2001上,可以通过例如外延生长,依次形成第一源/漏层2031、沟道层2003和第二源/漏层2005。例如,对于p型器件,第一源/漏层2031可以包括SiGe(Ge的原子百分比可以为约10-40%),厚度为约20-50nm;沟道层2003可以包括Si,厚度为约10-100nm;第二源/漏层2005可以包括SiGe(Ge的原子百分比可以为约10-40%),厚度为约20-50nm。SiGe在没有应变的情况下的晶格常数大于Si在没有应变的情况下的晶格常数。第一源/漏层2031、沟道层2003和第二源/漏层2005的材料选择不限于此,可以包括能够提供适当刻蚀选择性的其他半导体材料。例如,对于n型器件,第一源/漏层2031和第二源/漏层2005可以包括Si:C(C的原子百分比可以为约0.1-5%),厚度为约20-50nm;沟道层2003可以包括Si,厚度为约10-100nm。Si:C在没有应变的情况下的晶格常数小于Si在没有应变的情况下的晶格常数。
在外延生长第一源/漏层2031和第二源/漏层2005时,可以对它们进行原位掺杂。例如,对于n型器件,可以对第一源/漏层2031和第二源/漏层2005进行n型掺杂;对于p型器件,可以对第一源/漏层2031和第二源/漏层2005进行p型掺杂。另外,还可以对沟道层2003进行原位掺杂,以调节器件的阈值电压(Vt)。例如,对于n型器件,可以对沟道层2003进行p型掺杂;对于p型器件,可以对沟道层2003进行n型掺杂。另外,对于无结器件,可以对第一源/漏层2031、沟道层2003和第二源/漏层2005进行相同类型的掺杂。特别是在源/漏层为Si:C且沟道层为Si的情况下,这种原位掺杂有助于在后继工艺中对它们进行选择性刻蚀。例如,Si:C的第一源/漏层2031和第二源/漏层2005中的掺杂浓度(例如,n型掺杂剂)可以为约1E19-1E21cm-3,而Si的沟道层2003中的掺杂浓度(例如,p型掺杂剂)可以为约1E17-1E19cm-3
对于各层的掺杂不限于原位掺杂。例如,可以在生长之后通过离子注入或者气相推入等方式来进行掺杂。
接下来,可以限定器件的有源区。如图22所示,可以依次对第二源/漏层2005、沟道层2003和第一源/漏层2031进行选择性刻蚀如RIE。对此,可以参见以上结合图2(a)和2(b)的描述。对于p型器件,在RIE之后,由于SiGe在没有应变的情况下的晶格常数大于Si在没有应变的情况下的晶格常数,在Si中产生应变,此应变会使Si的空穴迁移率大于其在没有应变的情况下的空穴迁移率,或Si的轻空穴的有效质量小于其在没有应变的情况下的轻空穴的有效质量,或Si的轻空穴的浓度大于其在没有应变的情况下的轻空穴的浓度,进而使p型器件的开态电流增加并因此增强了p型器件的性能。备选地,对于n型器件,在RIE之后,由于Si:C在没有应变的情况下的晶格常数小于Si在没有应变的情况下的晶格常数,在Si中产生应变,此应变会使Si的电子迁移率大于其在没有应变的情况下的电子迁移率,或Si的电子的有效质量小于其在没有应变的情况下的电子的有效质量,进而使n型器件的开态电流增加并以此增强了n型器件的性能。
另外,如果选用SiGe作为沟道层材料而用Si作为源/漏层材料,此选择既可以增加p型器件的开态电流,又可以减小p型器件的关态电流,从而增强了p型器件的性能。原因在于Si的禁带宽度大于SiGe的禁带宽度,而SiGe中空穴迁移率大于Si的空穴迁移率。
在该示例中,刻蚀可以进行到第一源/漏层2031,但并未进行到第一源/漏层2031的底面处。但是,本公开不限于此,对第一源/漏层2031的刻蚀也可以进行至第一源/漏层2031的底面。
然后,如图23所示,可以使沟道层2003的外周相对于第一源/漏层2031和第二源/漏层2005的外周凹入。如上所述,这可以通过选择性刻蚀(对于各层的掺杂不限于原位掺杂。例如,可以在生长之后通过离子注入或者气相推入等方式来进行掺杂。)或者数字刻蚀等处理来实现。对此,可以参见以上结合图3的描述。该凹入的上下侧壁分别由沟道层2003与第二源/漏层2005以及沟道层与第一源/漏层2031之间的界面限定。同样地,可以在沟道层2003相对于第一源/漏层2031和第二源/漏层2005的凹入处,形成牺牲栅2007,如图24所示。
接下来,可以在第一源/漏层2031和第二源/漏层2005中形成源/漏区。这可以按照上述工艺来进行。例如,如图25所示,可以在图24所示的结构上形成掺杂剂源层2009。接着,如图26所示,可以通过例如退火,使掺杂剂源层2009中包含的掺杂剂进入有源区中,从而在其中形成掺杂区,如图中的阴影部分所示。更具体地,可以在第一源/漏层2031中形成源/漏区之一2011-1,且在第二源/漏层2005中形成另一源/漏区2011-2。之后,可以去除掺杂剂源层2009。对此,可以参见以上结合图4~6的描述。
同样地,掺杂剂也可以经由第一源/漏层2031和第二源/漏层2005而进入沟道层2003中,从而在沟道层2003的上下两端处形成一定的掺杂分布,如图中的椭圆虚线圈所示。
当然,由于第一源/漏层2031和第二源/漏层2005如上所述在生长时已被掺杂,故而可以省略形成掺杂剂源层并从掺杂剂源层向源/漏层中驱入掺杂剂的该步骤。即便如此,也可以进行退火处理,以使第一源/漏层2031和第二源/漏层2005中的掺杂剂进入沟道层2003中,从而在沟道层2003的上下两端处形成一定的掺杂分布。
接下来,可以按照以上结合图7(a)至20描述的流程进行处理。例如,可以形成保持层,保持层可以带应力。接着,可以进行替代栅处理。如上所述,在去除牺牲栅时,沟道层中的应力可以增强。之后,可以去除保持层,形成层间电介质层,并在层间电介质层中制作接触部。同样地,如上所述,可以形成(带应力的)衬层。
根据本公开的另一实施例,牺牲栅2007可以带应力。于是,牺牲栅2007本身也可以在沟道层中产生应力。例如,对n型器件,牺牲栅2007可以带压应力,以在沟道层2003中产生拉应力,例如带压应力的氮化硅。又如,如果沟道层为SiGe,用Ge浓度比沟道层的SiGe中的Ge浓度高的SiGe作为牺牲栅材料。另外,对p型器件,牺牲栅2007可以带拉应力,以在沟道层2003中产生压应力,例如带拉应力的氮化硅。又如,如果沟道层为SiGe,用Ge浓度比沟道层的SiGe中的Ge浓度低的SiGe作为牺牲栅材料。
例如,对于n型器件,沟道层可以包括Si,源/漏层可以包括SiGe,且可以在凹入中形成SiGe作为牺牲栅2007。由于凹入的侧壁均为半导体材料(SiGe和Si),因此可以通过外延生长来形成SiGe的牺牲栅2007。牺牲栅2007的SiGe中Ge的含量可以高于源/漏层2031、2005的SiGe中Ge的含量,以便于后继选择性地去除。
在这种情况下,所形成的保持层甚至可以不带应力(当然也可以带应力)。在去除牺牲栅2007时,由于保持层的存在从而抑制了有源区特别是顶端的源/漏层2005的自由移动,可以至少部分地保持沟道层2007中的应力。
在此需要指出的是,尽管在以上实施例中使沟道层相对于源/漏层凹入以便能形成自对准的牺牲栅或栅堆叠,但是这种凹入在最终的器件结构中可能并不存在。例如,由于如上所述的硅化处理,源/漏层的外周表面向内缩回。或者,为了降低源/漏与栅之间的电容,还可以对源/漏层进行细化处理,并部分地用低k介质替代。例如,如图27所示,可以在图26所示的结构中,选择性刻蚀源/漏层,使其变细(甚至可以细于沟道层)。之后,如图28所示,可以通过侧墙(spacer)形成工艺,利用低k介质来形成低k介质侧墙1007′。之后,在去除牺牲栅2007之后,仍然存在“凹入”,但这是沟道层2003相对于低k介质侧墙1007′(而并非是相对于源/漏层)的凹入。
另外,也并非一定要存在这种凹入。例如,在如图2(a)和2(b)或图22所示限定有源区之后,可以绕沟道层的外周形成环绕沟道层的介质侧墙,作为牺牲栅。如上所述,该牺牲栅可以带应力或不带应力。然后,可以按照以上技术来进行器件制造。这种情况下,通过上述SMT技术,栅堆叠中的应力可以集中于栅堆叠靠近沟道层的端部(位于牺牲栅原本所在位置处的部分)。当然,在这种情况下,牺牲栅与沟道层之间并非自对准,而是可能存在一定的对准偏差。
根据本公开实施例的半导体器件可以应用于各种电子设备。例如,通过集成多个这样的半导体器件以及其他器件(例如,其他形式的晶体管等),可以形成集成电路(IC),并由此构建电子设备。因此,本公开还提供了一种包括上述半导体器件的电子设备。电子设备还可以包括与集成电路配合的显示屏幕以及与集成电路配合的无线收发器等部件。这种电子设备例如智能电话、计算机、平板电脑(PC)、人工智能、可穿戴设备、移动电源等。
根据本公开的实施例,还提供了一种芯片系统(SoC)的制造方法。该方法可以包括上述制造半导体器件的方法。具体地,可以在芯片上集成多种器件,其中至少一些是根据本公开的方法制造的。
在以上的描述中,对于各层的构图、刻蚀等技术细节并没有做出详细的说明。但是本领域技术人员应当理解,可以通过各种技术手段,来形成所需形状的层、区域等。另外,为了形成同一结构,本领域技术人员还可以设计出与以上描述的方法并不完全相同的方法。另外,尽管在以上分别描述了各实施例,但是这并不意味着各个实施例中的措施不能有利地结合使用。
以上对本公开的实施例进行了描述。但是,这些实施例仅仅是为了说明的目的,而并非为了限制本公开的范围。本公开的范围由所附权利要求及其等价物限定。不脱离本公开的范围,本领域技术人员可以做出多种替代和修改,这些替代和修改都应落在本公开的范围之内。

Claims (30)

1.一种制造半导体器件的方法,包括:
在衬底上设置包括第一源/漏层、沟道层和第二源/漏层的有源区;
绕沟道层的外周形成牺牲栅;
形成能够在去除牺牲栅后保持沟道层中至少部分应力并露出至少部分牺牲栅的保持层;
去除牺牲栅并绕沟道层外周形成栅堆叠。
2.根据权利要求1所述的方法,其中,牺牲栅能够在沟道层中产生应力。
3.根据权利要求1所述的方法,其中,保持层能够在沟道层中产生应力。
4.根据权利要求1所述的方法,其中,在去除牺牲栅并绕沟道层外周形成栅堆叠之后,该方法还包括:去除保持层。
5.根据权利要求1所述的方法,其中,绕沟道层的外周形成牺牲栅包括:
在有源区中,使沟道层的外周相对于第一、第二源/漏层的外周向内凹入;以及
在沟道层的外周相对于第一、第二源/漏层的外周的凹入内,绕沟道层的外周形成牺牲栅。
6.根据权利要求2所述的方法,其中,通过外延生长与沟道层材料晶格常数不同的材料来形成牺牲栅。
7.根据权利要求6所述的方法,其中,
对于p型器件,在无应变情况下牺牲栅材料的晶格常数比在无应变情况下沟道层材料的晶格常数小;
对于n型器件,在无应变情况下牺牲栅材料的晶格常数比在无应变情况下沟道层材料的晶格常数大。
8.根据权利要求6或7所述的方法,其中,
对于p型器件,沟道层包括SiGe,牺牲栅包括Si∶C或Ge浓度比沟道层SiGe的Ge浓度低的SiGe;
对于n型器件,沟道层包括SiGe,牺牲栅包括Ge浓度比沟道层SiGe的Ge浓度高的SiGe。
9.根据权利要求2所述的方法,其中,
对于p型器件,牺牲栅包括拉应力材料;
对于n型器件,牺牲栅包括压应力材料。
10.根据权利要求2所述的方法,其中,对于n型器件,牺牲栅在沟道层中产生拉应力;对于p型器件,牺牲栅在沟道层中产生压应力。
11.根据权利要求3所述的方法,其中,保持层对于n型器件带压应力,对于p型器件带拉应力。
12.根据权利要求1所述的方法,其中,设置有源区包括外延生长。
13.根据权利要求1所述的方法,其中,保持层保持第二源/漏区的一部分表面,并露出绕沟道层的外周形成的牺牲栅的一部分。
14.根据权利要求13所述的方法,其中,形成保持层包括:
形成覆盖有源区的所有露出表面的保持层;以及
对保持层进行构图,以露出牺牲栅的一部分表面。
15.根据权利要求13或14所述的方法,其中,保持层在俯视图中呈条状。
16.根据权利要求5所述的方法,其中,去除牺牲栅并绕沟道层外周形成栅堆叠包括:
在衬底上有源区的周围形成隔离层,其中隔离层的顶面靠近沟道层与第一源/漏层之间的界面或者处于沟道层的顶面与底面之间;
去除牺牲栅;
在隔离层上绕沟道层的外周形成栅堆叠。
17.根据权利要求16所述的方法,其中,形成栅堆叠包括:
在隔离层上依次形成栅介质层和栅导体层;以及
回蚀栅导体层,使得栅导体层在所述凹入之外的部分的顶面低于沟道层的顶面。
18.根据权利要求1所述的方法,还包括:在第一源/漏层和第二源/漏层的表面上形成衬层。
19.根据权利要求18所述的方法,其中,衬层带应力。
20.根据权利要求19所述的方法,其中,衬层对于n型器件带压应力,对于p型器件带拉应力。
21.一种半导体器件,包括:
衬底;
依次叠置在衬底上的第一源/漏层、沟道层和第二源/漏层;以及
绕沟道层的外周形成的栅堆叠,
其中,在沟道层以及栅堆叠靠近沟道层的端部中存在应力。
22.根据权利要求21所述的半导体器件,其中,沟道层的外周相对于第一源/漏层和第二源/漏层的外周向内凹入,栅堆叠靠近沟道层的端部嵌入在沟道层的外周相对于第一源/漏层和第二源/漏层的外周的凹入中,且应力存在于栅堆叠位于所述凹入内的部分中。
23.根据权利要求21或22所述的半导体器件,其中,栅堆叠的端部中存在的应力在平行于器件的电流密度的方向上的绝对值大于在垂直于电流密度的方向上的绝对值。
24.根据权利要求23所述的半导体器件,其中,在平行于器件的电流密度的方向上,栅堆叠的端部中存在的应力与沟道层中的应力相反。
25.根据权利要求21所述的半导体器件,还包括:
与第一源/漏层和第二源/漏层物理接触并露出至少部分栅堆叠的保持层,其中在保持层中也存在应力。
26.一种半导体器件,包括:
衬底;
依次叠置在衬底上的第一源/漏层、沟道层和第二源/漏层;
绕沟道层的外周形成的栅堆叠;以及
与第一源/漏层和第二源/漏层物理接触并露出至少部分栅堆叠的保持层,
其中,在沟道层以及保持层中存在应力。
27.根据权利要求26所述的半导体器件,其中,沟道层的外周相对于第一源/漏层和第二源/漏层的外周向内凹入,且栅堆叠靠近沟道层的端部嵌入在沟道层的外周相对于第一源/漏层和第二源/漏层的外周的凹入中,其中在栅堆叠位于所述凹入内的部分中也存在应力。
28.一种电子设备,包括由如权利要求21至27中任一项所述的半导体器件形成的集成电路。
29.根据权利要求2所述的电子设备,还包括:与所述集成电路配合的显示器以及与所述集成电路配合的无线收发器。
30.根据权利要求28所述的电子设备,该电子设备包括智能电话、计算机、平板电脑、人工智能、可穿戴设备或移动电源。
CN201710530684.XA 2016-09-30 2017-06-30 利用应力记忆技术的半导体器件及其制造方法及电子设备 Active CN107887274B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201610872541.2A CN106298778A (zh) 2016-09-30 2016-09-30 半导体器件及其制造方法及包括该器件的电子设备
CN2016108725412 2016-09-30

Publications (2)

Publication Number Publication Date
CN107887274A true CN107887274A (zh) 2018-04-06
CN107887274B CN107887274B (zh) 2020-05-29

Family

ID=57716779

Family Applications (14)

Application Number Title Priority Date Filing Date
CN201610872541.2A Pending CN106298778A (zh) 2016-09-30 2016-09-30 半导体器件及其制造方法及包括该器件的电子设备
CN202010840234.2A Active CN111755443B (zh) 2016-09-30 2017-06-30 集成电路单元及包括该集成电路单元的电子设备
CN201710530685.4A Active CN107887384B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530297.6A Active CN107887387B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530684.XA Active CN107887274B (zh) 2016-09-30 2017-06-30 利用应力记忆技术的半导体器件及其制造方法及电子设备
CN201710531811.8A Active CN107887444B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530751.8A Active CN107887385B (zh) 2016-09-30 2017-06-30 集成电路单元及其制造方法及包括该单元的电子设备
CN201710531762.8A Active CN107887443B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530298.0A Active CN107887442B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530950.9A Active CN107887386B (zh) 2016-09-30 2017-06-30 集成电路单元及其制造方法及包括该单元的电子设备
CN201710531812.2A Active CN107887445B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530194.XA Active CN107887440B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN202011005535.XA Active CN112018111B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530250.XA Active CN107887441B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备

Family Applications Before (4)

Application Number Title Priority Date Filing Date
CN201610872541.2A Pending CN106298778A (zh) 2016-09-30 2016-09-30 半导体器件及其制造方法及包括该器件的电子设备
CN202010840234.2A Active CN111755443B (zh) 2016-09-30 2017-06-30 集成电路单元及包括该集成电路单元的电子设备
CN201710530685.4A Active CN107887384B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530297.6A Active CN107887387B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备

Family Applications After (9)

Application Number Title Priority Date Filing Date
CN201710531811.8A Active CN107887444B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530751.8A Active CN107887385B (zh) 2016-09-30 2017-06-30 集成电路单元及其制造方法及包括该单元的电子设备
CN201710531762.8A Active CN107887443B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530298.0A Active CN107887442B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530950.9A Active CN107887386B (zh) 2016-09-30 2017-06-30 集成电路单元及其制造方法及包括该单元的电子设备
CN201710531812.2A Active CN107887445B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530194.XA Active CN107887440B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN202011005535.XA Active CN112018111B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530250.XA Active CN107887441B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备

Country Status (2)

Country Link
US (9) US11158547B2 (zh)
CN (14) CN106298778A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110120424A (zh) * 2019-05-08 2019-08-13 中国科学院微电子研究所 半导体器件、其制造方法、集成电路及电子设备
CN110970369A (zh) * 2018-09-30 2020-04-07 中芯国际集成电路制造(上海)有限公司 Cmos反相器结构及其形成方法
CN111599760A (zh) * 2020-06-03 2020-08-28 中国科学院微电子研究所 一种半导体器件及其制造方法
TWI708277B (zh) * 2018-04-27 2020-10-21 大陸商北京北方華創微電子裝備有限公司 射頻阻抗匹配的方法及裝置、半導體處理設備
CN113380797A (zh) * 2021-06-02 2021-09-10 中国科学院微电子研究所 半导体装置及其制造方法及包括其的电子设备

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018059108A1 (zh) * 2016-09-30 2018-04-05 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US10833193B2 (en) 2016-09-30 2020-11-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device, method of manufacturing the same and electronic device including the device
CN106298778A (zh) 2016-09-30 2017-01-04 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
WO2018059107A1 (zh) * 2016-09-30 2018-04-05 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US11081484B2 (en) 2016-09-30 2021-08-03 Institute of Microelectronics, Chinese Academy of Sciences IC unit and method of manufacturing the same, and electronic device including the same
WO2018059109A1 (zh) * 2016-09-30 2018-04-05 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US10403751B2 (en) * 2017-01-13 2019-09-03 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
CN108695382B (zh) * 2017-04-07 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
EP3454378A1 (en) * 2017-09-08 2019-03-13 IMEC vzw A method for forming a vertical channel device, and a vertical channel device
US10283621B2 (en) * 2017-09-20 2019-05-07 Globalfoundries Inc. Method of forming vertical field effect transistors with self-aligned gates and gate extensions and the resulting structure
US10297507B2 (en) * 2017-10-17 2019-05-21 International Business Machines Corporation Self-aligned vertical field-effect transistor with epitaxially grown bottom and top source drain regions
US10192819B1 (en) * 2017-11-16 2019-01-29 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors
US10090193B1 (en) 2017-11-16 2018-10-02 Globalfoundries Inc. Integrated circuit structure incorporating a stacked pair of field effect transistors and a buried interconnect and method
US10304832B1 (en) 2017-11-16 2019-05-28 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors and method
CN110098250B (zh) * 2018-01-31 2022-07-05 中国科学院微电子研究所 带体区的竖直型器件及其制造方法及相应电子设备
US11335793B2 (en) * 2018-02-28 2022-05-17 Intel Corporation Vertical tunneling field-effect transistors
US11195764B2 (en) * 2018-04-04 2021-12-07 International Business Machines Corporation Vertical transport field-effect transistors having germanium channel surfaces
US10777658B2 (en) * 2018-04-17 2020-09-15 International Business Machines Corporation Method and structure of fabricating I-shaped silicon vertical field-effect transistors
US10636878B2 (en) * 2018-05-18 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Steep sloped vertical tunnel field-effect transistor
US10535754B2 (en) * 2018-06-05 2020-01-14 International Business Machines Corporation Method and structure for forming a vertical field-effect transistor
US10388569B1 (en) 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
US10483166B1 (en) * 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
US11688775B2 (en) 2018-08-13 2023-06-27 International Business Machines Corporation Method of forming first and second contacts self-aligned top source/drain region of a vertical field-effect transistor
JP7402401B2 (ja) * 2018-09-05 2023-12-21 東京エレクトロン株式会社 モノリシック集積型3次元cmosロジック及びメモリを製造するためのアーキテクチャ設計及びプロセス
JP7406683B2 (ja) * 2018-09-05 2023-12-28 東京エレクトロン株式会社 3dロジック及びメモリのための電力分配ネットワーク
US11355644B2 (en) * 2018-09-25 2022-06-07 International Business Machines Corporation Vertical field effect transistors with self aligned contacts
US11245011B2 (en) * 2018-09-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical tunnel field-effect transistor with U-shaped gate and band aligner
CN109411538B (zh) * 2018-10-08 2020-09-11 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109360824B (zh) 2018-10-08 2021-08-06 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109300874B (zh) 2018-10-08 2020-06-30 中国科学院微电子研究所 并联结构及其制造方法及包括该并联结构的电子设备
CN109449206B (zh) * 2018-10-08 2022-04-19 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109326650B (zh) * 2018-10-10 2022-04-19 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109449121B (zh) * 2018-10-26 2022-04-19 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109473429B (zh) * 2018-10-26 2021-08-03 中国科学院微电子研究所 半导体器件及其制造方法及包括其的电子设备
CN114068533A (zh) 2018-10-26 2022-02-18 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US11056489B2 (en) * 2018-11-05 2021-07-06 Samsung Electronics Co., Ltd. Integrated circuit devices including vertical field-effect transistors (VFETs)
US10741666B2 (en) 2018-11-19 2020-08-11 Vanguard International Semiconductor Corporation High electron mobility transistor and method for forming the same
CN109768087B (zh) * 2018-12-20 2021-04-27 中国科学院微电子研究所 半导体器件、其制造方法、集成电路及电子设备
CN109817721B (zh) * 2019-02-03 2022-04-05 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109801960B (zh) * 2019-02-03 2022-04-01 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN110085674B (zh) * 2019-03-13 2021-02-26 西安电子科技大学 一种垂直功率器件及其制作方法
US10896912B2 (en) * 2019-03-20 2021-01-19 International Business Machines Corporation Stacked vertical transistor erasable programmable read-only memory and programmable inverter devices
CN109841675B (zh) * 2019-04-04 2022-05-17 中国科学院微电子研究所 垂直纳米线晶体管及其形成方法
US11799035B2 (en) * 2019-04-12 2023-10-24 The Research Foundation For The State University Of New York Gate all-around field effect transistors including quantum-based features
US11251200B2 (en) 2019-05-23 2022-02-15 Tokyo Electron Limited Coaxial contacts for 3D logic and memory
CN110379808A (zh) * 2019-07-17 2019-10-25 上海华力集成电路制造有限公司 Cmos反相器
US11195832B2 (en) * 2019-10-03 2021-12-07 Tokyo Electron Limited High performance nanosheet fabrication method with enhanced high mobility channel elements
CN110911478B (zh) * 2019-10-22 2021-01-05 清华大学 一种具有亚1nm栅长的二维薄膜场效应晶体管
CN110993681B (zh) * 2019-12-06 2023-12-12 中国科学院微电子研究所 C形有源区半导体器件及其制造方法及包括其的电子设备
CN111106165B (zh) * 2019-12-06 2023-11-07 中国科学院微电子研究所 U形沟道半导体器件及其制造方法及包括其的电子设备
CN111063683B (zh) * 2019-12-06 2022-08-30 中国科学院微电子研究所 具有u形沟道的半导体装置及包括其的电子设备
CN111063728B (zh) * 2019-12-06 2023-09-22 中国科学院微电子研究所 C形有源区半导体器件及其制造方法及包括其的电子设备
CN111063684B (zh) * 2019-12-06 2023-04-11 中国科学院微电子研究所 具有c形有源区的半导体装置及包括其的电子设备
CN113113356B (zh) * 2020-01-10 2023-05-05 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
CN113113310B (zh) * 2020-01-13 2024-07-16 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
CN111384156B (zh) * 2020-01-21 2021-08-03 中国科学院微电子研究所 C形沟道部半导体器件及其制造方法及包括其的电子设备
CN111261700A (zh) 2020-01-21 2020-06-09 中国科学院微电子研究所 C形沟道部半导体器件及其制造方法及包括其的电子设备
CN111244161B (zh) * 2020-01-21 2023-08-11 中国科学院微电子研究所 C形沟道部半导体装置及包括其的电子设备
US10971505B1 (en) 2020-02-10 2021-04-06 Taiwan Semiconductor Manufacturing Company Limited Memory devices and methods of manufacturing thereof
CN111463288A (zh) * 2020-04-17 2020-07-28 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
WO2021222247A1 (en) * 2020-05-01 2021-11-04 Tokyo Electron Limited Method of expanding 3d device architectural designs for enhanced performance
US11456218B2 (en) * 2020-06-03 2022-09-27 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
CN111599757B (zh) * 2020-06-03 2023-05-23 中国科学院微电子研究所 一种半导体器件及其制造方法
CN118352381A (zh) * 2020-06-12 2024-07-16 中国科学院微电子研究所 带导电层的竖直型半导体器件及其制造方法及电子设备
CN111834286B (zh) * 2020-07-24 2021-11-30 广东省大湾区集成电路与系统应用研究院 半导体绝缘衬底、晶体管及其制备方法
CN112397581B (zh) * 2020-11-18 2022-06-10 光华临港工程应用技术研发(上海)有限公司 隧道场效应晶体管及其制作方法
CN112582464B (zh) * 2020-12-11 2024-06-14 中国科学院微电子研究所 应变竖直沟道半导体器件及其制造方法及包括其的电子设备
CN112687700B (zh) * 2020-12-24 2024-04-23 长江存储科技有限责任公司 三维存储器及其制备方法
CN112992857B (zh) 2021-02-09 2023-08-25 中国科学院微电子研究所 侧壁互连结构中带散热管道的半导体装置及其制造方法及电子设备
CN112909010B (zh) * 2021-03-08 2023-12-15 中国科学院微电子研究所 Nor型存储器件及其制造方法及包括存储器件的电子设备
US12051699B2 (en) 2021-03-29 2024-07-30 Changxin Memory Technologies, Inc. Semiconductor structure and method for forming same
CN113257815B (zh) * 2021-04-29 2023-01-10 中国科学院微电子研究所 竖直相邻器件之间带隔离部的半导体装置及电子设备
US11942374B2 (en) 2021-06-17 2024-03-26 International Business Machines Corporation Nanosheet field effect transistor with a source drain epitaxy replacement
US11908937B2 (en) 2021-07-15 2024-02-20 International Business Machines Corporation Vertical transport field-effect transistor with ring-shaped wrap-around contact
WO2023000222A1 (zh) * 2021-07-21 2023-01-26 华为技术有限公司 一种包含垂直晶体管的芯片及其制备方法、终端
JP7498794B2 (ja) 2021-08-30 2024-06-12 チャンシン メモリー テクノロジーズ インコーポレイテッド 半導体構造及びその形成方法
CN115732325A (zh) * 2021-08-30 2023-03-03 长鑫存储技术有限公司 半导体结构及其形成方法
CN114121959A (zh) * 2021-11-19 2022-03-01 北京超弦存储器研究院 存储器件及其制造方法及包括存储器件的电子设备
CN114203803A (zh) * 2021-12-10 2022-03-18 北京超弦存储器研究院 垂直mosfet器件及其制备方法
US20240047539A1 (en) * 2022-08-05 2024-02-08 Samsung Electronics Co., Ltd. 3d stacked field-effect transistor device with pn junction structure
US20240047456A1 (en) * 2022-08-05 2024-02-08 Samsung Electronics Co., Ltd. 3dsfet standard cell architecture with source-drain junction isolation
JP2024076261A (ja) * 2022-11-24 2024-06-05 株式会社ジャパンディスプレイ 表示装置

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1794466A (zh) * 2005-11-18 2006-06-28 北京大学 双栅垂直沟道场效应晶体管及其制备方法
KR20090066491A (ko) * 2007-12-20 2009-06-24 주식회사 하이닉스반도체 수직형 트랜지스터 및 그의 형성방법
CN102412156A (zh) * 2011-04-29 2012-04-11 上海华力微电子有限公司 一种提高pmos器件中空穴迁移率的多晶硅栅附加样本填充方法
CN103996709A (zh) * 2013-02-20 2014-08-20 台湾积体电路制造股份有限公司 用于在FinFET沟道中诱导应变的方法
CN104701376A (zh) * 2013-12-10 2015-06-10 台湾积体电路制造股份有限公司 替换栅极纳米线器件
CN105206670A (zh) * 2014-06-27 2015-12-30 台湾积体电路制造股份有限公司 用于使垂直全环栅器件中的载流子沟道应变的方法和结构
CN105810720A (zh) * 2015-01-16 2016-07-27 台湾积体电路制造股份有限公司 在垂直纳米导线晶体管中诱发局部应变

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5554870A (en) * 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
EP0899790A3 (de) * 1997-08-27 2006-02-08 Infineon Technologies AG DRAM-Zellanordnung und Verfahren zu deren Herstellung
US6576944B2 (en) * 2000-12-14 2003-06-10 Infineon Technologies Ag Self-aligned nitride pattern for improved process window
US7205604B2 (en) 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
KR100414217B1 (ko) * 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6744083B2 (en) * 2001-12-20 2004-06-01 The Board Of Regents, The University Of Texas System Submicron MOSFET having asymmetric channel profile
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
US6943407B2 (en) 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
EP1711966B1 (en) * 2004-01-22 2012-02-22 International Business Machines Corporation Vertical fin-fet mos devices
JP4796329B2 (ja) * 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7288821B2 (en) 2005-04-08 2007-10-30 International Business Machines Corporation Structure and method of three dimensional hybrid orientation technology
US7446350B2 (en) 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
US20070018252A1 (en) 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
KR100734313B1 (ko) * 2006-02-09 2007-07-02 삼성전자주식회사 수직 채널을 갖는 반도체 소자 및 그 제조방법
EP1900681B1 (en) * 2006-09-15 2017-03-15 Imec Tunnel Field-Effect Transistors based on silicon nanowires
US7781827B2 (en) * 2007-01-24 2010-08-24 Mears Technologies, Inc. Semiconductor device with a vertical MOSFET including a superlattice and related methods
US7892956B2 (en) 2007-09-24 2011-02-22 International Business Machines Corporation Methods of manufacture of vertical nanowire FET devices
CN101295647A (zh) * 2008-01-16 2008-10-29 清华大学 增强mos器件沟道区应变的方法
US8173987B2 (en) * 2009-04-27 2012-05-08 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
EP2267782A3 (en) 2009-06-24 2013-03-13 Imec Control of tunneling junction in a hetero tunnel field effect transistor
CN102299154B (zh) * 2010-06-22 2013-06-12 中国科学院微电子研究所 半导体结构及其制作方法
KR101893848B1 (ko) * 2011-06-16 2018-10-04 삼성전자주식회사 수직 소자 및 비-수직 소자를 갖는 반도체 소자 및 그 형성 방법
US20130082329A1 (en) 2011-10-03 2013-04-04 International Business Machines Corporation Multi-gate field-effect transistors with variable fin heights
CN103515435B (zh) * 2012-06-26 2016-12-21 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其形成方法、sram存储单元电路
CN103632973B (zh) * 2012-08-23 2017-01-25 中国科学院微电子研究所 半导体器件及其制造方法
US8815691B2 (en) * 2012-12-21 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate all around device
US9111780B2 (en) * 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for vertical tunneling field effect transistor with leveled source and drain
WO2014141485A1 (ja) * 2013-03-15 2014-09-18 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Sgtを有する半導体装置の製造方法
CN104103515B (zh) * 2013-04-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的制作方法与nmos晶体管的制作方法
US9214235B2 (en) 2013-04-16 2015-12-15 Conversant Intellectual Property Management Inc. U-shaped common-body type cell string
CN103337519A (zh) * 2013-06-26 2013-10-02 清华大学 场效应晶体管及其形成方法
US9129825B2 (en) * 2013-11-01 2015-09-08 International Business Machines Corporation Field effect transistor including a regrown contoured channel
KR102157825B1 (ko) 2014-01-16 2020-09-18 삼성전자주식회사 터널링 전계 효과 트랜지스터
US9368601B2 (en) * 2014-02-28 2016-06-14 Sandisk Technologies Inc. Method for forming oxide below control gate in vertical channel thin film transistor
US10553718B2 (en) 2014-03-14 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with core-shell structures
US9196730B1 (en) * 2014-06-20 2015-11-24 Taiwan Seminconductor Manufacturing Company Limited Variable channel strain of nanowire transistors to improve drive current
CN104022121B (zh) 2014-06-23 2017-05-03 中国科学院微电子研究所 三维半导体器件及其制造方法
US9711596B2 (en) * 2014-06-24 2017-07-18 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device including a semiconductor sheet interconnecting a source region and a drain region
US9406793B2 (en) 2014-07-03 2016-08-02 Broadcom Corporation Semiconductor device with a vertical channel formed through a plurality of semiconductor layers
US9985026B2 (en) * 2014-08-15 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US9293588B1 (en) * 2014-08-28 2016-03-22 International Business Machines Corporation FinFET with a silicon germanium alloy channel and method of fabrication thereof
US9911848B2 (en) * 2014-08-29 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor and method of manufacturing the same
US9251888B1 (en) 2014-09-15 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells with vertical gate-all-round MOSFETs
JP5938529B1 (ja) 2015-01-08 2016-06-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 柱状半導体装置と、その製造方法
TWI662625B (zh) * 2015-01-19 2019-06-11 聯華電子股份有限公司 半導體元件及其製作方法
US20160268256A1 (en) 2015-03-13 2016-09-15 Qualcomm Incorporated Complementary metal-oxide semiconductor (cmos) transistor and tunnel field-effect transistor (tfet) on a single substrate
US9385195B1 (en) 2015-03-31 2016-07-05 Stmicroelectronics, Inc. Vertical gate-all-around TFET
US20160315084A1 (en) 2015-04-21 2016-10-27 Globalfoundries Inc. Different height of fins in semiconductor structure
US20160336324A1 (en) * 2015-05-15 2016-11-17 Qualcomm Incorporated Tunnel field effect transistor and method of making the same
US9653281B2 (en) * 2015-06-22 2017-05-16 Qualcomm Incorporated Structure and method for tunable memory cells including fin field effect transistors
US9685510B2 (en) 2015-09-10 2017-06-20 International Business Machines Corporation SiGe CMOS with tensely strained NFET and compressively strained PFET
US9613955B1 (en) 2015-12-10 2017-04-04 International Business Machines Corporation Hybrid circuit including a tunnel field-effect transistor
US9754933B2 (en) 2015-12-30 2017-09-05 International Business Machines Corporation Large area diode co-integrated with vertical field-effect-transistors
US9799655B1 (en) 2016-04-25 2017-10-24 International Business Machines Corporation Flipped vertical field-effect-transistor
US9812567B1 (en) * 2016-05-05 2017-11-07 International Business Machines Corporation Precise control of vertical transistor gate length
US10170575B2 (en) * 2016-05-17 2019-01-01 International Business Machines Corporation Vertical transistors with buried metal silicide bottom contact
KR102422240B1 (ko) * 2016-05-26 2022-07-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9786758B1 (en) 2016-06-13 2017-10-10 International Business Machines Corporation Vertical Schottky barrier FET
KR102519665B1 (ko) 2016-08-05 2023-04-07 삼성전자주식회사 집적회로 장치 및 그 제조 방법
KR102552943B1 (ko) * 2016-08-08 2023-07-06 삼성전자주식회사 반도체 장치의 제조 방법
JP6951903B2 (ja) 2016-08-10 2021-10-20 東京エレクトロン株式会社 半導体素子のための拡張領域
US9941391B2 (en) * 2016-08-12 2018-04-10 International Business Machines Corporation Method of forming vertical transistor having dual bottom spacers
US20180061944A1 (en) 2016-08-31 2018-03-01 International Business Machines Corporation Forming nanosheet transistors with differing characteristics
US9685537B1 (en) 2016-09-29 2017-06-20 Globalfoundries Inc. Gate length control for vertical transistors and integration with replacement gate flow
US10833193B2 (en) * 2016-09-30 2020-11-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device, method of manufacturing the same and electronic device including the device
US11081484B2 (en) 2016-09-30 2021-08-03 Institute of Microelectronics, Chinese Academy of Sciences IC unit and method of manufacturing the same, and electronic device including the same
CN106298778A (zh) 2016-09-30 2017-01-04 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US10777469B2 (en) * 2018-10-11 2020-09-15 International Business Machines Corporation Self-aligned top spacers for vertical FETs with in situ solid state doping

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1794466A (zh) * 2005-11-18 2006-06-28 北京大学 双栅垂直沟道场效应晶体管及其制备方法
KR20090066491A (ko) * 2007-12-20 2009-06-24 주식회사 하이닉스반도체 수직형 트랜지스터 및 그의 형성방법
CN102412156A (zh) * 2011-04-29 2012-04-11 上海华力微电子有限公司 一种提高pmos器件中空穴迁移率的多晶硅栅附加样本填充方法
CN103996709A (zh) * 2013-02-20 2014-08-20 台湾积体电路制造股份有限公司 用于在FinFET沟道中诱导应变的方法
CN104701376A (zh) * 2013-12-10 2015-06-10 台湾积体电路制造股份有限公司 替换栅极纳米线器件
CN105206670A (zh) * 2014-06-27 2015-12-30 台湾积体电路制造股份有限公司 用于使垂直全环栅器件中的载流子沟道应变的方法和结构
CN105810720A (zh) * 2015-01-16 2016-07-27 台湾积体电路制造股份有限公司 在垂直纳米导线晶体管中诱发局部应变

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI708277B (zh) * 2018-04-27 2020-10-21 大陸商北京北方華創微電子裝備有限公司 射頻阻抗匹配的方法及裝置、半導體處理設備
CN110970369A (zh) * 2018-09-30 2020-04-07 中芯国际集成电路制造(上海)有限公司 Cmos反相器结构及其形成方法
CN110970369B (zh) * 2018-09-30 2022-08-02 中芯国际集成电路制造(上海)有限公司 Cmos反相器结构及其形成方法
CN110120424A (zh) * 2019-05-08 2019-08-13 中国科学院微电子研究所 半导体器件、其制造方法、集成电路及电子设备
CN110120424B (zh) * 2019-05-08 2022-03-22 中国科学院微电子研究所 半导体器件、其制造方法、集成电路及电子设备
CN111599760A (zh) * 2020-06-03 2020-08-28 中国科学院微电子研究所 一种半导体器件及其制造方法
CN111599760B (zh) * 2020-06-03 2023-05-23 中国科学院微电子研究所 一种半导体器件及其制造方法
CN113380797A (zh) * 2021-06-02 2021-09-10 中国科学院微电子研究所 半导体装置及其制造方法及包括其的电子设备
CN113380797B (zh) * 2021-06-02 2022-07-29 中国科学院微电子研究所 半导体装置及其制造方法及包括其的电子设备

Also Published As

Publication number Publication date
CN107887442A (zh) 2018-04-06
US20200280700A1 (en) 2020-09-03
US10714398B2 (en) 2020-07-14
CN111755443B (zh) 2023-08-15
CN107887444A (zh) 2018-04-06
US20230369489A1 (en) 2023-11-16
CN111755443A (zh) 2020-10-09
CN107887445B (zh) 2021-04-16
US11158547B2 (en) 2021-10-26
CN107887445A (zh) 2018-04-06
US20200027879A1 (en) 2020-01-23
CN107887384A (zh) 2018-04-06
CN107887440A (zh) 2018-04-06
US20190279980A1 (en) 2019-09-12
US11217493B2 (en) 2022-01-04
CN107887385A (zh) 2018-04-06
CN112018111B (zh) 2023-12-22
CN107887387B (zh) 2020-10-23
CN107887384B (zh) 2020-10-23
CN107887387A (zh) 2018-04-06
CN107887444B (zh) 2021-03-23
CN107887443A (zh) 2018-04-06
US20190287865A1 (en) 2019-09-19
CN107887441B (zh) 2020-10-27
CN107887385B (zh) 2020-09-15
US20200027950A1 (en) 2020-01-23
CN107887386A (zh) 2018-04-06
CN112018111A (zh) 2020-12-01
CN107887274B (zh) 2020-05-29
CN106298778A (zh) 2017-01-04
CN107887442B (zh) 2021-04-13
CN107887441A (zh) 2018-04-06
US20180097065A1 (en) 2018-04-05
US11195765B2 (en) 2021-12-07
US20180097106A1 (en) 2018-04-05
CN107887386B (zh) 2020-10-27
CN107887443B (zh) 2021-01-12
US10629498B2 (en) 2020-04-21
US10643905B2 (en) 2020-05-05
US20180108577A1 (en) 2018-04-19
US10910278B2 (en) 2021-02-02
CN107887440B (zh) 2021-06-29

Similar Documents

Publication Publication Date Title
CN107887274A (zh) 利用应力记忆技术的半导体器件及其制造方法及电子设备
US11121044B2 (en) Vertically stacked nanosheet CMOS transistor
CN106252352B (zh) 半导体设置及其制造方法及包括该设置的电子设备
CN109449158A (zh) 半导体器件及其制造方法及包括该器件的电子设备
US10903369B2 (en) Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
CN108110059B (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN108198815B (zh) 半导体器件及其制造方法及包括该器件的电子设备
US20200203343A1 (en) Ic unit and method of manufacturing the same, and electronic device including the same
US10957799B2 (en) Transistor channel having vertically stacked nanosheets coupled by fin-shaped bridge regions
CN105244353B (zh) 包括带电荷穿通阻止层以降低穿通的cmos器件及其制造方法
CN109326650B (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN103985749A (zh) 半导体设置及其制造方法
CN106298875A (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN110098250B (zh) 带体区的竖直型器件及其制造方法及相应电子设备
CN109449121A (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN109473429A (zh) 半导体器件及其制造方法及包括其的电子设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant