CN107887386A - 集成电路单元及其制造方法及包括该单元的电子设备 - Google Patents

集成电路单元及其制造方法及包括该单元的电子设备 Download PDF

Info

Publication number
CN107887386A
CN107887386A CN201710530950.9A CN201710530950A CN107887386A CN 107887386 A CN107887386 A CN 107887386A CN 201710530950 A CN201710530950 A CN 201710530950A CN 107887386 A CN107887386 A CN 107887386A
Authority
CN
China
Prior art keywords
source drain
channel layer
periphery
layer
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710530950.9A
Other languages
English (en)
Other versions
CN107887386B (zh
Inventor
朱慧珑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Institute of Microelectronics of CAS
Original Assignee
Institute of Microelectronics of CAS
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Institute of Microelectronics of CAS filed Critical Institute of Microelectronics of CAS
Publication of CN107887386A publication Critical patent/CN107887386A/zh
Application granted granted Critical
Publication of CN107887386B publication Critical patent/CN107887386B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0208Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the configuration of the monitoring system
    • G05B23/0216Human interface functionality, e.g. monitoring system providing help to the user in the selection of tests or in its configuration
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T19/00Manipulating 3D models or images for computer graphics
    • G06T19/006Mixed reality
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • H01L21/2253Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2258Diffusion into or out of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0925Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising an N-well only in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0638Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for preventing surface leakage due to surface inversion layer, e.g. with channel stopper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1083Substrate region of field-effect devices of field-effect transistors with insulated gate with an inactive supplementary region, e.g. for preventing punch-through, improving capacity effect or leakage current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/151Compositional structures
    • H01L29/152Compositional structures with quantum effects only in vertical direction, i.e. layered structures with quantum effects solely resulting from vertical potential variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7788Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N23/00Cameras or camera modules comprising electronic image sensors; Control thereof
    • H04N23/60Control of cameras or camera modules
    • H04N23/698Control of cameras or camera modules for achieving an enlarged field of view, e.g. panoramic image capture
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/18Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast
    • H04N7/181Closed-circuit television [CCTV] systems, i.e. systems in which the video signal is not broadcast for receiving images from a plurality of remote sources
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32014Augmented reality assists operator in maintenance, repair, programming, assembly, use of head mounted display with 2-D 3-D display and voice feedback, voice and gesture command
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/048Interaction techniques based on graphical user interfaces [GUI]
    • G06F3/0481Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance
    • G06F3/04817Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance using icons
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/01Input arrangements or combined input and output arrangements for interaction between user and computer
    • G06F3/048Interaction techniques based on graphical user interfaces [GUI]
    • G06F3/0481Interaction techniques based on graphical user interfaces [GUI] based on specific properties of the displayed interaction object or a metaphor-based environment, e.g. interaction with desktop elements like windows or icons, or assisted by a cursor's changing behaviour or appearance
    • G06F3/0482Interaction with lists of selectable items, e.g. menus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/40Scenes; Scene-specific elements in video content
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V20/00Scenes; Scene-specific elements
    • G06V20/40Scenes; Scene-specific elements in video content
    • G06V20/44Event detection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N13/00Stereoscopic video systems; Multi-view video systems; Details thereof
    • H04N13/10Processing, recording or transmission of stereoscopic or multi-view image signals
    • H04N13/106Processing image signals
    • H04N13/111Transformation of image signals corresponding to virtual viewpoints, e.g. spatial image interpolation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N13/00Stereoscopic video systems; Multi-view video systems; Details thereof
    • H04N13/30Image reproducers
    • H04N13/332Displays for viewing with the aid of special glasses or head-mounted displays [HMD]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N13/00Stereoscopic video systems; Multi-view video systems; Details thereof
    • H04N13/30Image reproducers
    • H04N13/366Image reproducers using viewer tracking
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N13/00Stereoscopic video systems; Multi-view video systems; Details thereof
    • H04N13/30Image reproducers
    • H04N13/398Synchronisation thereof; Control thereof
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N23/00Cameras or camera modules comprising electronic image sensors; Control thereof
    • H04N23/90Arrangement of cameras or camera modules, e.g. multiple cameras in TV studios or sports stadiums

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Signal Processing (AREA)
  • Multimedia (AREA)
  • Computer Graphics (AREA)
  • General Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

公开了一种集成电路单元及其制造方法及包括该集成电路单元的电子设备。根据实施例,集成电路单元可以包括:彼此叠置在衬底上的第一器件和第二器件,其中第一器件和第二器件各自均包括依次叠置的第一源/漏层、沟道层和第二源/漏层以及绕沟道层外周形成的栅堆叠,其中,第一器件的沟道层和第二器件的沟道层至少之一包括与Si材料相比具有增大开态电流和/或减小关态电流的半导体材料。

Description

集成电路单元及其制造方法及包括该单元的电子设备
技术领域
本公开涉及半导体领域,具体地,涉及基于竖直型器件的集成电路单元及其制造方法以及包括这种集成电路单元的电子设备。
背景技术
在水平型器件如金属氧化物半导体场效应晶体管(MOSFET)中,源极、栅极和漏极沿大致平行于衬底表面的方向布置。由于这种布置,水平型器件所占的面积不易进一步缩小或制造成本不易进一步降低。与此不同,在竖直型器件中,源极、栅极和漏极沿大致垂直于衬底表面的方向布置。因此,相对于水平型器件,竖直型器件更容易缩小或制造成本更易降低。纳米线(nanowire)竖直型环绕栅场效应晶体管(V-GAAFET,Vertical Gate-all-around Field Effect Transistor)是未来高性能器件的候选之一。
发明内容
有鉴于此,本公开的目的至少部分地在于提供一种基于堆叠竖直型器件且具有改进特性的集成电路单元及其制造方法以及包括这种集成电路单元的电子设备。
根据本公开的一个方面,提供了一种集成电路单元,包括:彼此叠置在衬底上的第一器件和第二器件,其中第一器件和第二器件各自均包括依次叠置的第一源/漏层、沟道层和第二源/漏层以及绕沟道层外周形成的栅堆叠,其中,第一器件的沟道层和第二器件的沟道层至少之一包括与Si材料相比具有增大开态电流和/或减小关态电流的半导体材料。
根据本公开的另一方面,提供了一种制造集成电路单元的方法,包括:在衬底上设置第一器件的第一源/漏层、沟道层和第二源/漏层以及第二器件的第一源/漏层、沟道层和第二源/漏层的叠层,其中第一器件的沟道层与第二器件的沟道层至少之一包括与Si材料相比具有增大开态电流和/或减小关态电流的半导体材料;分别选择性刻蚀第二器件的沟道层和第一器件的沟道层,使得第二器件的沟道层的外周相对于第二器件的第一源/漏层和第二源/漏层的外周向内凹入,第一器件的沟道层的外周相对于第一器件的第一源/漏层和第二源/漏层的外周向内凹入;以及分别绕第二器件的沟道层和第一器件的沟道层的外周形成第二器件的栅堆叠和第一器件的栅堆叠。
根据本公开的另一方面,提供了一种电子设备,包括上述集成电路单元。
根据本公开的实施例,衬底上叠置的器件中至少之一可以包括与Si材料相比具有增大开态电流和/或减小关态电流的半导体材料,于是可以优化性能。例如,对于n型器件,可以利用有利于增强电子迁移率的材料如SiGe、Ge或III-V族材料如GaAs、InGaAs、InP、AlGaAs、InAlAs、InAs、InGa、InAlGa、InSb、InGaSb或GaN之一或它们的组合等;对于p型器件,可以利用有利于增强空穴迁移率的材料如SiGe、Ge、SiGeSn、InSb、InGaSb或GeSn等。
根据本公开的实施例,沟道层可以实现为纳米线的形式,源/漏层可以分设于纳米线的上下两侧,且栅堆叠可以环绕沟道层的外周形成,从而形成纳米线竖直型环绕栅场效应晶体管(V-GAAFET)结构。可以竖直堆叠多个(不同导电类型的)纳米线V-GAAFET,以提升集成度。沟道形成于沟道层中,从而栅长由沟道层的厚度确定。沟道层例如可以通过外延生长来形成,从而其厚度可以很好地控制。因此,可以很好地控制栅长。
附图说明
通过以下参照附图对本公开实施例的描述,本公开的上述以及其他目的、特征和优点将更为清楚,在附图中:
图1(a)是示出了根据本公开实施例的集成电路单元的简化结构图;
图1(b)是示出了根据本公开实施例的集成电路单元用作反相器时的电路图;
图2至19示出了根据本公开实施例的制造集成电路单元的流程的示意图;以及
图20示出了根据本公开另一实施例的集成电路单元的截面图。
贯穿附图,相同或相似的附图标记表示相同或相似的部件。
具体实施方式
以下,将参照附图来描述本公开的实施例。但是应该理解,这些描述只是示例性的,而并非要限制本公开的范围。此外,在以下说明中,省略了对公知结构和技术的描述,以避免不必要地混淆本公开的概念。
在附图中示出了根据本公开实施例的各种结构示意图。这些图并非是按比例绘制的,其中为了清楚表达的目的,放大了某些细节,并且可能省略了某些细节。图中所示出的各种区域、层的形状以及它们之间的相对大小、位置关系仅是示例性的,实际中可能由于制造公差或技术限制而有所偏差,并且本领域技术人员根据实际所需可以另外设计具有不同形状、大小、相对位置的区域/层。
在本公开的上下文中,当将一层/元件称作位于另一层/元件“上”时,该层/元件可以直接位于该另一层/元件上,或者它们之间可以存在居中层/元件。另外,如果在一种朝向中一层/元件位于另一层/元件“上”,那么当调转朝向时,该层/元件可以位于该另一层/元件“下”。
图1(a)是示出了根据本公开实施例的集成电路单元的简化结构图。
如图1(a)所述,根据该实施例的集成电路单元100基于在竖直方向上叠置的竖直型器件。在本例中,以叠置不同导电类型的器件如pFET和nFET为例进行描述,但是本公开不限于此。例如,可以叠置相同导电类型的器件。在图1(a)的示例中,nFET叠置在pFET上。但是,本公开不限于此,例如pFET可以叠置在nFET上。在此,各竖直型器件可以包括(在衬底上)依次叠置的第一源/漏层、沟道层和第二源/漏层。各层之间可以彼此邻接,当然中间也可能存在其他半导体层,例如泄漏抑制层和开态电流增强层(带隙比相邻层大或小的半导体层)。具体地,pFET可以包括p型器件第一源/漏层103、p型器件沟道层105-1、105-2以及p型器件第二源/漏层107,nFET可以包括n型器件第一源/漏层109、n型器件沟道层111以及n型器件第二源/漏层113。在以下描述中,除非另外明确指出,否则在同时使用“沟道层”和“第一/第二源/漏层”的情况下,是指同一器件的沟道层和第一/第二源漏层。
在第一源/漏层和第二源/漏层中可以形成器件的源/漏区,且在沟道层中可以形成器件的沟道区。分处于沟道区两端的源/漏区之间可以通过沟道区形成导电通道。栅堆叠可以绕沟道层的外周形成。具体地,pFET的栅堆叠(包括栅介质层125和栅导体层127)可以环绕p型器件沟道层105-1、105-2,nFET的栅堆叠(包括栅介质层125和栅导体层131)可以环绕n型器件沟道层111。在此,沟道层可以形成为纳米线的形式,从而得到纳米线竖直型环绕栅场效应晶体管(V-GAAFET)器件。另外,在该示例中,nFET和pFET包括相同的栅介质层125,但是本公开不限于此,例如它们可以具有不同的栅介质层。
于是,栅长可以由沟道层自身的厚度来确定,而不是如常规技术中那样依赖于平坦化(如CMP)和/或时控刻蚀来确定。沟道层例如可以通过外延生长来形成,从而其厚度可以很好地控制。因此,可以很好地控制栅长。
各层可以分别生长,从而至少一对相邻的半导体层之间可以具有清晰的晶体界面)。另外,各层可以分别进行掺杂,从而至少一对相邻的半导体层之间可以具有掺杂浓度界面。另外,可以相对自由地选择各层的材料,例如针对nFET和pFET采用不同的材料或者选择新型材料如SiGe、Ge或III-V族材料等,以便改善器件性能。在此,叠置的器件中至少之一可以包括与Si材料相比具有增大开态电流和/或减小关态电流的半导体材料。特别是,不同导电类型的器件可以具有不同的沟道层材料,于是可以针对不同导电类型器件分别优化性能。例如,对于nFET,沟道层可采用有利于改进电子迁移率的半导体材料,例如SiGe、Ge或III-V族材料如GaAs、InGaAs、InP、AlGaAs、InAlAs、InAs、InGa、InAlGa、InSb、InGaSb或GaN之一或它们的组合;对于pFET,沟道层可采用有利于改进空穴迁移率的半导体材料,例如SiGe、Ge、SiGeSn、InSb、InGaSb或GeSn。
沟道层的外周可以相对于第一、第二源/漏层的外周向内凹入。这样,所形成的栅堆叠可以嵌于沟道层相对于第一、第二源/漏层的凹入中,减少或甚至避免与源/漏区的交迭,有助于降低栅与源/漏之间的寄生电容。
沟道层可以由单晶半导体材料构成,以改善器件性能。当然,第一、第二源/漏层也可以由单晶半导体材料构成。这种情况下,沟道层的单晶半导体材料与源/漏层的单晶半导体材料可以具有相同的晶体结构。
根据本公开的实施例,第一、第二源/漏层可以包括与沟道层不同的半导体材料(但可以属于相同的材料体系,例如,对于n型器件,源/漏层与沟道层可以包括不同的IV族半导体材料和不同的III-V族化合物半导体材料,例如SiGe、Ge或III-V族材料如GaAs、InGaAs、InP、AlGaAs、InAlAs、InAs、InGa、InAlGa、InSb、InGaSb或GaN;对于p型器件,源/漏层与沟道层也可以包括不同的IV族半导体材料和不同III-V族化合物半导体材料,例如SiGe、SiGeSn、InSb、InGaSb或GeSn。这样,有利于对沟道层进行处理例如选择性刻蚀,以使之相对于第一、第二源/漏层凹入。另外,第一源/漏层和第二源/漏层可以包括相同的半导体材料。
为了节省占用面积,上方的器件(在本例中,nFET)可以完全叠置于下方的器件(在本例中,pFET)的上方。也即,在俯视图中,上方的器件(特别是其有源区)的外廓不超出下方的器件(特别是其有源区)的外廓。
在本例中,考虑到nFET和pFET驱动能力的差异(电子的迁移率通常是空穴的迁移率的两倍),为提供对称的电路设计,在此可以提供两个pFET器件(图1(a)中所示的pFET1和pFET2)和一个nFET器件。两个pFET器件pFET1和pFET2通过共用相同的第一源/漏层103和第二源/漏层107而彼此并接,且它们共用相同的栅堆叠125/127。因此,两个pFET器件pFET1和pFET2相当于单个pFET器件操作(因此,在以下描述中,将pFET1和pFET2一体示出为pFET),但驱动能力为单个pFET的两倍(从而与单个nFET器件的驱动能力基本相同)。
由于需要提供两个pFET器件,故而p型器件沟道层包括彼此分离的两个部分105-1、105-2(这两个部分可以具有基本上相同的尺寸),从而提供两个沟道。这两个部分或者说沟道可以并联连接。在这种情况下,pFET有源区的面积大于nFET有源区的面积。故而在本例中,将pFET设置于下方,而nFET叠置于pFET上。这可以使得接触部不会占用额外的面积,如以下进一步详细所述。
nFET的沟道层111可以与pFET的沟道层的两个部分105-1、105-2之一(在图1(a)所示的示例中,右侧的105-2)在竖直方向上基本对准。pFET的沟道层的另一部分(在图1(a)所示的示例中,左侧的105-1)相对于nFET的沟道层111在横向上偏移。
在本例中,pFET的第二源/漏层107和nFET的第一源/漏层109彼此交迭(在该示例中,彼此物理接触),并可以电连接在一起。因此,pFET和nFET串接在一起。为了更好的电连接,nFET的第一源/漏层109可以在pFET的第二源/漏层107的基本上整个上表面上延伸。例如,nFET的第一源/漏层109的外周可以与pFET的第二源/漏层107的外周基本上重合(在俯视图中基本上重合)。
为了易于制造到nFET的第一源/漏层109(以及pFET的第二源/漏层107)的接触部137-OUT,nFET的第一源/漏层109(以及pFET的第二源/漏层107)可以相对于其上方的器件功能层(有源区中各材料层、栅堆叠等)特别是nFET的第二源/漏层113伸出。因为nFET的第二源/漏层113位于nFET的沟道层111上方(在nFET的沟道层111与pFET的沟道层105-2基本上对准的情况下,也因此位于pFET的沟道层105-2上方),而第一源/漏层109(以及pFET的第二源/漏层107)需要延伸到pFET的沟道层105-1上方,所以nFET的第一源/漏层109(以及pFET的第二源/漏层107)可以相对于nFET的第二源/漏层113在向着pFET的沟道层105-1的方向上伸出(图1(a)中向着左侧伸出)。这样,不需要额外的面积来设置这样的伸出。于是,接触部137-OUT可以处于nFET的第一源/漏层109(以及pFET的第二源/漏层107)上方,而不占用额外的面积。
类似地,为了易于制造到pFET的第一源/漏层103的接触部137-Vdd,pFET的第一源/漏层103可以相对于其上方的器件功能层特别是pFET的第二源/漏层107(以及nFET的第一源/漏层109)伸出(图1(a)中向着左侧伸出)。于是,接触部137-Vdd可以处于pFET的第一源/漏层103上方,而不占用额外的面积。
同样地,为了易于制造到栅堆叠的接触部137-IN,nFET的栅堆叠125/131可以相对于其上方的器件功能层特别是nFET的第二源/漏层113伸出(图1(a)中向着右侧伸出),类似地pFET的栅堆叠125/131可以相对于其上方的器件功能层特别是nFET的第二源/漏层113、nFET的第一源/漏层109以及pFET的第二源/漏层107伸出。为了避免与接触部137-OUT之间的相互影响,栅堆叠可以向着与nFET的第一源/漏层109以及pFET的第二源/漏层107相对于nFET的第二源/漏层113伸出的方向不同例如相反的方向伸出(图1(a)中向着右侧伸出)。于是,接触部137-IN可以位于栅堆叠上方,而不占用额外的面积。在该示例中,nFET的栅堆叠和pFET的栅堆叠电连接在一起,从而共用相同的接触部137-IN。
另外,在该集成电路单元中,由于nFET的第二源/漏层113位于有源区的最上方,所以可以在nFET的第二源/漏层113上方设置到nFET的第二源/漏层113的接触部137-GND,而不占用额外面积。
因此,在该集成电路单元100中,所有接触部137-Vdd、137-GND、137-IN和137-OUT可以与集成电路单元100中的V-GAAFET共享面积,而不占用额外面积。相比于按常规工艺制造的互补金属氧化物半导体(CMOS)或水平型环绕栅场效应晶体管(H-GAAFET),面积可以减小约30-40%。
图1(b)示出了图1(a)所示的集成电路单元用作反相器时的电路图。
如上所述,nFET和pFET串接在一起,且它们的栅极电连接在一起,可以通过接触部137-IN接收输入信号IN。pFET的源/漏极之一可以通过接触部137-Vdd接收供电电压,而nFET的源/漏极之一可以通过接触部137-GND接地。pFET和nFET彼此连接在一起的源/漏极可以通过接触部137-OUT输出输出信号OUT。该输出信号OUT是输入信号IN的反相信号。于是,在这种连接方式下,该集成电路单元构成反相器。
当然,这种nFET和pFET相串接的集成电路单元不限于用作反相器,也可以用作其他功能部件或者功能部件的组成部分。
这种半导体器件例如可以如下制造。具体地,可以在衬底上设置p型器件第一源/漏层、p型器件沟道层和p型器件第二源/漏层以及n型器件第一源/漏层、n型器件沟道层和n型器件第二源/漏层的叠层(得到nFET叠置在pFET上的结构)。当然,n型器件的各层可以设置在下侧,而p型器件的各层可以设置在上侧(得到pFET叠置在nFET上的结构,这种情况下,可以将以下描述中的p型和n型互换)。如上所述,可以通过外延生长,来依次形成各层。在外延生长时,可以控制所生长的各层特别是沟道层的厚度。另外,在外延生长时,可以对源/漏层进行原位掺杂。可选地,可以对沟道层进行原位掺杂。
对于所设置的叠层,可以在其中限定有源区。在限定有源区时,可以将叠层中的至少部分层选择性刻蚀为所需的形状。例如,可以选择性刻蚀n型器件沟道层和p型器件沟道层,使得n型器件沟道层的外周相对于n型器件第一源/漏层和n型器件第二源/漏层的外周向内凹入,p型器件沟道层的外周相对于p型器件第一源/漏层和p型器件第二源/漏层的外周向内凹入。如上所述,可以将p型器件沟道层分离为彼此间隔开的第一部分和第二部分。这些凹入可以限定容纳栅堆叠的空间。然后,可以分别绕n型器件沟道层和p型器件沟道层的外周形成n型器件栅堆叠和p型器件栅堆叠。
如上所述,为了便于接触部的制造,可以选择性刻蚀n型器件第二源/漏层,使得处于叠层最上方的n型器件第二源/漏层相对于其他源/漏层具有缩减的面积(即,其他源/漏层相对于n型器件第二源/漏层伸出),从而不妨碍到其他源/漏层的接触部的形成。n型器件沟道层可以被选择性刻蚀为相对于被选择性刻蚀后的n型器件第二源/漏层凹入。
类似地,如上所述,为了便于接触部的制造,可以选择性刻蚀n型器件第一源/漏层和p型器件第二源/漏层,使得它们相对于被选择性刻蚀后的n型器件第二源/漏层伸出,但相对于p型器件第一源/漏层具有缩减的面积。这样,一方面可以方便地制造到n型器件第一源/漏层和p型器件第二源/漏层的接触部,另一方面可以不妨碍到p型器件第一源/漏层的接触部的制造。选择性刻蚀可以包括原子层刻蚀(Atomic Layer etch)或数字化刻蚀(Digital Etch)。
另外,为了形成如上所述伸出且因此便于接触部制造的栅堆叠,可以使用替代栅技术。例如,可以在各沟道层的相应凹入中分别形成牺牲栅。之后,可以利用n型器件栅堆叠和p型器件栅堆叠分别替换牺牲栅。替代栅工艺可以结合在对有源区的限定过程中,以保留合适的栅位置。
根据本公开的实施例,由于n型器件和p型器件各自的有源区材料的不同(例如,分别为III-V族化合物半导体材料和IV族半导体材料),从而可以对n型器件和p型器件的有源区分别进行处理。
在该示例中,由于n器件叠置在p型器件之上,从而先对上方的n型器件进行处理。在对n型器件进行处理时,可以遮蔽p型器件的有源区。例如,可以选择性刻蚀n型器件沟道层从而使其相对于n型器件第二源/漏层凹入,然后可以在该凹入中形成第一牺牲栅,以保留针对n型器件的栅位置。然后,可以进一步选择性刻蚀n型器件第一源/漏层和n型器件第二源/漏层,使它们的面积缩减,从而第一牺牲栅具有相对于n类型器件第二源/漏层的伸出部分。
接着,可以对p型器件进行处理,此时可以遮蔽n型器件的有源区。例如,可以选择性刻蚀p型器件沟道层从而使其相对于p型器件第二源/漏层凹入,然后可以在该凹入中形成第二牺牲栅,以保留针对p型器件的栅位置。然后,可以可以进一步选择性刻蚀p型器件第二源/漏层,使其面积缩减,从而第二牺牲栅具有相对于p型器件第二源/漏层(以及n型器件第一源/漏层)的伸出部分。选择性刻蚀可以包括原子层刻蚀(Atomic Layer etch)或数字化刻蚀(Digital Etch)。
于是,牺牲栅相对于各自上方的有源层伸出。由于最后形成的n型器件栅堆叠和p型器件栅堆叠占据了牺牲栅所在的位置,从而也相对于各自上方的有源层伸出,并因此可以容易地制造到它们的接触部。
本公开可以各种形式呈现,以下将描述其中一些示例。
图2至19示出了根据本公开实施例的制造集成电路单元的流程的示意图。
如图2所示,提供衬底1001。该衬底1001可以是各种形式的衬底,包括但不限于体半导体材料衬底如体Si衬底、绝缘体上半导体(SOI)衬底、化合物半导体衬底如SiGe衬底等。在以下的描述中,为方便说明,以体Si衬底为例进行描述。
在该示例中,对于将要在衬底1001上形成的器件,通过半导体材料选择(例如,非硅材料)来改善其性能。为了改善之后生长的其他半导体材料层的质量,可以在衬底1001上,例如通过外延生长,形成缓冲层1101。在如下所述在衬底1001上先制造p型器件然后在p型器件上叠置n型器件的情况下,特别是针对p型器件使用IV族半导体材料的情况下,缓冲层1101可以包括Ge,厚度为例如约200nm至几个微米。
在缓冲层1101上,可以形成p型层1103和n型层1105。例如,这可以通过在缓冲层1101上外延生长Ge,并在生长同时进行原位掺杂(分别掺杂p型杂质如B或BF2以及n型杂质如P或As)来形成。由于缓冲层1101的存在,p型层1103和n型层1105可以是弛豫的。
如果在衬底1001上先制造p型器件然后在p型器件上叠置n型器件,则可以在衬底1001中,形成p型层1103在下而n型层1105在上的结构。p型层1103中杂质的浓度可以为约1E17-1E20cm-3,n型层1105中杂质的浓度可以为约1E17-2E18cm-3
如果在衬底1001上先制造n型器件然后在n型器件上叠置p型器件,则可以在衬底1001中,形成p型层1103在上而n型层1105在下的结构。这种情况下,p型层1103中杂质的浓度可以为约1E17-2E18cm-3,n型层1105中杂质的浓度可以为约1E17-1E20cm-3
这种p型层1103和n型层1105可以在后继提供电隔离。
在以下示例中,以先制造p型器件然后在p型器件上叠置n型器件为例进行描述。
在n型层1105上,可以通过例如外延生长,依次形成用于p型器件的有源材料层即p型器件第一源/漏层1003、p型器件沟道层1005和p型器件第二源/漏层1007以及用于n型器件的有源材料层即n型器件第一源/漏层1009、n型器件沟道层1011和n型器件第二源/漏层1013。在此,对于p型器件沟道层,可以选择有利于增强空穴迁移率(例如,相对于硅材料)的半导体材料如SiGe(Ge的原子百分比可以为约25-75%)、Ge、InSb、InGaSb或GeSn;对于n型器件沟道层,可以选择有利于增强电子迁移率(例如,相对于硅材料)的半导体材料如SiGe、Ge或III-V族化合物半导体材料,例如SiGe、Ge或III-V族材料GaAs、InGaAs、InP、AlGaAs、InAlAs、InAs、InGa、InAlGa、InSb、InGaSb或GaN。
p型器件源/漏层可以包括相同的半导体材料,但可以不同于沟道层的半导体材料,例如不同的IV族半导体材料和不同III-V族化合物半导体材料,例如SiGe(Ge的原子百分比可以为约25-75%)、SiGeSn、InSb、InGaSb或GeSn。n型器件源/漏层可以包括相同的半导体材料,但可以不同于沟道层的半导体材料,例如SiGe、Ge或III-V族材料GaAs、InGaAs、InP、AlGaAs、InAlAs、InAs、InGa、InAlGa、InSb、InGaSb或GaN。在一个示例中,n型器件源/漏层可以包括InP,沟道层可以包括InGaAs。在此,材料的选择使得沟道层与源/漏层之间可以具有刻蚀选择性,即,可以刻蚀一方而同时基本不影响另一方。
根据本公开的实施例,。可以将IV族半导体材料如SiGe或Ge材料制备的器件先制备在Si基衬底上,然后再将III-V族化合物半导体材料制备的器件制备在SiGe或Ge基的器件上。因为在无应变的情况下,Ge的晶格常数与Si的晶格常数失配比III-V族化合物的晶格常数与Si的晶格常数失配要小(因为在无应变的情况下,Si的晶格常数<SiGe或Ge的晶格常数<III-V族化合物的晶格常数),故在Si基上生长SiGe或Ge更容易减少缺陷和提高器件性能和产品成品率。
各沟道层和源/漏层可以具有合适的厚度,例如约20-40nm。在此,p型器件沟道层1005与n型器件沟道层1011可以具有基本上相同的厚度,以便提供为n型器件和p型器件提供基本上相同的栅长。
各源/漏层可以被掺杂为合适的导电类型。例如,p型器件源/漏层可以被掺杂为p型,掺杂浓度为约1E18-1E20cm-3;n型器件源/漏层可以被掺杂为n型,掺杂浓度为约1E18-1E20cm-3。这种掺杂例如可以通过在外延生长时利用p型杂质如B或BF2或者n型杂质如As或P进行原位掺杂来实现。
另外,可以按需对沟道层进行掺杂或者不掺杂。对于p型器件沟道层1005,可以n型轻掺杂,例如掺杂浓度为约1E17-2E18cm-3;对于n型器件沟道层1011,可以p型轻掺杂,例如掺杂浓度为约1E17-2E18cm-3
p型掺杂的p型器件第一源/漏层1003与下方的n型层1105和p型层1103构成pnp结构,以提供电隔离。
接下来,可以对有源材料层进行构图,以限定器件的有源区。如上所述,考虑到n型器件和p型器件驱动能力的差异,p型器件和n型器件的有源区面积不同。可以分别针对p型器件和n型器件进行有源区限定。
例如,如图3(a)和3(b)(图3(a)是俯视图,图3(b)是沿图3(a)中AA′线的截面图)所示,可以在图2所示的结构上形成介质层1015。该介质层1015可以在后继工艺中提供对有源材料层的保护,充当(刻蚀/平坦化处理)停止层、掩模层等。例如,介质层1015可以包括氮化物(例如,氮化硅),厚度为约50-150nm。在介质层1015上,可以形成用于对p型器件的有源材料层进行构图的掩模如光刻胶1017。通过光刻(曝光和显影)将光刻胶1017构图,使得构图后的光刻胶1017具有第一主体部分1017-1和第二主体部分1017-2。这些主体部分随后可以用来限定沟道层的位置,因此可以具有与所希望形成的沟道层的形状相对应的形状。例如,在纳米线形式的沟道层的情况下,主体部分可以为圆形(随后可以得到截面大致为圆形的沟道层)。当然,本公开不限于此,而是可以形成其他形状例如多边形。另外,第一主体部分1017-1和第二主体部分1017-2可以具有基本上相同的大小(例如,基本上相同的半径)。
在第一主体部分1017-1和第二主体部分1017-2之间,可以具有连接部分1017-c。连接部分1017-c从第一主体部分1017-1延伸到第二主体部分1017-2。连接部分1017-c的纵轴可以与第一主体部分1017-1和第二主体部分1017-2的中心连线重合。连接部分1017-c的存在可以保证p型器件第二源/漏层1007在后继构图中不会分成分离的部分,而保持一体延伸。
另外,还存在从第二主体部分1017-2的外周向外延伸的延伸部分1017-p。延伸部分1017-p随后可以用来限定栅堆叠的伸出。延伸部分1017-p的纵轴可以与连接部分1017-c的纵轴相重合,但是本公开不限于此。在此,连接部分1017-c的线宽W1大于延伸部分1017-p的线宽W2。但是,连接部分1017-c的线宽W1优选地小于主体部分1017-1、1017-2的线宽(直径),从而沟道层主要由主体部分1017-1、1017-2来限定,而不是由连接部分1017-c来限定。具体地,对于形状由该掩模限定的材料层而言,当从该材料层的外周对其进行各向同性刻蚀时,随着时间流逝,首先是与延伸部分1017-p(尺度最小)相对应的部分被去除,然后是与连接部分1017-c(尺度中等)相对应的部分被去除,最终可以得到与主体部分(尺度最大)相对应的两个分离部分。
于是,光刻胶1017可以呈葫芦状。
该葫芦状的光刻胶1017可以用来限定p型器件的有源区。例如,如图4(a)和4(b)(图4(a)是俯视图,图4(b)是沿图4(a)中AA′线的截面图)所示,可以将光刻胶1017的形状转移到下方的材料层特别是p型器件的有源材料层中。例如,可以通过利用光刻胶1017为掩模,以大致垂直于衬底表面的方向进行反应离子刻蚀(RIE),依次对介质层1015、n型器件第二源/漏层1013、n型器件沟道层1011、n型器件第一源/漏层1009、p型器件第二源/漏层1007和p型器件沟道层1005进行构图。刻蚀可以停止于p型器件第一源/漏层1003。这些被构图的层均呈与光刻胶1017相同的葫芦状,于是相应地具有第一主体部分和第二主体部分、第一主体部分与第二主体部分之间的连接部分以及从第二主体部分的外周向外延伸的延伸部分。在此,不需要对有源材料层中最下层的p型器件第一源/漏层1003进行构图,因为其形状不会影响上方器件的形成。
接下来,可以限定n型器件的有源区。为此,如图5(a)和5(b)(图5(a)是俯视图,图5(b)是沿图5(a)中AA′线的截面图)所示,可以在衬底上形成保护层1019,以保护p型器件的有源材料层。例如,保护层1019可以包括氧化物。例如,可以去除光刻胶1017,并在衬底上淀积氧化物,对淀积的氧化物进行平坦化处理如化学机械抛光(CMP),CMP可以停止于介质层1015,且随后对氧化物进行回蚀来形成保护层1019。在此,回蚀后保护层1019的顶面可以位于n型器件沟道层1011的底面附近。这样,p型器件的有源材料层1005、1007的侧面可以被遮挡,以免于受后继刻蚀的影响。另外,在该示例中,n型器件第一源/漏层1009的侧面也被遮挡(可能有少部分露出,例如由于保护层1019的顶面略低于n型器件沟道层1011的底面)。于是,在后继工艺在,n型器件第一源/漏层1009的外廓可以与p型器件第二源/漏层1007的外廓基本上对准(在俯视图中基本上重合)。
之后,可以在介质层1015上形成遮蔽层如光刻胶1017′。光刻胶1017′可以构图为覆盖介质层1015(当前已被构图为与光刻胶1017相同的葫芦状)的第二主体部分以及延伸部分(以及可选地,至少一部分连接部分,在图5(a)和5(b)所示的示例中,例如大致一半连接部分)。
介质层1015光刻胶1017′覆盖的部分可以用来限定n型器件的有源区。例如,如图6(a)和6(b)(图6(a)是俯视图,图6(b)是沿图6(a)中AA′线的截面图)所示,可以将介质层1015被光刻胶1017′覆盖部分的形状转移到下方的材料层特别是n型器件的有源材料层中。例如,可以通过利用光刻胶1017′为掩模,以大致垂直于衬底表面的方向进行RIE,依次对介质层1015、n型器件第二源/漏层1013和n型器件沟道层1011进行构图。如上所述,为了使得n型器件第一源/漏层1009与p型器件第二源/漏层1007一致、均匀地接触,在此可以将n型器件第一源/漏层1009与p型器件第二源/漏层1007相同地构图。而且,n型器件第一源/漏层1009是n型器件有源材料层中的最下层,也不会影响上方n型器件的形成。因此,刻蚀可以停止于n型器件第一源/漏层1009。这些被构图的层均呈与介质层1015被光刻胶1017′所覆盖的部分相同的形状,于是相应地具有主体部分(对应于光刻胶1017的第二部分)以及从主体部分两侧伸出的突出部分(对应于光刻胶1017的延伸部分以及部分连接部分)。
之后,可以去除光刻胶1017′,以露出各有源材料层,以便进一步对沟道层进行构图,以限定栅堆叠的空间。例如,如图7(a)和7(b)(图7(a)是俯视图,图7(b)是沿图7(a)中AA′线的截面图)所示,可以使n型器件沟道层1011的外周相对于n型器件源/漏层的外周凹入(在该示例中,沿大致平行于衬底表面的横向方向凹入)。例如,这可以通过相对于InP材料的源/漏层1009、1013,进一步选择性刻蚀InGaAs材料的沟道层1011来实现。
在此,可以采用各向同性刻蚀,例如可以采用H2O2和EDTA溶液。对于n型器件沟道层1011,其呈与光刻胶1017′相同的形状(主体为岛状,两侧有突出),刻蚀从该形状的外周基本上相等地向内侧进行。由于如上所述,岛状主体的线宽大于两侧突出的线宽,从而随着刻蚀的进行,两侧的突出将被刻蚀掉,且刻蚀大部分沿着岛状主体的外周(在该示例中,圆形)向内进行。由于各向同性刻蚀,故而刻蚀线仍然呈与岛状主体的外周大致相同的形状(在两侧稍有突出,这种突出随着刻蚀进行会越来越不明显)。最终,留下的沟道层1011可以大致以岛状主体的中心为中心,且外周形状与岛状主体的外周形状基本上相同(但尺寸缩减)。在本示例中圆形的情形下,最终的n型器件沟道层1011基本上是与岛状主体大致同心的圆形,如图7(a)中“N”所示的虚线圈所示。
所形成的沟道层N可以呈(圆)柱状,其直径为约5-50nm,或者为沟道层厚度的三分之二左右。于是,沟道层N可以形成纳米线的形式。为了很好地控制纳米线N的直径,可以使用原子层刻蚀(ALE)技术。
在此,在对n型器件沟道层1011进一步选择性刻蚀之前,可以对保护层1019进行进一步回蚀,以使其顶面下降到n型器件第一源/漏层1009的底面附近。当然,对于保护层1019的这种回蚀,也可以在如以上结合体5(a)和5(b)所述形成保护层1019时完成。
在沟道层相对于源/漏层的外周而形成的凹入中,可以填充一材料层以占据栅堆叠的空间(因此,该材料层可以称作“牺牲栅”)。例如,这可以通过在图7(a)和7(b)所示的结构上淀积氮氧化物,然后对淀积的氮氧化物进行回蚀如RIE。可以以大致垂直于衬底表面的方向进行RIE,氮氧化物可仅留在凹入内,形成牺牲栅1019,如图8所示。这种情况下,牺牲栅1019可以基本上填满上述凹入。
然后,如图9(a)和9(b)(图9(a)是俯视图,图9(b)是沿图9(a)中AA′线的截面图)所示,可以选择性刻蚀源/漏层1009、1013,以使它们的边缘向内缩进,从而牺牲栅1019相对于上方的有源材料层伸出。
在此,类似地,可以采用各向同性刻蚀。对于n型器件第一源/漏层1009,其呈与光刻胶1017相同的形状(上述葫芦状),刻蚀从该形状的外周基本上相等地向内侧进行。由于如上所述连接部分的线宽W1>延伸部分的线宽W2,因此随着刻蚀的进行,延伸部分将先被刻蚀掉。在此,控制刻蚀的时间,使得刻蚀进行至延伸部分被刻蚀掉而连接部分未被刻断(并保持一定的宽度)。另外,岛状(在该示例中,圆形)的第一主体部分和第二主体部分外周分别内缩。于是,刻蚀后n型器件第一源/漏层1009可以呈哑铃状。另外,n型器件第一源/漏层1009的上表面的一部分由于外露而受到刻蚀,从而可能有一定的降低。
对于n型器件第二源/漏层1013,其呈与光刻胶1017′相同的形状(主体为岛状,两侧有突出),刻蚀从该形状的外周基本上相等地向内侧进行。类似地,刻蚀后n型器件第二源/漏层1013可能呈岛状(在与连接部分相对应的位置处,可能有一定突出)。
这样,就限定了n型器件的有源区(并保持了其栅堆叠的位置)。然后,可以进一步限定p型器件的有源区。
为此,可以遮蔽n型器件的有源区,并露出p型器件的有源区。例如,如图10所示,可以在图9所示的结构上淀积氧化物1107,并对其进行平坦化如CMP。然后,可以利用光刻胶1109,对淀积的氧化物1107进行选择性刻蚀如RIE。光刻胶1109可以构图为覆盖n型器件的有源区,但露出p型器件的至少部分有源区。于是,氧化物1107可以遮蔽n型器件的有源区,并露出露出p型器件的至少部分有源区。之后,可以去除光刻胶1109。
然后,如图11所示,可以使p型器件沟道层1005的外周相对于p型器件源/漏层的外周凹入(在该示例中,沿大致平行于衬底表面的横向方向凹入)。例如,这可以通过相对于SiGe材料的源/漏层1003、1007,进一步选择性刻蚀Ge材料的沟道层1005来实现。
在此,可以采用各向同性刻蚀。对于p型器件沟道层1005中,其呈与光刻胶1017相同的形状(葫芦状)。由于如上所述,岛状的第一主体部分和第二主体部分的线宽大于连接部分和延伸部分的线宽,从而随着刻蚀的进行,连接部分和延伸部分将被刻蚀掉,且刻蚀大部分沿着第一主体部分和第二主体部分的外周(在该示例中,圆形)向内进行。最终,留下的沟道层1005可以分别大致以第一主体部分和第二主体部分的中心为中心,且外周形状与第一主体部分和第二主体部分的外周形状基本上相同(但尺寸缩减)。在本示例中圆形的情形下,最终的p型器件沟道层1005被分离为两部分P1和P2,基本上是分别与第一主体部分和第二主体部分大致同心的圆形。
所形成的沟道层P1和P2可以呈(圆)柱状,其直径为约5-50nm,或者为沟道层厚度的三分之二左右。于是,沟道层P1和P2可以形成纳米线的形式。为了很好地控制纳米线P1和P2的直径,可以使用原子层刻蚀(ALE)技术。
另外,由于光刻胶1017′与光刻胶1017在位置上基本重叠,故而N和P2可以在竖直方向上基本上对准。
类似地,可以在沟道层相对于源/漏层的外周而形成的凹入中形成牺牲栅以占据栅堆叠的空间。例如,这可以通过在图10所示的结构上淀积氮氧化物,然后对淀积的氮氧化物进行回蚀如RIE。可以以大致垂直于衬底表面的方向进行RIE,氮氧化物可仅留在凹入内,形成牺牲栅1019′,如图12所示。这种情况下,牺牲栅1019′可以基本上填满上述凹入。
然后,如图13所示,可以选择性刻蚀源/漏层1007,以使其边缘向内缩进,从而牺牲栅1019′相对于上方的有源材料层伸出。
在此,类似地,可以采用各向同性刻蚀。对于p型器件第二源/漏层1007,其呈与光刻胶1017相同的形状(上述葫芦状),刻蚀从该形状的外周基本上相等地向内侧进行。由于如上所述连接部分的线宽W1>延伸部分的线宽W2,因此随着刻蚀的进行,延伸部分将先被刻蚀掉。在此,控制刻蚀的时间,使得刻蚀进行至延伸部分被刻蚀掉而连接部分未被刻断(并保持一定的宽度)。另外,岛状(在该示例中,圆形)的第一主体部分和第二主体部分外周分别内缩。于是,刻蚀后p型器件第二源/漏层1007可以呈哑铃状。由于p型器件第二源/漏层1007和n型器件第一源/漏层1009在刻蚀之前具有由相同掩模1017限定的相同形状(葫芦状),因此它们最终可以呈现基本上相同的形状(均是从葫芦状的外周开始各向同性刻蚀一段时间后得到的形状,具体地,上述哑铃状)。如果它们的刻蚀程度基本上相同,则p型器件第二源/漏层1007和n型器件第一源/漏层1009可以彼此对准叠置,它们的外周可以彼此重合(在俯视图中重合)。
另外,p型器件第一源/漏层1003的上表面的一部分由于外露而受到刻蚀,从而可能有一定的降低。
这样,就限定了p型器件的有源区(并保持了其栅堆叠的位置)。
在此,为了进一步改善性能,可以进行热退火工艺,以使源/漏层中的杂质进入沟道层(例如,p型器件第一源/漏层1003中的p型杂质可以进入p型器件沟道层P1和P2的下端,p型器件第二源/漏层1007中的p型杂质可以进入p型器件沟道层P1和P2的上端;n型器件第一源/漏层1009中的n型杂质可以进入n型器件沟道层N的下端,n型器件第二源/漏层1013中的n型杂质可以进入n型器件沟道层N的上端)中,从而在沟道层的上下两端处形成一定的掺杂分布。这种掺杂分布可以降低器件导通时源漏区之间的电阻,从而提升器件性能。
另外,还可以在源/漏层的表面进行硅化处理,以降低接触电阻。例如,可以在图13所示的结构(可以去除遮蔽层1107)上淀积一层NiPt(Pt的含量为约1-10%),然后在约200-600℃的温度下进行退火,使得NiPt与SiGe发生反应从而生成硅化物(在此,SiNiPt)层。之后,可以去除未反应的剩余NiPt。
由于源/漏层1003、1007、1009、1013外周表面的一部分转化成硅化物,因此它们的外周可以向内缩进,从而沟道层的外周相对于相应源/漏层的外周不一定凹入,而是可以凸出。但是由于牺牲栅已经保持了栅的位置,所以尽管沟道层的外周可能相对于相应源/漏层的外周凸出,但不会影响栅堆叠的形成。
接下来,可以进行将牺牲栅替换为真正栅堆叠的替代栅工艺。
为此,可以先将各层之间当前存在的间隙填满。例如,如图14所示,可以在衬底上淀积一层氧化物1023,并对氧化物进行回蚀(例如,通过沿垂直于衬底表面的方向进行RIE),从而将氧化物填入各间隙中。在回蚀之前,可以对淀积的氧化物进行平坦化处理如CMP。
如图14所示,尽管填充了氧化物1023,但是由于牺牲栅1019和1019′的伸出结构,其侧壁仍然暴露于外,从而便于对其进行替换操作。
如图15所示,可以通过选择性刻蚀,去除牺牲栅1019和1019′,然后可以在由于牺牲栅1019和1019′的去除而留下的空间中形成栅堆叠。具体地,可以依次淀积栅介质层1025和栅导体层1027,然后可以通过选择性刻蚀(例如,通过沿垂直于衬底表面的方向进行RIE),去除栅介质层1025和栅导体层1027位于由于牺牲栅1019和1019′的去除而留下的空间之外的部分。在此,栅介质层1025可以包括高K栅介质如HfO2,栅导体层1027可以包括金属栅导体。在栅介质层1025和栅导体层1027之间还可以设置功函数调节层。
另外,可以针对n型器件和p型器件设置不同的栅堆叠。为此,如图16所示,可以形成介质层1029来保护针对p型器件形成的栅堆叠125/127。例如,可以在图15所示的结构上淀积氧化物,可以对淀积的氧化物进行平坦化处理如CMP,之后进行回蚀(例如,通过沿垂直于衬底表面的方向进行RIE),来形成介质层1029。在该示例中,由于介质层1029与氧化物1023相同材质,故而在图16中将它们统一示出为1029。介质层1029以露出n型器件沟道层N外周形成的栅堆叠但并不露出p型器件沟道层P1和P2外周形成的栅堆叠为宜,例如,回蚀后的介质层1029的上表面可以留于n型器件第一源/漏层1009的上表面和下表面之间。
之后,如图17所示,可以通过选择性刻蚀,去除栅导体层1027(以及功函数调节层,如果存在的话),并形成针对n型器件的(功函数调节层和)栅导体层1031。在该示例中,并未替换栅介质层1025,当然也可以对其进行替换。
这样,栅堆叠可以嵌入到凹入中,从而与各沟道层的整个高度相交迭。
然后,可以形成电接触部。
例如,如图18所示,可以通过选择性刻蚀(例如,通过沿垂直于衬底表面的方向进行RIE),至少部分地去除介质层1029,然后在所得到的结构上淀积衬层1033。衬层1033可以包括氮化物,并可以作为刻蚀停止层和器件保护层。然后,可以形成层间电介质层1035。例如,可以淀积氧化物并对其进行平坦化如CMP来形成层间电介质层1035。然后,如图19所示,在层间电介质层1035中,可以形成到n型器件第二源/漏层1013的接触部1037-GND、到n型器件第一源/漏层1009(以及p型器件第二源/漏层1007)的接触部1037-OUT、到p型器件第一源/漏层1003的接触部1037-Vdd以及到栅导体层1021和1031的接触部1037-IN。这些接触部可以通过在层间电介质层1035以及相关材料层中刻蚀孔洞,并在其中填充导电材料如金属来形成。
如上所述,各接触部均位于器件的占用面积上方,从而不占用额外面积。
在刻蚀接触孔时,由于各接触孔的深度不同,难以控制刻蚀停止。由于衬层1033的存在,在对层间电介质层1035进行刻蚀时,可以衬层1033为刻蚀停止层,从而可以相对容易地控制刻蚀深度。
图20示出了根据本公开另一实施例的集成电路单元的截面图。如图20所示,根据本实施例的集成电路单元与上述实施例中的集成电路单元一样,除了还包括到衬底的接触部1037-SUB之外。
根据本公开实施例的半导体器件可以应用于各种电子设备。例如,通过集成一个多个这样的集成电路单元以及其他器件(例如,FET等),可以形成集成电路(IC),并由此构建电子设备。因此,本公开还提供了一种包括上述集成电路单元的电子设备。电子设备还可以包括与集成电路单元配合的显示屏幕以及与集成电路单元配合的无线收发器等部件。这种电子设备例如智能电话、计算机、平板电脑(PC)、人工智能、可穿戴设备、移动电源等。
根据本公开的实施例,还提供了一种芯片系统(SoC)的制造方法。该方法可以包括上述制造集成电路单元的方法。具体地,可以在芯片上集成多种器件,其中至少一些是根据本公开的方法制造的。
在以上的描述中,对于各层的构图、刻蚀等技术细节并没有做出详细的说明。但是本领域技术人员应当理解,可以通过各种技术手段,来形成所需形状的层、区域等。另外,为了形成同一结构,本领域技术人员还可以设计出与以上描述的方法并不完全相同的方法。另外,尽管在以上分别描述了各实施例,但是这并不意味着各个实施例中的措施不能有利地结合使用。
以上对本公开的实施例进行了描述。但是,这些实施例仅仅是为了说明的目的,而并非为了限制本公开的范围。本公开的范围由所附权利要求及其等价物限定。不脱离本公开的范围,本领域技术人员可以做出多种替代和修改,这些替代和修改都应落在本公开的范围之内。

Claims (34)

1.一种集成电路单元,包括:
彼此叠置在衬底上的第一器件和第二器件,其中第一器件和第二器件各自均包括依次叠置的第一源/漏层、沟道层和第二源/漏层以及绕沟道层外周形成的栅堆叠,
其中,第一器件的沟道层和第二器件的沟道层至少之一包括与Si材料相比具有增大开态电流和/或减小关态电流的半导体材料。
2.根据权利要求1所述的集成电路单元,其中,第一器件和第二器件具有不同导电类型。
3.根据权利要求1所述的集成电路单元,其中,
第一器件的第一源/漏层和第二源/漏层包括与第一器件的沟道层不同的半导体材料;和/或
第二器件的第一源/漏层和第二源/漏层包括与第二器件的沟道层不同的半导体材料。
4.根据权利要求1所述的集成电路单元,其中,第一器件的沟道层和第二器件的沟道层包括彼此不同的半导体材料。
5.根据权利要求1所述的集成电路单元,其中,
第一器件和第二器件具有不同导电类型,且第二器件叠置于第一器件上;
在无应变的情况下,衬底材料的晶格常数小于第一器件的沟道层的半导体材料的晶格常数;
在无应变的情况下,第一器件的沟道层的半导体材料的晶格常数小于第二器件的沟道层的半导体材料的晶格常数。
6.根据权利要求1所述的集成电路单元,其中,
第一器件具有两个沟道层而第二器件具有单个沟道层,且第一器件的两个沟道层并联连接。
7.根据权利要求6所述的集成电路单元,其中,第一器件的两个沟道层实质上共面。
8.根据权利要求1至7中任一项所述的集成电路单元,其中,第一器件的沟道层和第二器件的沟道层均包括单晶半导体材料。
9.根据权利要求8所述的集成电路单元,其中,第一器件的第一源/漏层和第二源/漏层以及第二器件的第一源/漏层和第二源/漏层均包括单晶半导体材料。
10.根据权利要求9所述的集成电路单元,其中,沟道层的单晶半导体材料与源/漏层的单晶半导体材料具有相同的晶体结构。
11.根据权利要求1所述的集成电路单元,其中,
第一器件是p型器件,其沟道层包括SiGe、Ge、SiGeSn、InSb、InGaSb或GeSn;
第二器件是n型器件,其沟道层包括SiGe、Ge或III-V族化合物半导体。
12.根据权利要求11所述的集成电路单元,其中,III-V族化合物半导体包括GaAs、InGaAs、InP、AlGaAs、InAlAs、InAs、InGa、InAlGa、InSb、InGaSb或GaN之一或它们的组合。
13.根据权利要求11或12所述的集成电路单元,其中,
第一器件的第一源/漏层和第二源/漏层包括SiGe SiGeSn、InSb、InGaSb或GeSn,沟道层包括SiGe、Ge、SiGeSn、InSb、InGaSb或GeSn;
第二器件的第一源/漏层和第二源/漏层包括GaAs、InGaAs、InP、AlGaAs、InAlAs、InAs、InGa、InAlGa、InSb、InGaSb或GaN,沟道层包括SiGe、Ge、GaAs、InGaAs、InP、AlGaAs、InAlAs、InAs、InGa、InAlGa、InSb、InGaSb或GaN。
14.根据权利要求1所述的集成电路单元,其中,第二器件叠置在第一器件上,且第一器件的第二源/漏层与第二器件的第一源/漏层物理接触。
15.根据权利要求14所述的集成电路单元,其中,第二器件的第一源/漏层的外周与第一器件的第二源/漏层的外周基本上重合。
16.根据权利要求14或15所述的集成电路单元,其中,第一器件的沟道层包括彼此分离的第一部分和第二部分,第一器件的第一源/漏层和第二源/漏层分别一体延伸且与沟道层的第一部分和第二部分二者交迭。
17.根据权利要求16所述的集成电路单元,其中,第二器件的沟道层与第一器件的沟道层的第一部分和第二部分之一在竖直方向上基本上对准。
18.根据权利要求17所述的集成电路单元,其中,
第一器件的第二源/漏层、第二器件的第一源/漏层相对于第二器件的第二源/漏层向着第一器件的沟道层的第一部分和第二部分中另一个的上方伸出,
第一器件的栅堆叠和第二器件的栅堆叠相对于第二器件的第二源/漏层向着与第一器件的第二源/漏层、第二器件的第一源/漏层的伸出方向相反的方向伸出。
19.根据权利要求16所述的集成电路单元,其中,
第二器件的第一源/漏层、第一器件的第二源/漏层各自包括位于第一器件的沟道层的第一部分上方的第一主体部分、位于第一器件的沟道层的第二部分上方的第二主体部分以及第一主体部分和第二主体部分之间的连接部分,
其中,第二器件的第一源/漏层、第一器件的第二源/漏层各自的第一主体部分的外周相对于第一器件的沟道层的第一部分的外周基本上平行地延伸,第二器件的第一源/漏层、第一器件的第二源/漏层各自的第二主体部分的外周相对于第一器件的沟道层的第二部分的外周基本上平行地延伸。
20.根据权利要求1所述的集成电路单元,其中,
第一器件的沟道层的外周相对于第一器件的第一源/漏层和第二源/漏层的外周向内凹入,第一器件的栅堆叠嵌入于该凹入中,自对准于沟道层;
第二器件的沟道层的外周相对于第二器件的第一源/漏层和第二源/漏层的外周向内凹入,第二器件的栅堆叠嵌入于该凹入中,自对准于沟道层。
21.根据前述权利要求中任一项所述的集成电路单元,其中,在各源/漏层和沟道层中至少一对相邻的层之间,存在晶体界面。
22.一种制造集成电路单元的方法,包括:
在衬底上设置第一器件的第一源/漏层、沟道层和第二源/漏层以及第二器件的第一源/漏层、沟道层和第二源/漏层的叠层,其中第一器件的沟道层和第二器件的沟道层至少之一包括与Si材料相比具有增大开态电流和/或减小关态电流的半导体材料;
分别选择性刻蚀第二器件的沟道层和第一器件的沟道层,使得第二器件的沟道层的外周相对于第二器件的第一源/漏层和第二源/漏层的外周向内凹入,第一器件的沟道层的外周相对于第一器件的第一源/漏层和第二源/漏层的外周向内凹入;以及
分别绕第二器件的沟道层和第一器件的沟道层的外周形成第二器件的栅堆叠和第一器件的栅堆叠。
23.根据权利要求22所述的方法,其中,通过外延生长,来设置所述叠层。
24.根据权利要求22所述的方法,其中,在选择性刻蚀第一器件的沟道层时,将该沟道层分离为彼此间隔开的第一部分和第二部分。
25.根据权利要求24所述的方法,其中,选择性刻蚀第二器件的沟道层包括:
选择性刻蚀第二器件的第二源/漏层,使得第二器件的第二源/漏层相对于其他源/漏层具有缩减的面积;以及
选择性刻蚀第二器件的沟道层,使得第二器件的沟道层相对于被选择性刻蚀后的第二器件的第二源/漏层凹入。
26.根据权利要求25所述的方法,其中,形成第二器件的栅堆叠和第一器件的栅堆叠包括:
在第二器件的沟道层相对于第二器件的第二源/漏层的凹入中形成第一牺牲栅;
选择性刻蚀第二器件的第一源/漏层和第二源/漏层,使它们的面积缩减,从而第一牺牲栅具有相对于第二器件的第二源/漏层的伸出部分;
在第一器件的沟道层相对于第一器件的第二源/漏层的凹入中形成第二牺牲栅;
选择性刻蚀第一器件的第二源/漏层,从而第二牺牲栅具有相对于第二器件的第一源/漏层和第一器件的第二源/漏层的伸出部分;以及
利用第二器件的栅堆叠和第一器件的栅堆叠分别替换第一牺牲栅和第二牺牲栅。
27.根据权利要求22至26中任一项所述的方法,其中,所述选择性刻蚀包括原子层刻蚀或者数字化刻蚀。
28.根据权利要求26所述的方法,其中,被选择性刻蚀后的第二器件的第一源/漏层和第一器件的第二源/漏层具有基本上重合的外周。
29.根据权利要求26所述的方法,其中,被选择性刻蚀后的第二器件的第一源/漏层和第一器件的第二源/漏层相对于被选择性刻蚀后的第二器件的第二源/漏层伸出,但相对于第一器件的第一源/漏层具有缩减的面积。
30.根据权利要求22所述的方法,其中,选择性刻蚀第二器件的沟道层和第一器件的沟道层包括:
在所述叠层上设置掩模,掩模包括第一主体部分和第二主体部分以及第一主体部分和第二主体部件之间的连接部分,并且还包括从第一主体部分的外周向外延伸的延伸部分,其中延伸部分的线宽小于连接部分的线宽;
利用所述掩模,依次选择性刻蚀第二器件的第二源/漏层、沟道层和第一源/漏层以及第一器件的第二源/漏层和沟道层;
在所述叠层上设置遮蔽层,所述遮蔽层遮蔽所述叠层与第一掩模的第一主体部分以及与延伸部分相对应的部分;
在存在遮蔽层的情况下,依次选择性刻蚀第二器件的第二源/漏层和沟道层;
去除遮蔽层;
选择性刻蚀第二器件的沟道层,使得第二器件的沟道层的外周相对于掩模的第一主体部分的外周凹入;
选择性刻蚀第一器件的沟道层,使得第一器件的沟道层分为分别相对于掩模的第一主体部分和第二主体部分的外周凹入且彼此分离的第一部分和第二部分。
31.根据权利要求30所述的方法,其中,
在选择性刻蚀第二器件的沟道层之后且在选择性刻蚀第一器件的沟道层之前,该方法还包括:绕第二器件的沟道层的外周形成第一牺牲栅;以及进一步选择性刻蚀第二器件的第一源/漏层和第二源/漏层,使得第二器件的第二源/漏层的外周相对于掩模的第一主体部分的外周凹入,而不存在与延伸部分相对应的部分,第二器件的第一源/漏层包括外周分别相对于掩模的第一主体部分和第二主体部分的外周凹入的第一部分和第二部分以及第一部分和第二部分之间的连接部分;
在选择性刻蚀第二器件的沟道层之后,该方法还包括:绕第一器件的沟道层的外周形成第二牺牲栅;以及进一步选择性刻蚀第一器件的第二源/漏层,使得第一器件的第二源/漏层包括外周分别相对于掩模的第一主体部分和第二主体部分的外周凹入的第一部分和第二部分以及第一部分和第二部分之间的连接部分,
形成栅堆叠包括:分别利用第二器件的栅堆叠和第一器件的栅堆叠分别替换第一牺牲栅和第二牺牲栅。
32.一种电子设备,包括由如权利要求1至21中任一项所述的集成电路单元。
33.根据权利要求32所述的电子设备,还包括:与所述集成电路单元配合的显示器以及与所述集成电路单元配合的无线收发器。
34.根据权利要求32所述的电子设备,该电子设备包括智能电话、计算机、平板电脑、人工智能、可穿戴设备或移动电源。
CN201710530950.9A 2016-09-30 2017-06-30 集成电路单元及其制造方法及包括该单元的电子设备 Active CN107887386B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN2016108725412 2016-09-30
CN201610872541.2A CN106298778A (zh) 2016-09-30 2016-09-30 半导体器件及其制造方法及包括该器件的电子设备

Publications (2)

Publication Number Publication Date
CN107887386A true CN107887386A (zh) 2018-04-06
CN107887386B CN107887386B (zh) 2020-10-27

Family

ID=57716779

Family Applications (14)

Application Number Title Priority Date Filing Date
CN201610872541.2A Pending CN106298778A (zh) 2016-09-30 2016-09-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530194.XA Active CN107887440B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530684.XA Active CN107887274B (zh) 2016-09-30 2017-06-30 利用应力记忆技术的半导体器件及其制造方法及电子设备
CN201710531811.8A Active CN107887444B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530250.XA Active CN107887441B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN202011005535.XA Active CN112018111B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710531762.8A Active CN107887443B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530950.9A Active CN107887386B (zh) 2016-09-30 2017-06-30 集成电路单元及其制造方法及包括该单元的电子设备
CN201710531812.2A Active CN107887445B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530751.8A Active CN107887385B (zh) 2016-09-30 2017-06-30 集成电路单元及其制造方法及包括该单元的电子设备
CN202010840234.2A Active CN111755443B (zh) 2016-09-30 2017-06-30 集成电路单元及包括该集成电路单元的电子设备
CN201710530298.0A Active CN107887442B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530685.4A Active CN107887384B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530297.6A Active CN107887387B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备

Family Applications Before (7)

Application Number Title Priority Date Filing Date
CN201610872541.2A Pending CN106298778A (zh) 2016-09-30 2016-09-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530194.XA Active CN107887440B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530684.XA Active CN107887274B (zh) 2016-09-30 2017-06-30 利用应力记忆技术的半导体器件及其制造方法及电子设备
CN201710531811.8A Active CN107887444B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530250.XA Active CN107887441B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN202011005535.XA Active CN112018111B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710531762.8A Active CN107887443B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备

Family Applications After (6)

Application Number Title Priority Date Filing Date
CN201710531812.2A Active CN107887445B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530751.8A Active CN107887385B (zh) 2016-09-30 2017-06-30 集成电路单元及其制造方法及包括该单元的电子设备
CN202010840234.2A Active CN111755443B (zh) 2016-09-30 2017-06-30 集成电路单元及包括该集成电路单元的电子设备
CN201710530298.0A Active CN107887442B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530685.4A Active CN107887384B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备
CN201710530297.6A Active CN107887387B (zh) 2016-09-30 2017-06-30 半导体器件及其制造方法及包括该器件的电子设备

Country Status (2)

Country Link
US (9) US20200027950A1 (zh)
CN (14) CN106298778A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109300874A (zh) * 2018-10-08 2019-02-01 中国科学院微电子研究所 并联结构及其制造方法及包括该并联结构的电子设备
CN109841675A (zh) * 2019-04-04 2019-06-04 中国科学院微电子研究所 垂直纳米线晶体管及其形成方法
CN113113356A (zh) * 2020-01-10 2021-07-13 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
CN113257815A (zh) * 2021-04-29 2021-08-13 中国科学院微电子研究所 竖直相邻器件之间带隔离部的半导体装置及电子设备

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11081484B2 (en) 2016-09-30 2021-08-03 Institute of Microelectronics, Chinese Academy of Sciences IC unit and method of manufacturing the same, and electronic device including the same
WO2018059109A1 (zh) * 2016-09-30 2018-04-05 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US10833193B2 (en) 2016-09-30 2020-11-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device, method of manufacturing the same and electronic device including the device
WO2018059108A1 (zh) * 2016-09-30 2018-04-05 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN106298778A (zh) 2016-09-30 2017-01-04 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
WO2018059107A1 (zh) * 2016-09-30 2018-04-05 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US10403751B2 (en) * 2017-01-13 2019-09-03 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
CN108695382B (zh) 2017-04-07 2021-07-06 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
EP3454378A1 (en) * 2017-09-08 2019-03-13 IMEC vzw A method for forming a vertical channel device, and a vertical channel device
US10283621B2 (en) * 2017-09-20 2019-05-07 Globalfoundries Inc. Method of forming vertical field effect transistors with self-aligned gates and gate extensions and the resulting structure
US10297507B2 (en) * 2017-10-17 2019-05-21 International Business Machines Corporation Self-aligned vertical field-effect transistor with epitaxially grown bottom and top source drain regions
US10304832B1 (en) 2017-11-16 2019-05-28 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors and method
US10090193B1 (en) 2017-11-16 2018-10-02 Globalfoundries Inc. Integrated circuit structure incorporating a stacked pair of field effect transistors and a buried interconnect and method
US10192819B1 (en) * 2017-11-16 2019-01-29 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors
CN110098250B (zh) * 2018-01-31 2022-07-05 中国科学院微电子研究所 带体区的竖直型器件及其制造方法及相应电子设备
US11335793B2 (en) * 2018-02-28 2022-05-17 Intel Corporation Vertical tunneling field-effect transistors
US11195764B2 (en) * 2018-04-04 2021-12-07 International Business Machines Corporation Vertical transport field-effect transistors having germanium channel surfaces
US10777658B2 (en) * 2018-04-17 2020-09-15 International Business Machines Corporation Method and structure of fabricating I-shaped silicon vertical field-effect transistors
CN110416047B (zh) * 2018-04-27 2021-03-02 北京北方华创微电子装备有限公司 射频阻抗匹配的方法及装置、半导体处理设备
US10636878B2 (en) * 2018-05-18 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Steep sloped vertical tunnel field-effect transistor
US10535754B2 (en) * 2018-06-05 2020-01-14 International Business Machines Corporation Method and structure for forming a vertical field-effect transistor
US10483166B1 (en) * 2018-06-26 2019-11-19 International Business Machines Corporation Vertically stacked transistors
US10388569B1 (en) 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
US11688775B2 (en) 2018-08-13 2023-06-27 International Business Machines Corporation Method of forming first and second contacts self-aligned top source/drain region of a vertical field-effect transistor
US11114381B2 (en) * 2018-09-05 2021-09-07 Tokyo Electron Limited Power distribution network for 3D logic and memory
WO2020051144A1 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Architecture design and processes for manufacturing monolithically integrated 3d cmos logic and memory
US11245011B2 (en) * 2018-09-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical tunnel field-effect transistor with U-shaped gate and band aligner
US11355644B2 (en) * 2018-09-25 2022-06-07 International Business Machines Corporation Vertical field effect transistors with self aligned contacts
CN110970369B (zh) * 2018-09-30 2022-08-02 中芯国际集成电路制造(上海)有限公司 Cmos反相器结构及其形成方法
CN109449206B (zh) * 2018-10-08 2022-04-19 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109411538B (zh) * 2018-10-08 2020-09-11 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109360824B (zh) * 2018-10-08 2021-08-06 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109326650B (zh) * 2018-10-10 2022-04-19 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109473429B (zh) * 2018-10-26 2021-08-03 中国科学院微电子研究所 半导体器件及其制造方法及包括其的电子设备
CN114068533A (zh) * 2018-10-26 2022-02-18 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109449121B (zh) * 2018-10-26 2022-04-19 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US10741666B2 (en) * 2018-11-19 2020-08-11 Vanguard International Semiconductor Corporation High electron mobility transistor and method for forming the same
CN109768087B (zh) * 2018-12-20 2021-04-27 中国科学院微电子研究所 半导体器件、其制造方法、集成电路及电子设备
CN109817721B (zh) * 2019-02-03 2022-04-05 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN109801960B (zh) * 2019-02-03 2022-04-01 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
CN110085674B (zh) * 2019-03-13 2021-02-26 西安电子科技大学 一种垂直功率器件及其制作方法
US10896912B2 (en) * 2019-03-20 2021-01-19 International Business Machines Corporation Stacked vertical transistor erasable programmable read-only memory and programmable inverter devices
US11799035B2 (en) * 2019-04-12 2023-10-24 The Research Foundation For The State University Of New York Gate all-around field effect transistors including quantum-based features
CN110120424B (zh) * 2019-05-08 2022-03-22 中国科学院微电子研究所 半导体器件、其制造方法、集成电路及电子设备
CN110379808A (zh) * 2019-07-17 2019-10-25 上海华力集成电路制造有限公司 Cmos反相器
US11195832B2 (en) * 2019-10-03 2021-12-07 Tokyo Electron Limited High performance nanosheet fabrication method with enhanced high mobility channel elements
CN110911478B (zh) * 2019-10-22 2021-01-05 清华大学 一种具有亚1nm栅长的二维薄膜场效应晶体管
CN111063728B (zh) * 2019-12-06 2023-09-22 中国科学院微电子研究所 C形有源区半导体器件及其制造方法及包括其的电子设备
CN111106165B (zh) * 2019-12-06 2023-11-07 中国科学院微电子研究所 U形沟道半导体器件及其制造方法及包括其的电子设备
CN111063683B (zh) * 2019-12-06 2022-08-30 中国科学院微电子研究所 具有u形沟道的半导体装置及包括其的电子设备
CN111063684B (zh) 2019-12-06 2023-04-11 中国科学院微电子研究所 具有c形有源区的半导体装置及包括其的电子设备
CN110993681B (zh) * 2019-12-06 2023-12-12 中国科学院微电子研究所 C形有源区半导体器件及其制造方法及包括其的电子设备
CN113113310A (zh) * 2020-01-13 2021-07-13 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
CN111384156B (zh) * 2020-01-21 2021-08-03 中国科学院微电子研究所 C形沟道部半导体器件及其制造方法及包括其的电子设备
CN111261700A (zh) 2020-01-21 2020-06-09 中国科学院微电子研究所 C形沟道部半导体器件及其制造方法及包括其的电子设备
CN111244161B (zh) * 2020-01-21 2023-08-11 中国科学院微电子研究所 C形沟道部半导体装置及包括其的电子设备
US10971505B1 (en) 2020-02-10 2021-04-06 Taiwan Semiconductor Manufacturing Company Limited Memory devices and methods of manufacturing thereof
CN111463288A (zh) * 2020-04-17 2020-07-28 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
WO2021222247A1 (en) * 2020-05-01 2021-11-04 Tokyo Electron Limited Method of expanding 3d device architectural designs for enhanced performance
CN111599760B (zh) * 2020-06-03 2023-05-23 中国科学院微电子研究所 一种半导体器件及其制造方法
CN111599757B (zh) * 2020-06-03 2023-05-23 中国科学院微电子研究所 一种半导体器件及其制造方法
US11456218B2 (en) * 2020-06-03 2022-09-27 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
CN111668294B (zh) * 2020-06-12 2024-05-14 中国科学院微电子研究所 带导电层的竖直型半导体器件及其制造方法及电子设备
CN111834286B (zh) * 2020-07-24 2021-11-30 广东省大湾区集成电路与系统应用研究院 半导体绝缘衬底、晶体管及其制备方法
CN112397581B (zh) * 2020-11-18 2022-06-10 光华临港工程应用技术研发(上海)有限公司 隧道场效应晶体管及其制作方法
CN112687700B (zh) * 2020-12-24 2024-04-23 长江存储科技有限责任公司 三维存储器及其制备方法
CN116864481A (zh) 2021-02-09 2023-10-10 中国科学院微电子研究所 侧壁互连结构中带散热管道的半导体装置及其制造方法及电子设备
CN117295339A (zh) * 2021-03-08 2023-12-26 中国科学院微电子研究所 Nor型存储器件及其制造方法及包括存储器件的电子设备
CN113380797B (zh) * 2021-06-02 2022-07-29 中国科学院微电子研究所 半导体装置及其制造方法及包括其的电子设备
US11942374B2 (en) 2021-06-17 2024-03-26 International Business Machines Corporation Nanosheet field effect transistor with a source drain epitaxy replacement
US11908937B2 (en) 2021-07-15 2024-02-20 International Business Machines Corporation Vertical transport field-effect transistor with ring-shaped wrap-around contact
CN117296464A (zh) * 2021-07-21 2023-12-26 华为技术有限公司 一种包含垂直晶体管的芯片及其制备方法、终端
CN115732325A (zh) * 2021-08-30 2023-03-03 长鑫存储技术有限公司 半导体结构及其形成方法
CN114203803A (zh) * 2021-12-10 2022-03-18 北京超弦存储器研究院 垂直mosfet器件及其制备方法
US20240047456A1 (en) * 2022-08-05 2024-02-08 Samsung Electronics Co., Ltd. 3dsfet standard cell architecture with source-drain junction isolation
US20240047539A1 (en) * 2022-08-05 2024-02-08 Samsung Electronics Co., Ltd. 3d stacked field-effect transistor device with pn junction structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5554870A (en) * 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US20020076884A1 (en) * 2000-12-14 2002-06-20 Rolf Weis Self-aligned nitride pattern for improved process window
CN1906769A (zh) * 2004-01-22 2007-01-31 国际商业机器公司 垂直鳍片场效应晶体管mos器件
CN101399207A (zh) * 2007-09-24 2009-04-01 国际商业机器公司 垂直纳米线fet器件的制造方法以及由该方法制造的fet器件
US20150017767A1 (en) * 2013-03-15 2015-01-15 Unisantis Electronics Singapore Pte. Ltd. Method for producing a semiconductor device having sgts

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0899790A3 (de) * 1997-08-27 2006-02-08 Infineon Technologies AG DRAM-Zellanordnung und Verfahren zu deren Herstellung
US7205604B2 (en) 2001-03-13 2007-04-17 International Business Machines Corporation Ultra scalable high speed heterojunction vertical n-channel MISFETs and methods thereof
KR100414217B1 (ko) * 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6744083B2 (en) * 2001-12-20 2004-06-01 The Board Of Regents, The University Of Texas System Submicron MOSFET having asymmetric channel profile
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
US6943407B2 (en) 2003-06-17 2005-09-13 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
JP4796329B2 (ja) * 2004-05-25 2011-10-19 三星電子株式会社 マルチ−ブリッジチャンネル型mosトランジスタの製造方法
US7288821B2 (en) 2005-04-08 2007-10-30 International Business Machines Corporation Structure and method of three dimensional hybrid orientation technology
US7446350B2 (en) 2005-05-10 2008-11-04 International Business Machine Corporation Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
US20070018252A1 (en) 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
CN100468772C (zh) * 2005-11-18 2009-03-11 北京大学 双栅垂直沟道场效应晶体管的制备方法
KR100734313B1 (ko) * 2006-02-09 2007-07-02 삼성전자주식회사 수직 채널을 갖는 반도체 소자 및 그 제조방법
EP1900681B1 (en) 2006-09-15 2017-03-15 Imec Tunnel Field-Effect Transistors based on silicon nanowires
US7781827B2 (en) * 2007-01-24 2010-08-24 Mears Technologies, Inc. Semiconductor device with a vertical MOSFET including a superlattice and related methods
KR100920047B1 (ko) * 2007-12-20 2009-10-07 주식회사 하이닉스반도체 수직형 트랜지스터 및 그의 형성방법
CN101295647A (zh) * 2008-01-16 2008-10-29 清华大学 增强mos器件沟道区应变的方法
US8173987B2 (en) * 2009-04-27 2012-05-08 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
EP2267782A3 (en) 2009-06-24 2013-03-13 Imec Control of tunneling junction in a hetero tunnel field effect transistor
CN102299154B (zh) * 2010-06-22 2013-06-12 中国科学院微电子研究所 半导体结构及其制作方法
CN102412156B (zh) * 2011-04-29 2015-08-26 上海华力微电子有限公司 一种提高pmos器件中空穴迁移率的多晶硅栅附加样本填充方法
KR101893848B1 (ko) * 2011-06-16 2018-10-04 삼성전자주식회사 수직 소자 및 비-수직 소자를 갖는 반도체 소자 및 그 형성 방법
US20130082329A1 (en) 2011-10-03 2013-04-04 International Business Machines Corporation Multi-gate field-effect transistors with variable fin heights
CN103515435B (zh) * 2012-06-26 2016-12-21 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其形成方法、sram存储单元电路
CN103632973B (zh) * 2012-08-23 2017-01-25 中国科学院微电子研究所 半导体器件及其制造方法
US8815691B2 (en) * 2012-12-21 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a gate all around device
US8823060B1 (en) * 2013-02-20 2014-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inducing strain in FinFET channels
US9111780B2 (en) * 2013-03-12 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for vertical tunneling field effect transistor with leveled source and drain
CN104103515B (zh) * 2013-04-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的制作方法与nmos晶体管的制作方法
US9214235B2 (en) 2013-04-16 2015-12-15 Conversant Intellectual Property Management Inc. U-shaped common-body type cell string
CN103337519A (zh) * 2013-06-26 2013-10-02 清华大学 场效应晶体管及其形成方法
US9129825B2 (en) * 2013-11-01 2015-09-08 International Business Machines Corporation Field effect transistor including a regrown contoured channel
US9136332B2 (en) * 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
KR102157825B1 (ko) 2014-01-16 2020-09-18 삼성전자주식회사 터널링 전계 효과 트랜지스터
US9368601B2 (en) * 2014-02-28 2016-06-14 Sandisk Technologies Inc. Method for forming oxide below control gate in vertical channel thin film transistor
US10553718B2 (en) * 2014-03-14 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with core-shell structures
US9196730B1 (en) * 2014-06-20 2015-11-24 Taiwan Seminconductor Manufacturing Company Limited Variable channel strain of nanowire transistors to improve drive current
CN104022121B (zh) 2014-06-23 2017-05-03 中国科学院微电子研究所 三维半导体器件及其制造方法
US9711596B2 (en) * 2014-06-24 2017-07-18 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device including a semiconductor sheet interconnecting a source region and a drain region
US9570612B2 (en) * 2014-06-27 2017-02-14 Taiwan Semiconductor Manufacturing Company Limited Method and structure for straining carrier channel in vertical gate all-around device
US9406793B2 (en) 2014-07-03 2016-08-02 Broadcom Corporation Semiconductor device with a vertical channel formed through a plurality of semiconductor layers
US9985026B2 (en) * 2014-08-15 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
US9293588B1 (en) * 2014-08-28 2016-03-22 International Business Machines Corporation FinFET with a silicon germanium alloy channel and method of fabrication thereof
US9911848B2 (en) * 2014-08-29 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor and method of manufacturing the same
US9251888B1 (en) 2014-09-15 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells with vertical gate-all-round MOSFETs
JP5938529B1 (ja) 2015-01-08 2016-06-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 柱状半導体装置と、その製造方法
TWI614890B (zh) * 2015-01-16 2018-02-11 台灣積體電路製造股份有限公司 在垂直奈米導線電晶體中誘發局部應變
TWI662625B (zh) * 2015-01-19 2019-06-11 聯華電子股份有限公司 半導體元件及其製作方法
US20160268256A1 (en) 2015-03-13 2016-09-15 Qualcomm Incorporated Complementary metal-oxide semiconductor (cmos) transistor and tunnel field-effect transistor (tfet) on a single substrate
US9385195B1 (en) 2015-03-31 2016-07-05 Stmicroelectronics, Inc. Vertical gate-all-around TFET
US20160315084A1 (en) * 2015-04-21 2016-10-27 Globalfoundries Inc. Different height of fins in semiconductor structure
US20160336324A1 (en) * 2015-05-15 2016-11-17 Qualcomm Incorporated Tunnel field effect transistor and method of making the same
US9653281B2 (en) * 2015-06-22 2017-05-16 Qualcomm Incorporated Structure and method for tunable memory cells including fin field effect transistors
US9685510B2 (en) * 2015-09-10 2017-06-20 International Business Machines Corporation SiGe CMOS with tensely strained NFET and compressively strained PFET
US9613955B1 (en) 2015-12-10 2017-04-04 International Business Machines Corporation Hybrid circuit including a tunnel field-effect transistor
US9754933B2 (en) * 2015-12-30 2017-09-05 International Business Machines Corporation Large area diode co-integrated with vertical field-effect-transistors
US9799655B1 (en) 2016-04-25 2017-10-24 International Business Machines Corporation Flipped vertical field-effect-transistor
US9812567B1 (en) * 2016-05-05 2017-11-07 International Business Machines Corporation Precise control of vertical transistor gate length
US10170575B2 (en) * 2016-05-17 2019-01-01 International Business Machines Corporation Vertical transistors with buried metal silicide bottom contact
KR102422240B1 (ko) * 2016-05-26 2022-07-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9786758B1 (en) 2016-06-13 2017-10-10 International Business Machines Corporation Vertical Schottky barrier FET
KR102519665B1 (ko) 2016-08-05 2023-04-07 삼성전자주식회사 집적회로 장치 및 그 제조 방법
KR102552943B1 (ko) * 2016-08-08 2023-07-06 삼성전자주식회사 반도체 장치의 제조 방법
JP6951903B2 (ja) 2016-08-10 2021-10-20 東京エレクトロン株式会社 半導体素子のための拡張領域
US9941391B2 (en) * 2016-08-12 2018-04-10 International Business Machines Corporation Method of forming vertical transistor having dual bottom spacers
US20180061944A1 (en) 2016-08-31 2018-03-01 International Business Machines Corporation Forming nanosheet transistors with differing characteristics
US9685537B1 (en) 2016-09-29 2017-06-20 Globalfoundries Inc. Gate length control for vertical transistors and integration with replacement gate flow
US11081484B2 (en) 2016-09-30 2021-08-03 Institute of Microelectronics, Chinese Academy of Sciences IC unit and method of manufacturing the same, and electronic device including the same
CN106298778A (zh) 2016-09-30 2017-01-04 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US10833193B2 (en) * 2016-09-30 2020-11-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device, method of manufacturing the same and electronic device including the device
US10777469B2 (en) * 2018-10-11 2020-09-15 International Business Machines Corporation Self-aligned top spacers for vertical FETs with in situ solid state doping

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5554870A (en) * 1994-02-04 1996-09-10 Motorola, Inc. Integrated circuit having both vertical and horizontal devices and process for making the same
US20020076884A1 (en) * 2000-12-14 2002-06-20 Rolf Weis Self-aligned nitride pattern for improved process window
CN1906769A (zh) * 2004-01-22 2007-01-31 国际商业机器公司 垂直鳍片场效应晶体管mos器件
CN101399207A (zh) * 2007-09-24 2009-04-01 国际商业机器公司 垂直纳米线fet器件的制造方法以及由该方法制造的fet器件
US20150017767A1 (en) * 2013-03-15 2015-01-15 Unisantis Electronics Singapore Pte. Ltd. Method for producing a semiconductor device having sgts

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109300874A (zh) * 2018-10-08 2019-02-01 中国科学院微电子研究所 并联结构及其制造方法及包括该并联结构的电子设备
CN109300874B (zh) * 2018-10-08 2020-06-30 中国科学院微电子研究所 并联结构及其制造方法及包括该并联结构的电子设备
US11631669B2 (en) 2018-10-08 2023-04-18 Institute of Microelectronics, Chinese Academy of Sciences Parallel structure, method of manufacturing the same, and electronic device including the same
US11942474B2 (en) 2018-10-08 2024-03-26 Institute of Microelectronics, Chinese Academy of Sciences Parallel structure, method of manufacturing the same, and electronic device including the same
CN109841675A (zh) * 2019-04-04 2019-06-04 中国科学院微电子研究所 垂直纳米线晶体管及其形成方法
CN109841675B (zh) * 2019-04-04 2022-05-17 中国科学院微电子研究所 垂直纳米线晶体管及其形成方法
CN113113356A (zh) * 2020-01-10 2021-07-13 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
CN113113356B (zh) * 2020-01-10 2023-05-05 中芯国际集成电路制造(天津)有限公司 半导体结构及其形成方法
CN113257815A (zh) * 2021-04-29 2021-08-13 中国科学院微电子研究所 竖直相邻器件之间带隔离部的半导体装置及电子设备

Also Published As

Publication number Publication date
CN112018111A (zh) 2020-12-01
CN107887274A (zh) 2018-04-06
US11158547B2 (en) 2021-10-26
CN107887385A (zh) 2018-04-06
CN112018111B (zh) 2023-12-22
US10714398B2 (en) 2020-07-14
US11195765B2 (en) 2021-12-07
CN111755443A (zh) 2020-10-09
US20190287865A1 (en) 2019-09-19
US20230369489A1 (en) 2023-11-16
CN107887384B (zh) 2020-10-23
CN107887442A (zh) 2018-04-06
CN107887385B (zh) 2020-09-15
US20200027879A1 (en) 2020-01-23
US20180097106A1 (en) 2018-04-05
CN107887384A (zh) 2018-04-06
CN107887444A (zh) 2018-04-06
CN107887274B (zh) 2020-05-29
CN106298778A (zh) 2017-01-04
CN107887441A (zh) 2018-04-06
US20200280700A1 (en) 2020-09-03
CN107887441B (zh) 2020-10-27
US20190279980A1 (en) 2019-09-12
CN107887445A (zh) 2018-04-06
US20180097065A1 (en) 2018-04-05
CN107887442B (zh) 2021-04-13
CN107887445B (zh) 2021-04-16
CN107887443B (zh) 2021-01-12
US10910278B2 (en) 2021-02-02
US10643905B2 (en) 2020-05-05
US10629498B2 (en) 2020-04-21
CN107887440B (zh) 2021-06-29
CN107887387B (zh) 2020-10-23
US20180108577A1 (en) 2018-04-19
CN107887444B (zh) 2021-03-23
US11217493B2 (en) 2022-01-04
CN107887386B (zh) 2020-10-27
CN107887387A (zh) 2018-04-06
CN107887443A (zh) 2018-04-06
US20200027950A1 (en) 2020-01-23
CN107887440A (zh) 2018-04-06
CN111755443B (zh) 2023-08-15

Similar Documents

Publication Publication Date Title
CN107887386A (zh) 集成电路单元及其制造方法及包括该单元的电子设备
US11695074B2 (en) Semiconductor device, method of manufacturing the same and electronic device including the device
US8497548B2 (en) Semiconductor device including a MOS transistor and production method therefor
CN109461756A (zh) Mram及其制造方法及包括mram的电子设备
US11081484B2 (en) IC unit and method of manufacturing the same, and electronic device including the same
CN103985755B (zh) 半导体设置及其制造方法
WO2019128076A1 (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN108198815A (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN109888001A (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN103985749B (zh) 半导体设置及其制造方法
CN110098250A (zh) 带体区的竖直型器件及其制造方法及相应电子设备
CN109411538A (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN109449121A (zh) 半导体器件及其制造方法及包括该器件的电子设备
CN105609560B (zh) 具有高质量外延层的半导体器件及其制造方法
US9722068B2 (en) Semiconductor devices and methods of manufacturing the same
CN109473429A (zh) 半导体器件及其制造方法及包括其的电子设备
US20230085628A1 (en) Hybrid stacked field effect transistors
CN109360824A (zh) 半导体器件及其制造方法及包括该器件的电子设备
US20230361119A1 (en) Three-dimensional semiconductor device and method of manufacturing the same
US20240055424A1 (en) Nanosheet devices and methods of fabricating the same
CN117199133A (zh) 半导体结构及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant