CN103765595A - 包含垂直晶体管装置的半导体装置结构、垂直晶体管装置阵列及制作方法 - Google Patents

包含垂直晶体管装置的半导体装置结构、垂直晶体管装置阵列及制作方法 Download PDF

Info

Publication number
CN103765595A
CN103765595A CN201280041260.2A CN201280041260A CN103765595A CN 103765595 A CN103765595 A CN 103765595A CN 201280041260 A CN201280041260 A CN 201280041260A CN 103765595 A CN103765595 A CN 103765595A
Authority
CN
China
Prior art keywords
grid
table top
metal seed
insulator
conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280041260.2A
Other languages
English (en)
Other versions
CN103765595B (zh
Inventor
古尔特杰·S·桑胡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN103765595A publication Critical patent/CN103765595A/zh
Application granted granted Critical
Publication of CN103765595B publication Critical patent/CN103765595B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • H01L27/1225Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer with semiconductor materials not belonging to the group IV of the periodic table, e.g. InGaZnO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本发明揭示一种半导体装置结构。所述半导体装置结构包含在衬底上面延伸的台面。所述台面具有在所述台面的第一侧与第二侧之间的沟道区。第一栅极在所述台面的第一侧上,所述第一栅极包括第一栅极绝缘体及第一栅极导体,所述第一栅极导体包括上覆于所述第一栅极绝缘体上的石墨烯。所述栅极导体可包括呈一个或一个以上单层的石墨烯。本发明还揭示一种用于制作所述半导体装置结构的方法;一种包含具有所述所揭示结构的半导体装置的垂直晶体管装置阵列;及一种用于制作所述垂直晶体管装置阵列的方法。

Description

包含垂直晶体管装置的半导体装置结构、垂直晶体管装置阵列及制作方法
优先权主张
本申请案主张2011年8月23日申请的题为“包含垂直晶体管装置的半导体装置结构、垂直晶体管装置阵列及制作方法(Semiconductor Device Structures Including VerticalTransistor Devices,Arrays of Vertical Transistor Devices,and Methods of Fabrication)”的第13/215,968号美国专利申请案的申请日期的权益。
技术领域
在各种实施例中,本发明一股来说涉及集成电路设计及制作的领域。更特定来说,本发明涉及垂直定向晶体管及用于制作所述晶体管的方法。
背景技术
在衬底上制作例如晶体管等半导体装置必定导致衬底的某一表面积被所述装置的占用面积占据。通常,给定衬底的可用表面积受限,且最大化对衬底的使用需要最大化在衬底上制作的装置的密度。最小化例如晶体管等装置的组件的尺寸适应最小化装置的总体占用面积及最大化装置密度。此适应在给定衬底上形成较大数目的装置。
晶体管通常构造于衬底的主要表面上。主要表面通常为衬底的最上部外部表面。将衬底的主要表面视为界定水平平面及方向。
包含一对源极/漏极区之间的沟道区及经配置以通过所述沟道区将所述源极/漏极区彼此电连接的栅极的场效应晶体管(“FET”)结构可基于沟道区相对于衬底的主要表面的定向而在两个广泛的类别当中划分。具有主要平行于衬底的主要表面的沟道区的晶体管结构称为平面FET结构,且具有大体垂直于衬底的主要表面的沟道区的晶体管结构称为垂直FET(“VFET”)晶体管结构。由于晶体管装置的源极与漏极区之间的电流流动穿过沟道区而发生,因此可基于电流流动的方向以及沟道区的大体定向两者而将平面FET装置与VFET装置区分开。VFET装置为其中装置的源极与漏极区之间的电流流动主要实质上正交于衬底的主要表面的装置。平面FET装置为其中源极与漏极区之间的电流流动主要平行于衬底的主要表面的装置。
VFET装置包含从下伏衬底向上延伸的垂直的所谓的“台面”,在此项技术中也称为所谓的“鳍片”。此台面形成晶体管主体的部分。一股来说,源极区及漏极区位于台面的端处,而一个或一个以上栅极位于台面或鳍片的一个或一个以上表面上。在激活后,电流即刻在台面内流动穿过沟道区。
VFET在宽度上(即,在平行于由衬底的主要表面界定的水平平面的平面中的尺寸上)通常比平面FET薄。因此,垂直晶体管有助于适应增加的装置堆填密度且有助于包含在交叉点存储器阵列内。以此方式,多个VFET以堆叠行及列来定序。然而,甚至在此布置的情况下,堆填密度也至少部分地受垂直晶体管的组件(包含栅极及沟道组件)的最小尺寸限制。
按比例缩放或以其它方式减小晶体管组件的尺寸至少部分地取决于常规半导体制作技术的限制、在制作中所使用的材料的物理限制及制作操作装置所需的最低性质。举例来说,为了形成具有实现必要水平的低电阻的性质的典型栅极金属,通常需要大于5纳米的栅极厚度。在具有环绕栅极的VFET装置中使用5nm厚度的栅极金属,装置的总宽度必须考虑到栅极材料的宽度的两倍。因此,典型的VFET环绕栅极将使至少10纳米的VFET装置宽度被栅极导体耗用。
发明内容
本发明揭示一种包含垂直晶体管装置的半导体装置结构,其包括在衬底上面延伸的台面及在所述台面的第一侧上的第一栅极。所述台面包括在所述台面的第一侧与第二侧之间的沟道区。所述第一栅极包括第一栅极绝缘体及第一栅极导体,所述第一栅极导体包括上覆于所述第一栅极绝缘体上的石墨烯。
还揭示一种用于制作半导体装置结构的方法。所述方法包括:在衬底上形成多个金属籽晶;在所述多个金属籽晶中的每一者上形成导体材料以形成多个栅极导体;在所述多个栅极导体中的每一者上形成绝缘体材料以形成多个栅极绝缘体;及用沟道材料填充所述第一沟槽以形成沟道区。所述多个栅极绝缘体中的第一栅极绝缘体通过第一沟槽与所述多个栅极绝缘体中的第二栅极绝缘体分离。
揭示一种垂直晶体管装置阵列。所述阵列包括:第一多个台面,其在衬底上面延伸;第一多个绝缘体材料分段;第一栅极绝缘体,其沿着所述第一多个台面中的所述台面的所述第一侧;及第一栅极导体,其沿着所述第一栅极绝缘体,所述第一栅极导体包括石墨烯。所述第一多个台面中的每一台面具有第一侧及与所述第一侧相对的第二侧,所述第一侧彼此相对且所述第二侧彼此相对。每一绝缘体材料分段将所述台面中的一者与所述第一多个台面内的另一台面分离。
还揭示一种用于制作垂直晶体管装置阵列的方法。所述方法包括:在衬底上形成多个金属籽晶;在所述多个金属籽晶中的每一者上形成导体材料以形成多个栅极导体;在所述多个栅极导体中的每一者上形成第一绝缘体材料以形成多个栅极绝缘体;用第二绝缘体材料填充所述第一沟槽;移除所述第二绝缘体材料的分段以暴露所述衬底的下伏区段且界定多个腔;及用沟道材料填充所述多个腔以形成在第一侧上由所述第一栅极绝缘体接界且在第二侧上由所述第二栅极绝缘体接界的沟道区。所述多个栅极绝缘体中的第一栅极绝缘体通过第一沟槽与所述多个栅极绝缘体中的第二栅极绝缘体分离。
附图说明
图1是本发明的实施例的垂直场效应晶体管的横截面俯视及前视透视示意图;
图2到11是根据本发明的实施例在各种处理阶段期间的半导体装置结构的横截面俯视及前视透视示意图;且
图12到21是根据本发明的另一实施例在各种处理阶段期间的半导体装置结构的横截面俯视及前视透视示意图。
具体实施方式
本发明揭示半导体装置结构、垂直晶体管装置阵列及用于制作此些结构或装置的方法。所述垂直晶体管装置及VFET阵列均包含薄栅极导体,从而使得本发明VFET结构及方法有助于高装置密度集成电路设计,包含交叉点存储器阵列。
如本文中所使用,术语“衬底”意指及包含在其上形成例如垂直场效应晶体管等材料的基底材料或构造。衬底可为半导体衬底、在支撑结构上的基底半导体层、金属电极或其上形成有一个或一个以上层、结构或区的半导体衬底。衬底可为常规硅衬底或包括半导电材料层的其它块体衬底。如本文中所使用,术语“块体衬底”不仅意指及包含硅晶片,而且意指及包含绝缘体上硅(“SOI”)衬底(例如,蓝宝石上硅(“SOS”)衬底或玻璃上硅(“SOG”)衬底)、位于基底半导体基础上的外延硅层,或其它半导体或光电子材料(例如,硅-锗(Si1-xGex)、锗(Ge)、砷化镓(GaAs)、氮化镓(GaN)或磷化铟(InP))。此外,当在以下描述中提及“晶片”或“衬底”时,可能已利用先前工艺步骤在基底半导体结构或基础中形成了区或结。
如本文中所使用,术语“石墨烯”意指及包含具有通过共价键彼此连接的多个碳原子的多环芳香族分子。所述多个碳原子可形成充当标准重复单位的多个六元环且可进一步包含五元环及/或七元环。石墨烯可为六元环的一个原子厚的材料,其中所述碳原子共价地键合且具有sp2杂交。石墨烯可包含石墨烯单层。或者,石墨烯可包含彼此上下堆叠的多个石墨烯单层。就这一点来说,石墨烯可具有约5纳米的最大厚度。如果使用多个石墨烯单层,那么可使用石墨烯作为半导体装置结构中的栅极。如果使用一个原子厚的材料,那么可使用石墨烯作为可切换材料。
如本文中所使用,尽管术语“第一”、“第二”、“第三”等可描述各种元件、组件、区、层及/或区段,但其中的任一者均不受这些术语的限制。这些术语仅用于将一个元件、组件、区、材料、层或区段与另一元件、组件、区、材料、层或区段区分开。因此,在不背离本文中的教示的情况下,下文所论述的“第一元件”、“第一组件”、“第一区”、“第一材料”、“第一层”或“第一区段”可称为第二元件、第二组件、第二区、第二材料、第二层或第二区段。
如本文中所使用,为便于描述可使用例如“在…下方”、“在…下面”、“下部”、“底部”、“在…上面”、“上部”、“顶部”、“前面”、“后面”、“左面”、“右面”等空间相对术语来描述如图中所图解说明的一个元件或特征与另一(些)元件或特征的关系。除非另有规定,否则所述空间相对术语打算除图中所描绘的定向以外还囊括装置在使用或操作中的不同定向。举例来说,如果翻转图中的装置,那么描述为“在其它元件或特征下面”或“在其它元件或特征下方”或“在其它元件或特征底下”或“在其它元件或特征的底部上”的元件则将被定向为“在其它元件或特征上面”或“在其它元件或特征的顶部上”。因此,术语“在…下面”可囊括在…上面及在…下面的定向两者,此取决于使用所述术语的背景,此将为所属领域的一股技术人员所明了。可以其它方式定向装置(旋转90度或处于其它定向)且相应地解释本文中所使用的空间相对描述语。
如本文中所使用,将元件指代为“在另一元件上”意指及包含所述元件直接在另一元件的顶部上、邻近于另一元件、在另一元件下方或与另一元件直接接触。其还包含所述元件间接在另一元件的顶部上、邻近于另一元件、在另一元件下方或接近另一元件,其中其之间具有其它元件。相比之下,当称元件“直接在另一元件上”时,不存在介入元件。
如本文中所使用,术语“包括(comprise)”、“包括(comprising)”、“包含(include)”及/或“包含(including)”规定所陈述特征、区、整数、步骤、操作、元件及/或组件的存在,但并不排除一个或一个以上其它特征、区、整数、步骤、操作、元件、组件及/或其群组的存在或添加。
如本文中所使用,“及/或”包含相关联所列举项目中的一者或一者以上的任何及所有组合。
如本文中所使用,单数形式“一(a)”、“一(an)”及“所述(the)”打算也包含复数形式,除非上下文另有明确指示。
本文中所呈现的图解说明并非意在作为任何特定组件、结构、装置或系统的实际视图,而仅仅是用于描述本发明的实施例的理想化表示。
本文中参考示意性图解说明理想化实施例的横截面图解说明来描述实例性实施例。如此,将预期由于(举例来说)制造技术及/或公差所致的与图解说明的形状的变化。因此,本文中所描述的实施例不应理解为限于如所图解说明的特定形状或区,而是将包含由(举例来说)制造产生的形状偏差。举例来说,图解说明或描述为箱形状的区通常可具有粗糙及/或非线性特征。此外,所图解说明的锐角可经修圆。因此,图中所图解说明的区本质上是示意性的,且其形状并非打算图解说明区的精确形状且并非打算限制本权利要求书的范围。
以下描述提供特定细节(例如材料类型、材料厚度及处理条件)以便提供对所揭示装置及方法的实施例的透彻描述。然而,所属领域的一股技术人员应理解,可在不采用这些特定细节的情况下实践所述装置及方法的实施例。而是,所述装置及方法的实施例可结合工业中所采用的常规半导体制作技术来实践。
本文中所描述的制作工艺并不形成用于处理半导体装置结构的完整工艺流。所述工艺流的剩余部分是所属领域的一股技术人员已知的。因此,本文中仅描述理解本发明装置及方法的实施例所必需的方法及半导体装置结构。
除非上下文另有指示,否则本文中所描述的材料可通过任何适合技术来形成,包含(但不限于)旋涂、毯覆式涂覆、化学气相沉积(“CVD”)、原子层沉积(“ALD”)、等离子增强ALD及物理气相沉积(“PVD”)。或者,可原位生长所述材料。取决于将形成的特定材料,用于沉积或生长材料的技术可由所属领域的一股技术人员选择。
除非上下文另有指示,否则本文中所描述的材料的移除可通过任何适合技术来实现,包含(但不限于)蚀刻、磨料平面化或其它已知材料移除方法。
现在将参考图式,其中在通篇中相似编号指代相似组件。所述图式未必按比例绘制。
图1是具有本发明的结构的VFET100半导体装置的示意图的横截面前视及俯视透视图。VFET100包含台面120,台面120在衬底50上面延伸使得台面120的底部侧125安放于衬底50的水平平面上部表面上。台面120沿垂直于衬底50的方向在衬底50上面延伸。台面120具有第一侧121及与第一侧121相对且实质上平行的第二侧122。沟道区130在第一侧121与第二侧122之间通过台面120。在使用及操作中,沟道区130经配置以允许电流在源极区(未展示)与漏极区(未展示)之间流动。台面120的顶部侧126可与电极(未展示)或互连件(未展示)操作连通。
第一栅极140提供于台面120的第一侧121上。第一栅极140操作以控制沟道区130中的电流流动。第二栅极140也可提供于台面120的第二侧122上,第二栅极140操作以结合第一栅极140来控制台面120的沟道区130中的电流流动。
每一栅极140包含栅极绝缘体160及上覆栅极导体150。栅极绝缘体160可直接提供于台面120的第一侧121及/或第二侧122上。栅极导体150可直接提供于栅极绝缘体160上且可环绕台面120的垂直侧,即,可环绕台面120的第一侧121、第二侧122、第三侧123及第四侧124。在此些实施例中,第三侧123及第四侧124可彼此相对且平行并垂直于第一侧121及第二侧122而布置。
在本发明VFET100结构的其它实施例中,栅极140仅提供于台面120的第一侧121上。在又一些实施例中,栅极140仅提供于台面120的第一侧121及第二侧122上而不提供于第三侧123或第四侧124上。
根据图1中所描绘的本发明VFET100结构的实施例,侧壁栅极结构140的栅极导体150实质上上覆于栅极绝缘体160的整个外部表面(即,与台面120接近的栅极绝缘体160的表面相对且实质上平行的栅极绝缘体160的表面)上。在VFET100结构的其它实施例中,栅极140的栅极导体150仅上覆于栅极绝缘体160的外部表面的一部分上。在一些此类实施例中,栅极导体150被结构化为环形栅极导体。
本发明VFET100的栅极导体150是界定小于或等于约5纳米的栅极导体厚度G(即,当栅极导体150被构造为具有三维箱形状时此栅极导体150的最短侧的尺寸)的栅极导体。因此,根据具有一对栅极140的所描绘VFET100,栅极导体150的厚度向一个所形成VFET单元(图11及图21)的总体宽度C贡献栅极导体150的厚度G的两倍。栅极导体150的厚度G可小于栅极绝缘体160的厚度I,当栅极绝缘体160被构造为具有三维箱形状时,厚度I由此栅极绝缘体160的最短侧的尺寸界定。
栅极导体150可由石墨烯形成,或栅极导体150的至少一部分可包含石墨烯。石墨烯展现高导电率且具有单原子主体厚度。因此,石墨烯拥有高速电子的大电位。一股来说,石墨烯为密集堆填成蜂巢晶格的sp2键合碳原子的一个原子厚的平面薄片,使得石墨烯薄片的碳原子彼此连接成延伸的六边形环阵列。可堆叠个别石墨烯薄片。因此,栅极导体150可包含多个石墨烯层。如果使用多个石墨烯单层,那么可使用石墨烯作为栅极导体150。如果使用一个原子厚的材料,那么可使用石墨烯作为半导体装置中的可切换材料。
图2到11描绘根据用于制作半导体装置(例如VFET100装置)以及用于制作垂直晶体管装置100的阵列300(图10)的本发明方法的实施例处理多个垂直晶体管的各种阶段。特别参考图2,本发明方法包含在衬底50上形成多个金属籽晶110。金属籽晶110彼此间隔开且平行布置。金属籽晶110可以间距形成。每一金属籽晶110包含第一侧111、第二侧112、底部侧115及顶部侧116。根据图2中的描绘,金属籽晶110经定位使得每一金属籽晶110的底部侧115邻近于衬底50,且每一金属籽晶110的顶部侧116与底部侧115相对且从衬底50向上引导。一个金属籽晶110的第一侧111与相邻金属籽晶110的第二侧112相对且平行地定位。金属籽晶110可彼此均匀地间隔开、平行布置,使得每一金属籽晶110通过具有等于第一距离的宽度M的沟槽与每一邻近及平行金属籽晶110分离。在其它实施例中,金属籽晶110可彼此不均匀地间隔开使得一个金属籽晶110与第一相邻金属籽晶110的间隔比其与第二相邻金属籽晶110的间隔更远。在又一些实施例中,金属籽晶110可不均匀地间隔开使得一个金属籽晶110在第一端处与相邻金属籽晶110的间隔比其在第二端处与相邻金属籽晶110的间隔更远。
金属籽晶110的材料可为有助于在其上形成栅极导体150的任何金属,例如石墨烯的栅极导体。举例来说(而不限于),可使用铜、镍、铱、钌、其组合及含有这些金属中的任一者或全部的固体混合物作为金属籽晶110的材料。作为更特定实例,金属籽晶110可由铜形成,例如多晶铜。
参考图3,用于制作半导体装置(例如VFET装置100或VFET阵列300)的方法进一步包含在多个金属籽晶110中的每一者上形成导体材料以形成栅极导体150,其包含将金属籽晶110的第一侧111及第二侧112中的每一者对准的栅极导体侧壁。所述导体材料可保形地形成于金属籽晶110的第一侧111、第二侧112及顶部侧116上方。可通过任何适合技术来形成栅极导体150的导体材料,包含(但不限于)CVD、ALD、等离子增强ALD或其它已知方法。如果有,那么所述导体材料的上覆于衬底50的上部表面上的部分可通过常规技术来移除,从而暴露衬底50。
栅极导体150的导体材料可由石墨烯形成。已知形成石墨烯的各种方法。以下文献描述形成石墨烯的各种方法:在2006年7月4日颁予江(Jang)等人的美国专利7,071,258;在2006年3月21日颁予德惠(DeHeer)等人的美国专利7,015,142;在2005年3月22日颁予岸(Kishi)等人的美国专利6,869,581;在2011年5月26日公开的真宗(Shin)等人的第2011/0123776号美国专利申请公开案;及在2006年5月11日公开的德惠等人的第2006/0099750号美国专利申请公开案。可使用任何此类适合技术由石墨烯在金属籽晶110上形成栅极导体150。举例来说(而不限于),在一些实施例中,可使用ALD、CVD或其它已知方法来形成石墨烯。
在此些实施例中,石墨烯可直接形成于金属籽晶110的外部表面上。根据图3的描绘,导体材料可至少上覆于多个金属籽晶110中的每一金属籽晶110的第一侧111、顶部侧116及第二侧112上,但可不上覆于衬底50的上部表面上。不管如何形成,由石墨烯形成的栅极导体150可具有仅一个原子的厚度。或者,由石墨烯形成的栅极导体150可包含双层、三层或其它多层石墨烯。
在所揭示方法的其它实施例中,所述导体材料可经形成以便形成所描绘的栅极导体150侧壁及顶部壁且上覆于衬底50的上部表面上。此后,半导体装置可经适合地处理以移除上覆于衬底50上的导体材料(例如使用光刻、蚀刻或其它已知方法)以至少产生上覆于金属籽晶110中的每一者的第一侧111及第二侧112上但不在衬底50的定位于金属籽晶110之间的上部表面上的栅极导体150侧壁。
参考图4,本发明方法进一步包含在多个栅极导体150侧壁中的每一者上形成绝缘体材料以形成多个栅极绝缘体160侧壁。所述方法可进一步包含在金属籽晶110的栅极导体150顶部壁或顶部侧116上形成绝缘体材料。所述方法可进一步包含在定位于金属籽晶110之间的栅极导体150底部壁上或在定位于金属籽晶110之间的经暴露衬底50表面上形成绝缘体材料。所述绝缘体材料可保形地形成于栅极导体150侧壁及顶部壁以及剩余经暴露衬底50表面上方。因此,根据图4中的描绘,所述绝缘体材料形成于栅极导体150侧壁及顶部壁以及剩余经暴露衬底50表面中的每一者上。在栅极导体150侧壁上形成绝缘体材料可包含在于栅极导体150侧壁上形成绝缘体材料之前直接在栅极导体150侧壁上形成籽晶材料。如此,所形成栅极绝缘体160侧壁可包含籽晶材料及绝缘体材料两者。在形成时,多个栅极绝缘体160侧壁中的第一栅极绝缘体160侧壁通过第一沟槽170与第二栅极绝缘体160侧壁分离。由于金属籽晶110可彼此均匀地平行间隔开,因此所形成栅极绝缘体160侧壁可彼此均匀地间隔开,使得每一第一沟槽170界定第一沟槽宽度T。第一沟槽宽度T小于分离金属籽晶110的宽度M(图2)的第一距离。第一沟槽宽度T等于宽度M减小第一栅极绝缘体160的绝缘体材料的厚度的两倍及第一栅极导体150的导体材料的厚度的两倍。
可通过任何适合技术来形成栅极绝缘体160侧壁、顶部壁或底部壁,包含(但不限于)CVD、ALD、等离子增强ALD、PVD或其它已知方法。在一个实施例中,通过ALD形成栅极绝缘体160。栅极绝缘体160的绝缘体材料可为任何适合绝缘材料。举例来说(而不限于),栅极绝缘体160可由氧化物形成。
参考图5,本发明方法可进一步包含用第二绝缘体材料180填充第一沟槽170。第二绝缘体材料180可不仅填充第一沟槽170而且可覆盖栅极绝缘体160顶部壁。可通过任何适合方法来实现用第二绝缘体材料180填充第一沟槽170,包含(而不限于)通过旋涂、毯覆式涂覆、CVD或其它已知方法。第二绝缘体材料180可由任何适合绝缘材料形成。举例来说(而不限于),第二栅极绝缘体160可由常规层间电介质(“ILD”)材料形成,例如氧化硅或氮化硅。
在所揭示方法的其它实施例中,用第二绝缘体材料180填充沟槽170可包含用第二绝缘体材料180仅填充沟槽170而不将第二绝缘体材料180上覆于金属籽晶110的顶部侧116、栅极导体150材料的顶部壁或栅极绝缘体160材料的顶部壁上。
参考图6,如果必要,那么所述方法可进一步包含移除第二绝缘体材料180的部分、栅极绝缘体160材料的部分及栅极导体150材料的部分以暴露金属籽晶110的顶部侧116。此可通过任何适合方法来实现,包含(而不限于)平面化方法,例如磨料平面化、化学机械抛光或平面化(“CMP”)或蚀刻工艺。
所述方法可进一步包含移除金属籽晶110及用具有大于形成金属籽晶110的材料的金属温度的熔化温度的材料填充曾由金属籽晶110占据的空间。如此,经重新填充材料可经配置以在无实质变形的情况下耐受金属籽晶110可耐受的制作温度高的制作温度。
参考图7到9,所述方法可进一步包含选择性地移除第二绝缘体材料180的分段以暴露衬底50的下伏区段。第二绝缘体材料180的经移除分段可为间隔开的分段。经移除分段在第二绝缘体材料180中界定多个腔200。第二绝缘体材料180的分段的移除可通过沿正交于衬底50的方向进行图案化来实现,例如通过使用使第二绝缘体材料180的有序分段的顶部表面暴露的光掩模190。可使用蚀刻或任何其它适合方法根据如图8中所描绘的光掩模190图案来移除第二绝缘体材料180的分段,此后可移除光掩模190(图9)。
根据所描绘方法,每一腔200形成为三维箱形状使得所述腔的第一侧201与第二侧202平行且相对,所述侧中的每一者由栅极绝缘体160侧壁接界及界定。每一腔200的第三侧203及第四侧204也彼此平行且相对,由剩余第二绝缘体材料180接界及界定。
在于形成栅极绝缘体160材料时所述方法产生形成于衬底50上的栅极绝缘体160底部壁的情况下,每一腔200的底部侧205可由栅极绝缘体160材料接界及界定,如图8中所展示。在一些实施例中,可接着移除栅极绝缘体160材料,如通过蚀刻或其它已知材料移除方法,且在栅极导体150材料上重新形成栅极绝缘体160材料。移除及重新形成栅极绝缘体160材料的此中间过程可适应在所得的垂直晶体管装置阵列300中形成最优电质量的栅极绝缘体160材料。
在移除光掩模190之前,可进一步利用光掩模190来移除栅极绝缘体160材料的上覆于衬底50上的区段以便暴露衬底50的曾被覆盖的那些区段,如图9中所描绘。此后,每一腔200的底部侧205由衬底50的经暴露上部表面接界及界定。每一腔200的顶部侧206保持敞开。
参考图10,用于形成半导体装置(例如VFET装置100或VFET300的阵列)的本发明方法进一步包含用沟道材料填充腔200。如图1中所展示,所述沟道材料形成在第一侧121上由第一栅极绝缘体160侧壁接界、在第二侧122上由第二栅极绝缘体160侧壁接界且在第三侧123及第四侧124上由剩余第二绝缘体材料180接界的台面120。一列VFET装置的台面120可通过第二绝缘体材料180间隔开。
可借助任何适合技术来实现用沟道材料填充腔200以形成台面120,包含(而不限于)旋涂、毯覆式涂覆、CVD、ALD、等离子增强ALD、PVD、原位生长或其它已知方法。台面120的沟道材料可为(而不限于)非晶硅、多晶硅、外延硅、氧化铟镓锌(InGaZnOx)(“IGZO”)以及其它。在一个实施例中,所述沟道材料为IGZO。
如图10中所描绘,在用沟道材料填充腔200以形成台面120之后,每一栅极导体150侧壁保持由栅极绝缘体160侧壁及金属籽晶110中的一者接界。因此,本发明的半导体装置结构可包含提供于第一栅极导体150侧壁上的第一金属籽晶110及提供于第二栅极导体150侧壁上的第二金属籽晶110。
如图11中所描绘,本发明方法可进一步包含移除金属籽晶110。可借助任何适合技术来实现移除金属籽晶110,例如蚀刻。移除金属籽晶110产生定位于一对相对安置的栅极导体150侧壁之间的第二沟槽210。因此,形成VFET100的阵列300,每一VFET装置100具有至少一个栅极导体150。
进一步关于图11,所揭示垂直晶体管装置阵列300包含安置于衬底50上的第一多个台面120。第一多个台面120可包含一列所形成VFET装置100的台面120。第一多个台面120中的台面120中的每一者具有第一侧121及与第一侧121相对的第二侧122。第一多个台面120内的台面120的第一侧121彼此对准,且第一多个台面内的台面120的第二侧122彼此对准。
阵列300进一步包含第一多个绝缘体材料分段,例如剩余第二绝缘体材料180的分段,绝缘体材料180的分段中的每一者将台面120中的一者与第一多个台面120内的另一台面120分离。
阵列300进一步包含沿着第一多个台面120中的台面120的第一侧121提供的栅极绝缘体160侧壁。栅极导体150侧壁沿着栅极绝缘体160侧壁提供。栅极导体150可包含呈一个或一个以上层的石墨烯。根据图11中所描绘的垂直晶体管装置100的阵列300,单个栅极绝缘体160侧壁及单个栅极导体150侧壁为沿着VFET装置100的一列台面120的整体在台面120的第一侧121上延伸的单个栅极140的组件。或者,一系列分离的栅极140可沿着VFET装置100的一列台面120中的台面120的第一侧121延伸。
如图11中所描绘,阵列300可进一步包含沿着第一多个半导体台面120中的台面120的第二侧122提供的第二栅极绝缘体160侧壁。阵列300可进一步包含沿着第二栅极绝缘体160侧壁提供的第二栅极导体150侧壁。第二栅极导体150可包含呈一个或一个以上层的石墨烯。根据图11中所描绘的垂直晶体管装置100的阵列300,单个栅极绝缘体160侧壁及单个栅极导体150侧壁为沿着VFET装置100的一列台面120的整体在台面120的第二侧122上延伸的单个栅极140的组件。或者,一系列分离的栅极140可沿着VFET装置100的一列台面120中的台面120的第二侧122延伸。
阵列300的VFET装置100内的台面120可界定在台面120的第一侧121与第二侧122之间通过的沟道区130(图1)。沟道区130可与源极区(未展示)及漏极区(未展示)连通。可通过此项技术中已知的任何适合技术形成所述源极区及漏极区。
垂直晶体管装置100的阵列300可进一步包含具有与第一多个台面120相同的阵列300的一个或一个以上额外的多个台面120。多个台面120可通过第二沟槽210彼此均匀且平行地间隔开。
阵列300的每一列具有由一对栅极导体150侧壁的外部表面界定的宽度,所述宽度C可为每一个别VFET装置100的宽度。每一VFET装置100的宽度C等于或约等于分离最初形成的金属籽晶110的沟槽的宽度M(图2)。因此,VFET装置100的最终宽度C可通过调整所形成金属籽晶110的宽度M而按比例缩放。另外,金属籽晶110以间距形成,其中在业内已知“间距”指代相邻特征中的相同点之间的距离。显著地,金属籽晶110的间距等于或基本上等于所形成VFET装置100的所得间距。
应理解,此后,所形成VFET装置100及阵列300可经受额外处理以形成顶部触点、金属互连件、VFET100阵列300的额外堆叠层等,其结果可为交叉点存储器阵列的形成。可通过本文中未详细描述的常规技术来进行额外处理。
返回参考图10,还揭示垂直晶体管装置100的阵列,其中沿着金属籽晶线110的垂直侧进一步提供栅极导体150侧壁。举例来说(而不限于),VFET装置100的阵列300的栅极导体150侧壁可沿着金属籽晶110的第一侧111及/或第二侧112提供。
图12到21描绘根据用于制作半导体装置(例如VFET100装置)以及用于制作垂直晶体管装置100的阵列300的本发明方法的另一实施例处理多个垂直晶体管的各种阶段。图12及13分别描绘与图2及3中所描绘的处理阶段相同的处理阶段。图12的描述等效于图2的描述,且图13的描述等效于图3的描述。
参考图14,用于形成半导体装置的方法的本发明实施例包含:在于金属籽晶110上形成导体材料以便形成栅极导体150之后,在多个栅极导体150侧壁中的每一者上形成绝缘体材料以形成多个栅极绝缘体160侧壁。本发明实施例的方法进一步包含在金属籽晶110的栅极导体150顶部壁或顶部侧116上形成绝缘体材料。可保形地形成所述绝缘体材料。由于金属籽晶110可彼此均匀地平行间隔开,因此所形成栅极绝缘体160侧壁可彼此均匀地间隔开,使得在相对栅极绝缘体160侧壁之间界定的每一第一沟槽170界定宽度T(图14)。
本发明实施例的方法包含使衬底50的位于第一沟槽170内的部分暴露。使衬底50的在第一沟槽170内的部分暴露可通过仅在金属籽晶110的第一侧111、第二侧112及/或顶部侧116上而不在第一沟槽170内的衬底50上形成绝缘体材料来实现。使衬底50的在第一沟槽170内的部分暴露可替代地通过在金属籽晶110的第一侧111、第二侧112及顶部侧116上且也在第一沟槽170内的衬底50上形成绝缘体材料、后续接着移除栅极绝缘体160底部壁(即,覆盖第一沟槽170内的衬底50的绝缘体材料)来实现。可通过任何适合技术来实现绝缘体材料的移除,包含蚀刻。
可通过任何适合技术来形成栅极绝缘体160侧壁的绝缘体材料,包含(但不限于)ALD、等离子增强ALD、PVD或其它已知方法。栅极绝缘体160的绝缘体材料可包括任何适合绝缘材料。举例来说(而不限于),栅极绝缘体160的材料可为氧化物。
参考图15,所述方法的本发明实施例可进一步包含用第二绝缘体材料180填充第一沟槽170。第二绝缘体材料180可不仅填充第一沟槽170从而覆盖经暴露衬底50,而且可覆盖栅极绝缘体160顶部壁。可通过任何适合方法来实现用第二绝缘体材料180填充第一沟槽170,包含(而不限于)通过旋涂、毯覆式涂覆、CVD、PVD、原位生长或其它已知方法。第二绝缘体材料180可为任何适合绝缘材料。举例来说(而不限于),第二绝缘体材料180可为常规ILD材料,例如氮化硅。
参考图16,所述方法的本发明实施例可进一步包含:如果必要,那么移除第二绝缘体材料180的部分、栅极绝缘体160材料的部分及栅极导体150材料的部分以暴露金属籽晶110的顶部侧116。此可通过任何适合方法来实现,包含(而不限于)磨料平面化方法,例如化学机械抛光或平面化(“CMP”)或蚀刻工艺。
参考图17到19,所述方法的本发明实施例可进一步包含选择性地移除第二绝缘体材料180的分段以暴露衬底50的下伏于第二绝缘体材料180的被移除的分段下的区段。此可如上文参考图7到9所描述来实现。
根据所述方法的本发明实施例,每一腔200的底部侧205由衬底50的经暴露上部表面接界及界定。每一腔200的顶部侧206保持敞开。
图20及21分别描绘与图10及11中所描绘的处理阶段相同的处理阶段。图20的描述等效于图10的描述,且图21的描述等效于图11的描述。
应理解,此后,图21中所描绘的所形成VFET装置100及阵列300可经受额外处理以形成顶部触点、金属互连件、VFET装置100的阵列300的额外堆叠层等,其结果可为交叉点存储器阵列的形成。可通过本文中未详细描述的常规技术来进行额外处理。
VFET装置100及阵列300可用于包含电耦合到VFET装置100的存储器单元(未展示)的存储器存取装置(未展示)中。所述存储器单元包含顶部电极(未展示)及底部电极(未展示),其耦合到用于漏极的触点(未展示)。源极耦合到另一触点。在偏置源极触点、栅极140及顶部电极后,VFET装置100即刻接“通”且电流流动穿过沟道区130及存储器单元。
尽管所揭示装置结构及方法易于在其实施方案上做出各种修改及替代形式,但已在图式中以实例的方式展示且已在本文中详细地描述特定实施例。然而,应理解,本发明并不打算限制于所揭示的特定形式。而是,本发明涵盖归属于由所附权利要求书及其合法等效内容界定的本发明范围内的所有修改、组合、等效内容、变化及替代方案。

Claims (20)

1.一种半导体装置结构,其包括:
台面,其在衬底上面延伸,所述台面包括:
沟道区,其在所述台面的第一侧与第二侧之间;
第一栅极,其在所述台面的所述第一侧上,所述第一栅极包括:
第一栅极绝缘体;及
第一栅极导体,其包括上覆于所述第一栅极绝缘体上的石墨烯。
2.根据权利要求1所述的半导体装置,其进一步包括:
第二栅极,其在所述台面的所述第二侧上,所述第二栅极包括:
第二栅极绝缘体;及
第二栅极导体,其包括上覆于所述第二栅极绝缘体上的石墨烯。
3.根据权利要求2所述的半导体装置,其进一步包括:
第一金属籽晶,其在所述第一栅极导体上,及
第二金属籽晶,其在所述第二栅极导体上。
4.根据权利要求2所述的半导体装置,其中所述第二栅极操作以结合所述第一栅极来控制所述沟道区中的电流流动。
5.根据权利要求1所述的半导体装置,其中所述第一栅极导体包括至少一个石墨烯层。
6.根据权利要求1所述的半导体装置,其中所述第一栅极导体的厚度小于所述第一栅极绝缘体的厚度。
7.根据权利要求1所述的半导体装置结构,其中所述半导体装置结构安置于垂直晶体管装置阵列内,所述垂直晶体管装置阵列包括:
第一多个所述台面,其在所述衬底上面延伸,所述第一多个台面中的每一台面包括:
所述第一侧及所述第二侧,所述第二侧与所述第一侧相对,所述第一多个所述
台面中的所述台面的所述第一侧彼此对准,且所述第一多个台面中的所述台面的所
述第二侧彼此对准;
所述第一栅极绝缘体,其沿着所述台面的所述第一侧;及
所述第一栅极导体,其沿着所述第一栅极绝缘体;及
第一多个绝缘体材料分段,所述第一多个分段中的每一绝缘体材料分段将所述第一多个所述台面中的所述台面中的一者与所述第一多个台面内的另一台面分离。
8.根据权利要求7所述的半导体装置结构,其中所述第一多个台面中的每一台面进一步包括:
第二栅极绝缘体,其沿着所述第一多个台面中的所述每一台面的所述第二侧;及
第二栅极导体,其沿着所述第二栅极绝缘体,所述第二栅极导体包括石墨烯。
9.根据权利要求7所述的半导体装置结构,其中所述第一栅极导体沿着金属籽晶的垂直侧定位。
10.根据权利要求7所述的半导体装置结构,其中所述垂直晶体管装置阵列进一步包括:
栅极绝缘体侧壁,其包括沿着所述第一多个台面中的所述台面的所述第一侧的所述第一栅极绝缘体;及
栅极导体侧壁,其包括沿着所述第一多个台面中的所述台面的所述第一栅极绝缘体的所述第一栅极导体。
11.一种用于制作半导体装置结构的方法,其包括:
在衬底上形成多个金属籽晶;
在所述多个金属籽晶中的每一者上形成导体材料以形成多个栅极导体;
在所述多个栅极导体中的每一者上形成绝缘体材料以形成多个栅极绝缘体,所述多个栅极绝缘体中的第一栅极绝缘体通过第一沟槽与所述多个栅极绝缘体中的第二栅极绝缘体分离;及
用沟道材料填充所述第一沟槽以形成沟道区。
12.根据权利要求11所述的方法,其中形成多个金属籽晶包括:形成所述多个金属籽晶使得所述金属籽晶彼此间隔开第一距离且平行布置。
13.根据权利要求12所述的方法,其中在所述多个栅极导体中的每一者上形成所述绝缘体材料以形成所述多个栅极绝缘体包括:形成所述绝缘体材料使得所述第一沟槽具有小于所述第一距离的宽度。
14.根据权利要求11所述的方法,其中在所述多个金属籽晶中的每一者上形成导体材料包括:在所述多个金属籽晶中的每一者上形成至少一个石墨烯层。
15.根据权利要求11所述的方法,其中用沟道材料填充所述第一沟槽包括:
用第二绝缘体材料填充所述第一沟槽;
移除所述第二绝缘体材料的分段以暴露所述衬底的下伏区段且界定腔;及
用所述沟道材料填充所述腔以形成在第一侧上由所述第一栅极绝缘体接界且在第二侧上由所述第二栅极绝缘体接界的所述沟道区。
16.根据权利要求15所述的方法,其中在所述多个金属籽晶材料中的每一者上形成导体材料包括:在所述多个金属籽晶材料的每一垂直侧上形成至少一个石墨烯单层。
17.根据权利要求15所述的方法,其中在所述多个栅极导体中的每一者上形成绝缘体材料包括:将氧化物材料上覆于所述多个栅极导体的每一垂直侧上。
18.根据权利要求15所述的方法,其中用第二绝缘体材料填充所述第一沟槽包括:用氧化硅或氮化硅填充所述第一沟槽。
19.根据权利要求15所述的方法,其进一步包括:在用所述沟道材料填充所述腔之后,移除所述多个金属籽晶。
20.根据权利要求15所述的方法,其进一步包括:在用所述沟道材料填充所述腔之前,移除所述第二绝缘体材料的其它分段以暴露所述衬底的其它下伏区段且界定包括所述腔的多个腔,所述多个腔彼此相等地间隔开。
CN201280041260.2A 2011-08-23 2012-08-21 包含垂直晶体管装置的半导体装置结构、垂直晶体管装置阵列及制作方法 Active CN103765595B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/215,968 2011-08-23
US13/215,968 US8969154B2 (en) 2011-08-23 2011-08-23 Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
PCT/US2012/051711 WO2013028685A2 (en) 2011-08-23 2012-08-21 Semiconductor device structures including vertical transistor devices, arrays of vertical transistor devices, and methods of fabrication

Publications (2)

Publication Number Publication Date
CN103765595A true CN103765595A (zh) 2014-04-30
CN103765595B CN103765595B (zh) 2017-02-15

Family

ID=47742422

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280041260.2A Active CN103765595B (zh) 2011-08-23 2012-08-21 包含垂直晶体管装置的半导体装置结构、垂直晶体管装置阵列及制作方法

Country Status (6)

Country Link
US (6) US8969154B2 (zh)
EP (1) EP2748856B1 (zh)
JP (1) JP5883508B2 (zh)
KR (1) KR101570945B1 (zh)
CN (1) CN103765595B (zh)
WO (1) WO2013028685A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107785430A (zh) * 2016-08-24 2018-03-09 三星电子株式会社 半导体装置
CN110391250A (zh) * 2019-06-21 2019-10-29 长江存储科技有限责任公司 一种三维存储器及其制备方法
CN113823676A (zh) * 2020-06-18 2021-12-21 联华电子股份有限公司 半导体装置以及其制作方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8969154B2 (en) 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
JP5813678B2 (ja) * 2013-02-15 2015-11-17 株式会社東芝 半導体装置
JP6161431B2 (ja) * 2013-06-27 2017-07-12 株式会社半導体エネルギー研究所 半導体装置
KR102140148B1 (ko) 2013-11-29 2020-07-31 삼성전자주식회사 이차원 물질을 포함하는 메모리소자와 그 제조방법 및 동작방법
US9812604B2 (en) * 2014-05-30 2017-11-07 Klaus Y. J. Hsu Photosensing device with graphene
US9847233B2 (en) * 2014-07-29 2017-12-19 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
JP6430302B2 (ja) 2015-03-13 2018-11-28 東芝メモリ株式会社 不揮発性半導体記憶装置
WO2016156659A1 (en) * 2015-04-01 2016-10-06 Picosun Oy Ald-deposited graphene on a conformal seed layer
US9690627B2 (en) 2015-09-01 2017-06-27 International Business Machines Corporation Redeployable resource forecasting
US9947592B2 (en) * 2015-11-16 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US9755071B1 (en) 2016-06-30 2017-09-05 International Business Machines Corporation Merged gate for vertical transistors
US9786760B1 (en) 2016-09-29 2017-10-10 International Business Machines Corporation Air gap and air spacer pinch off
US9947664B1 (en) 2016-10-14 2018-04-17 International Business Machines Corporation Semiconductor device and method of forming the semiconductor device
US10622458B2 (en) 2017-05-19 2020-04-14 International Business Machines Corporation Self-aligned contact for vertical field effect transistor
US10636789B2 (en) 2017-05-26 2020-04-28 Qualcomm Incorporated Transistor with low resistivity carbon alloy
CN108933174B (zh) * 2017-05-27 2021-09-14 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
US10134739B1 (en) 2017-07-27 2018-11-20 Globalfoundries Inc. Memory array with buried bitlines below vertical field effect transistors of memory cells and a method of forming the memory array
KR102333036B1 (ko) 2017-08-31 2021-12-02 마이크론 테크놀로지, 인크 금속 산화물 반도체 디바이스의 접촉을 위한 반도체 디바이스, 트랜지스터, 및 관련된 방법
KR102396806B1 (ko) 2017-08-31 2022-05-12 마이크론 테크놀로지, 인크 반도체 장치, 하이브리드 트랜지스터 및 관련 방법
US11121258B2 (en) * 2018-08-27 2021-09-14 Micron Technology, Inc. Transistors comprising two-dimensional materials and related semiconductor devices, systems, and methods
WO2020076850A1 (en) * 2018-10-09 2020-04-16 Micron Technology, Inc. Devices including vertical transistors, and related methods
US10629732B1 (en) * 2018-10-09 2020-04-21 Micron Technology, Inc. Elevationally-extending transistors, devices comprising elevationally-extending transistors, and methods of forming a device comprising elevationally-extending transistors
WO2020076851A1 (en) * 2018-10-09 2020-04-16 Micron Technology, Inc. Devices and electronic systems including vertical transistors, and related methods
US20200111800A1 (en) * 2018-10-09 2020-04-09 Micron Technology, Inc. Methods of forming a device, and related devices, memory devices, and electronic systems
KR102396978B1 (ko) 2018-11-16 2022-05-11 삼성전자주식회사 반도체 장치
US11189588B2 (en) 2018-12-31 2021-11-30 Micron Technology, Inc. Anisotropic conductive film with carbon-based conductive regions and related semiconductor assemblies, systems, and methods
US10854549B2 (en) 2018-12-31 2020-12-01 Micron Technology, Inc. Redistribution layers with carbon-based conductive elements, methods of fabrication and related semiconductor device packages and systems
US10896951B2 (en) 2019-01-10 2021-01-19 Samsung Electronics Co., Ltd. Semiconductor devices
EP4040500A1 (en) * 2021-02-04 2022-08-10 Infineon Technologies AG Transistor device and method of manufacturing

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003209122A (ja) * 2002-01-16 2003-07-25 Seiko Epson Corp 有機半導体装置
US20040026734A1 (en) * 2002-08-06 2004-02-12 Clevenger Lawrence A. Semiconductor chip using both polysilicon and metal gate devices
US20040185683A1 (en) * 2003-03-20 2004-09-23 Hiroki Nakamura Wiring, display device and method of manufacturing the same
US20100025660A1 (en) * 2008-07-31 2010-02-04 University Of Connecticut Semiconductor devices, methods of manufacture thereof and articles comprising the same
CN101783366A (zh) * 2010-02-11 2010-07-21 复旦大学 一种石墨烯mos晶体管的制备方法
US20100295120A1 (en) * 2009-05-20 2010-11-25 Gurtej Sandhu Vertically-oriented semiconductor selection device providing high drive current in cross-point array memory

Family Cites Families (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63131056A (ja) * 1986-11-20 1988-06-03 Terumo Corp Fet電極
US4903189A (en) 1988-04-27 1990-02-20 General Electric Company Low noise, high frequency synchronous rectifier
US5107321A (en) 1990-04-02 1992-04-21 National Semiconductor Corporation Interconnect method for semiconductor devices
US5308782A (en) 1992-03-02 1994-05-03 Motorola Semiconductor memory device and method of formation
US5468661A (en) * 1993-06-17 1995-11-21 Texas Instruments Incorporated Method of making power VFET device
JP3403231B2 (ja) * 1993-05-12 2003-05-06 三菱電機株式会社 半導体装置およびその製造方法
JP3460863B2 (ja) * 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
US5460988A (en) 1994-04-25 1995-10-24 United Microelectronics Corporation Process for high density flash EPROM cell
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5824577A (en) 1995-02-16 1998-10-20 National Semiconductor Corporation MOSFET with reduced leakage current
DE19711482C2 (de) 1997-03-19 1999-01-07 Siemens Ag Verfahren zur Herstellung eines vertikalen MOS-Transistors
US5874745A (en) * 1997-08-05 1999-02-23 International Business Machines Corporation Thin film transistor with carbonaceous gate dielectric
US5899735A (en) 1997-09-19 1999-05-04 Vanguard International Semiconductor Corporation Method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits
US5907170A (en) * 1997-10-06 1999-05-25 Micron Technology, Inc. Circuit and method for an open bit line memory cell with a vertical transistor and trench plate trench capacitor
US6218276B1 (en) 1997-12-22 2001-04-17 Lsi Logic Corporation Silicide encapsulation of polysilicon gate and interconnect
US6406962B1 (en) * 2001-01-17 2002-06-18 International Business Machines Corporation Vertical trench-formed dual-gate FET device structure and method for creation
US6825058B2 (en) 2001-06-28 2004-11-30 Sharp Laboratories Of America, Inc. Methods of fabricating trench isolated cross-point memory array
JP4483152B2 (ja) 2001-11-27 2010-06-16 富士ゼロックス株式会社 中空グラフェンシート構造体及び電極構造体とそれら製造方法並びにデバイス
US6673682B2 (en) * 2002-05-13 2004-01-06 Macronix International Co. Ltd. Methods of fabricating high density mask ROM cells
KR100493381B1 (ko) * 2002-08-16 2005-06-07 엘지.필립스 엘시디 주식회사 액정표시패널
TW548853B (en) * 2002-09-13 2003-08-21 Ind Tech Res Inst Method of manufacturing flexible TFT display
US7071258B1 (en) 2002-10-21 2006-07-04 Nanotek Instruments, Inc. Nano-scaled graphene plates
US6821834B2 (en) * 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
EP1636829B1 (en) 2003-06-12 2016-11-23 Georgia Tech Research Corporation Patterned thin film graphite devices
US7456476B2 (en) * 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6716686B1 (en) * 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US20070187682A1 (en) * 2003-08-28 2007-08-16 Nec Corporation Semiconductor device having fin-type effect transistor
KR100578130B1 (ko) * 2003-10-14 2006-05-10 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 다중 실리콘 핀 및 그형성 방법
US7105390B2 (en) * 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
ATE546837T1 (de) 2004-01-22 2012-03-15 Ibm Vertikal fin-fet-mos-vorrichtungen
US7518182B2 (en) 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US20060046392A1 (en) 2004-08-26 2006-03-02 Manning H M Methods of forming vertical transistor structures
US7144779B2 (en) 2004-09-01 2006-12-05 Micron Technology, Inc. Method of forming epitaxial silicon-comprising material
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
KR100612419B1 (ko) * 2004-10-19 2006-08-16 삼성전자주식회사 핀 트랜지스터 및 평판 트랜지스터를 갖는 반도체 소자 및그 형성 방법
US7381608B2 (en) * 2004-12-07 2008-06-03 Intel Corporation Method for making a semiconductor device with a high-k gate dielectric and a metal gate electrode
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
KR100630746B1 (ko) * 2005-05-06 2006-10-02 삼성전자주식회사 멀티-비트 및 멀티-레벨 비휘발성 메모리 소자 및 그 동작및 제조 방법
US7205601B2 (en) * 2005-06-09 2007-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET split gate EEPROM structure and method of its fabrication
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023839A1 (en) * 2005-07-27 2007-02-01 International Business Machines Corporation Finfet gate formed of carbon nanotubes
US8188551B2 (en) * 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
KR100652433B1 (ko) * 2005-09-08 2006-12-01 삼성전자주식회사 다중 비트 저장이 가능한 비휘발성 메모리 소자 및 그 제조방법
JP2007080995A (ja) * 2005-09-13 2007-03-29 Toshiba Corp 半導体装置
US7479421B2 (en) * 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US7968394B2 (en) * 2005-12-16 2011-06-28 Freescale Semiconductor, Inc. Transistor with immersed contacts and methods of forming thereof
US7498211B2 (en) * 2005-12-28 2009-03-03 Intel Corporation Independently controlled, double gate nanowire memory cell with self-aligned contacts
US8309953B2 (en) * 2006-01-09 2012-11-13 Technion Research And Development Foundation Ltd. Transistor structures and methods of fabrication thereof
KR100683867B1 (ko) * 2006-02-09 2007-02-15 삼성전자주식회사 반도체 소자 및 그 형성 방법
US7473946B2 (en) * 2006-02-22 2009-01-06 International Business Machines Corporation CMOS structure and method including multiple crystallographic planes
US7842558B2 (en) * 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
JP2007250665A (ja) * 2006-03-14 2007-09-27 Toshiba Corp 半導体装置及びその製造方法
JP2007258485A (ja) * 2006-03-23 2007-10-04 Toshiba Corp 半導体装置及びその製造方法
US20070246765A1 (en) * 2006-03-30 2007-10-25 Lars Bach Semiconductor memory device and method for production
KR100739532B1 (ko) * 2006-06-09 2007-07-13 삼성전자주식회사 매몰 비트라인 형성 방법
US7714386B2 (en) * 2006-06-09 2010-05-11 Northrop Grumman Systems Corporation Carbon nanotube field effect transistor
KR100767399B1 (ko) * 2006-07-03 2007-10-17 삼성전자주식회사 핀-펫을 포함하는 반도체 장치의 제조 방법
US7359226B2 (en) 2006-08-28 2008-04-15 Qimonda Ag Transistor, memory cell array and method for forming and operating a memory device
US20080099814A1 (en) 2006-10-30 2008-05-01 Qimonda Ag Integrated circuit and method for production
US10540704B2 (en) * 2007-09-06 2020-01-21 Mohammad A. Mazed System and method for machine learning based user application
KR100836761B1 (ko) * 2006-12-08 2008-06-10 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조방법
US8168964B2 (en) 2007-03-02 2012-05-01 Nec Corporation Semiconductor device using graphene and method of manufacturing the same
KR101334181B1 (ko) * 2007-04-20 2013-11-28 삼성전자주식회사 선택적으로 결정화된 채널층을 갖는 박막 트랜지스터 및 그제조 방법
FR2917896B1 (fr) * 2007-06-21 2009-11-06 Commissariat Energie Atomique Transistor a effet de champ a contacts electriques alternes.
US7732859B2 (en) 2007-07-16 2010-06-08 International Business Machines Corporation Graphene-based transistor
TWI345671B (en) * 2007-08-10 2011-07-21 Au Optronics Corp Thin film transistor, pixel structure and liquid crystal display panel
TWI330407B (en) * 2007-08-13 2010-09-11 Au Optronics Corp Method of manufacturing thin film transistor and display device applied with the same
US7824741B2 (en) 2007-08-31 2010-11-02 Micron Technology, Inc. Method of forming a carbon-containing material
EP2204074B1 (en) 2007-09-10 2019-11-06 University of Florida Research Foundation, Inc. Nanotube enabled, gate-voltage controlled light emitting diodes
TW200913162A (en) * 2007-09-11 2009-03-16 Univ Nat Chiao Tung Nonvolatile memory device with nanowire channel and a method for fabricating the same
US20090085157A1 (en) * 2007-09-28 2009-04-02 Klaus Muemmler Manufacturing method for an integrated circuit, corresponding intermediate integrated circuit structure and corresponding integrated circuit
US7772059B2 (en) 2008-01-16 2010-08-10 Texas Instruments Incorporated Method for fabricating graphene transistors on a silicon or SOI substrate
US8159791B2 (en) * 2008-02-06 2012-04-17 Hitachi Global Storage Technologies Netherlands B.V. Magnetoresistive sensor having quantum well structure and a trapping layer for preventing charge carrier migration
US7915659B2 (en) * 2008-03-06 2011-03-29 Micron Technology, Inc. Devices with cavity-defined gates and methods of making the same
US7969776B2 (en) * 2008-04-03 2011-06-28 Micron Technology, Inc. Data cells with drivers and methods of making and operating the same
US8022487B2 (en) * 2008-04-29 2011-09-20 Intel Corporation Increasing body dopant uniformity in multi-gate transistor devices
CN101582448B (zh) * 2008-05-14 2012-09-19 清华大学 薄膜晶体管
US7952088B2 (en) * 2008-07-11 2011-05-31 International Business Machines Corporation Semiconducting device having graphene channel
US7993986B2 (en) * 2008-08-29 2011-08-09 Advanced Micro Devices, Inc. Sidewall graphene devices for 3-D electronics
US8507840B2 (en) * 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
JP4623179B2 (ja) * 2008-09-18 2011-02-02 ソニー株式会社 薄膜トランジスタおよびその製造方法
CN102496628B (zh) * 2008-09-19 2015-09-16 株式会社半导体能源研究所 显示装置
US7863136B2 (en) * 2008-09-30 2011-01-04 Qimonda Ag Method of manufacturing integrated circuits including a FET with a gate spacer and a fin
US8067803B2 (en) * 2008-10-16 2011-11-29 Micron Technology, Inc. Memory devices, transistor devices and related methods
US8741702B2 (en) * 2008-10-24 2014-06-03 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
KR100962898B1 (ko) * 2008-11-14 2010-06-10 엘지이노텍 주식회사 반도체 발광소자 및 그 제조방법
JP2010123758A (ja) * 2008-11-19 2010-06-03 Nec Corp 薄膜デバイス及びその製造方法
WO2010065517A1 (en) * 2008-12-01 2010-06-10 The Trustees Of Columbia University In The City Of New York Electromechanical devices and methods for fabrication of the same
KR101182403B1 (ko) * 2008-12-22 2012-09-13 한국전자통신연구원 투명 트랜지스터 및 그의 제조 방법
KR20100075100A (ko) * 2008-12-24 2010-07-02 서울대학교산학협력단 잉크젯 프린팅 방법을 이용한 유기 전계효과 트랜지스터의 활성 채널층 형성방법 및 이를 이용한 유기 전계효과 트랜지스터
JP5590877B2 (ja) 2008-12-26 2014-09-17 株式会社半導体エネルギー研究所 半導体装置
DE102008063429B4 (de) * 2008-12-31 2015-03-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Einstellen der Konfiguration eines Mehr-Gatetransistors durch Steuern einzelner Stege
US8120073B2 (en) * 2008-12-31 2012-02-21 Intel Corporation Trigate transistor having extended metal gate electrode
GB2467357B (en) * 2009-01-30 2011-09-21 Cambridge Display Tech Ltd Organic thin film transistors
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US20100213458A1 (en) * 2009-02-23 2010-08-26 Micron Technology, Inc. Rigid semiconductor memory having amorphous metal oxide semiconductor channels
JP5356066B2 (ja) 2009-02-24 2013-12-04 株式会社東芝 スイッチング素子及び不揮発性記憶装置
JP2010212619A (ja) 2009-03-12 2010-09-24 Toshiba Corp グラフェンの作製方法、グラフェン、グラフェン作製装置及び半導体素子
WO2010113518A1 (ja) * 2009-04-01 2010-10-07 国立大学法人北海道大学 電界効果トランジスタ
KR101156620B1 (ko) 2009-04-08 2012-06-14 한국전자통신연구원 그라핀 채널층을 가지는 전계 효과 트랜지스터
US8187938B2 (en) * 2009-04-13 2012-05-29 Hynix Semiconductor Inc. Non-volatile memory device and method for fabricating the same
US8110467B2 (en) * 2009-04-21 2012-02-07 International Business Machines Corporation Multiple Vt field-effect transistor devices
JP5352334B2 (ja) 2009-04-27 2013-11-27 株式会社日立製作所 グラフェンと金属電極との電気的接合デバイス、それを用いた電子デバイス,電子集積回路及び光/電子集積回路
US8076717B2 (en) 2009-05-20 2011-12-13 Micron Technology, Inc. Vertically-oriented semiconductor selection device for cross-point array memory
JP4794692B2 (ja) * 2009-06-24 2011-10-19 パナソニック株式会社 半導体装置の製造方法
JP2011014754A (ja) * 2009-07-03 2011-01-20 Elpida Memory Inc 半導体集積回路装置
JP5395542B2 (ja) * 2009-07-13 2014-01-22 株式会社東芝 半導体装置
CN101997035B (zh) * 2009-08-14 2012-08-29 清华大学 薄膜晶体管
KR101119916B1 (ko) 2009-08-24 2012-03-13 삼성전자주식회사 그래핀 전극과 유기물/무기물 복합소재를 사용한 전자 소자 및 그 제조 방법
US8124463B2 (en) * 2009-09-21 2012-02-28 International Business Machines Corporation Local bottom gates for graphene and carbon nanotube devices
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
KR101584113B1 (ko) * 2009-09-29 2016-01-13 삼성전자주식회사 3차원 반도체 메모리 장치 및 그 제조 방법
US8143121B2 (en) * 2009-10-01 2012-03-27 Nanya Technology Corp. DRAM cell with double-gate fin-FET, DRAM cell array and fabrication method thereof
US8536023B2 (en) * 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
KR101081193B1 (ko) * 2009-10-15 2011-11-07 엘지이노텍 주식회사 반도체 발광소자 및 그 제조방법
KR101603771B1 (ko) * 2009-10-21 2016-03-16 삼성전자주식회사 2차원 시트 물질을 이용한 전자 소자 및 그 제조 방법
US8653608B2 (en) * 2009-10-27 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design with reduced current crowding
DE102009046246B4 (de) * 2009-10-30 2012-04-12 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellverfahren und Halbleiterbauelement mit Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage global verformter Halbleiterbasisschichten
KR101603766B1 (ko) 2009-11-13 2016-03-15 삼성전자주식회사 그라펜 적층체 및 그의 제조방법
US8106383B2 (en) * 2009-11-13 2012-01-31 International Business Machines Corporation Self-aligned graphene transistor
JP5515660B2 (ja) * 2009-11-13 2014-06-11 セイコーエプソン株式会社 半導体装置用基板の製造方法
US20110127492A1 (en) * 2009-11-30 2011-06-02 International Business Machines Corporation Field Effect Transistor Having Nanostructure Channel
US8426923B2 (en) * 2009-12-02 2013-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate semiconductor device and method
TWI492432B (zh) * 2009-12-17 2015-07-11 Hitachi Ltd Semiconductor memory device and manufacturing method thereof
WO2011074987A1 (en) * 2009-12-17 2011-06-23 Universitetssenteret På Kjeller Field effect transistor structure
US8421164B2 (en) * 2010-01-05 2013-04-16 Micron Technology, Inc. Memory cell array with semiconductor selection device for multiple memory cells
US8101474B2 (en) * 2010-01-06 2012-01-24 International Business Machines Corporation Structure and method of forming buried-channel graphene field effect device
US8242560B2 (en) * 2010-01-15 2012-08-14 International Business Machines Corporation FinFET with thin gate dielectric layer
US8310013B2 (en) * 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8927057B2 (en) * 2010-02-22 2015-01-06 International Business Machines Corporation Graphene formation utilizing solid phase carbon sources
KR101129930B1 (ko) * 2010-03-09 2012-03-27 주식회사 하이닉스반도체 반도체 소자 및 그의 형성 방법
JP2011192667A (ja) * 2010-03-11 2011-09-29 Toshiba Corp トランジスタおよびその製造方法
JP2011216647A (ja) * 2010-03-31 2011-10-27 Dainippon Printing Co Ltd パターン形成体の製造方法、機能性素子の製造方法および半導体素子の製造方法
DE102010029527B4 (de) * 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
EP2393118A1 (en) * 2010-06-02 2011-12-07 Nanya Technology Corporation Single-gate FinFET and fabrication method thereof
US8716095B2 (en) * 2010-06-03 2014-05-06 Institute of Microelectronics, Chinese Academy of Sciences Manufacturing method of gate stack and semiconductor device
JP2012015436A (ja) * 2010-07-05 2012-01-19 Sony Corp 薄膜トランジスタおよび表示装置
DE102010038742B4 (de) * 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren und Halbleiterbauelement basierend auf einer Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
US8642416B2 (en) * 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
JP5590125B2 (ja) * 2010-08-05 2014-09-17 富士通株式会社 半導体装置の製造方法
US8796733B2 (en) * 2010-08-09 2014-08-05 University Of Notre Dame Du Lac Low voltage tunnel field-effect transistor (TFET) and method of making same
US8431486B2 (en) * 2010-08-10 2013-04-30 International Business Machines Corporation Interconnect structure for improved time dependent dielectric breakdown
US8207032B2 (en) * 2010-08-31 2012-06-26 Micron Technology, Inc. Methods of forming pluralities of vertical transistors, and methods of forming memory arrays
US8344358B2 (en) * 2010-09-07 2013-01-01 International Business Machines Corporation Graphene transistor with a self-aligned gate
US8378394B2 (en) * 2010-09-07 2013-02-19 International Business Machines Corporation Method for forming and structure of a recessed source/drain strap for a MUGFET
US8785261B2 (en) * 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US8530273B2 (en) * 2010-09-29 2013-09-10 Guardian Industries Corp. Method of making oxide thin film transistor array
KR101723864B1 (ko) * 2010-10-08 2017-04-07 삼성전자주식회사 수직 채널 트랜지스터를 구비하는 반도체 장치 및 그 제조 방법
TWI532214B (zh) * 2010-10-12 2016-05-01 Lg伊諾特股份有限公司 發光元件及其封裝
KR101755635B1 (ko) * 2010-10-14 2017-07-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8921899B2 (en) * 2010-11-19 2014-12-30 Micron Technology, Inc. Double gated 4F2 dram CHC cell and methods of fabricating the same
KR101995082B1 (ko) * 2010-12-03 2019-07-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 산화물 반도체막 및 반도체 장치
KR101736970B1 (ko) * 2010-12-17 2017-05-30 삼성전자주식회사 그래핀 전자 소자 및 제조방법
US8431458B2 (en) * 2010-12-27 2013-04-30 Micron Technology, Inc. Methods of forming a nonvolatile memory cell and methods of forming an array of nonvolatile memory cells
US20120168723A1 (en) * 2010-12-29 2012-07-05 Electronics And Telecommunications Research Institute Electronic devices including graphene and methods of forming the same
TWI487108B (zh) * 2011-01-13 2015-06-01 Prime View Int Co Ltd Metal oxide semiconductor structure and manufacturing method thereof
US8617941B2 (en) * 2011-01-16 2013-12-31 International Business Machines Corporation High-speed graphene transistor and method of fabrication by patternable hard mask materials
TWI602303B (zh) * 2011-01-26 2017-10-11 半導體能源研究所股份有限公司 半導體裝置及其製造方法
US8957442B2 (en) * 2011-02-11 2015-02-17 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device and display device
US9162885B2 (en) * 2011-02-17 2015-10-20 Rutgers, The State University Of New Jersey Graphene-encapsulated nanoparticle-based biosensor for the selective detection of biomarkers
TWI538215B (zh) * 2011-03-25 2016-06-11 半導體能源研究所股份有限公司 場效電晶體及包含該場效電晶體之記憶體與半導體電路
KR101813173B1 (ko) * 2011-03-30 2017-12-29 삼성전자주식회사 반도체소자와 그 제조방법 및 반도체소자를 포함하는 전자장치
US8501531B2 (en) * 2011-04-07 2013-08-06 The United States Of America, As Represented By The Secretary Of The Navy Method of forming graphene on a surface
US8642996B2 (en) * 2011-04-18 2014-02-04 International Business Machines Corporation Graphene nanoribbons and carbon nanotubes fabricated from SiC fins or nanowire templates
US9006803B2 (en) * 2011-04-22 2015-04-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing thereof
KR101244768B1 (ko) * 2011-05-04 2013-03-19 한국과학기술원 그래핀 게이트 전극을 이용한 비휘발성 메모리 소자
KR101850703B1 (ko) * 2011-05-17 2018-04-23 삼성전자 주식회사 반도체 장치 및 그 제조 방법
JP6206729B2 (ja) * 2011-05-23 2017-10-04 ナショナル ユニバーシティ オブ シンガポール 薄膜の転写方法
KR101797961B1 (ko) * 2011-06-09 2017-11-16 삼성전자주식회사 반도체 장치의 제조 방법
US9331272B2 (en) * 2011-06-10 2016-05-03 Seoul National University R&Db Foundation 3-dimensional (3D) non-volatile memory device and method of fabricating the same
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US8685850B2 (en) * 2011-06-13 2014-04-01 Stmicroelectronics, Inc. System and method of plating conductive gate contacts on metal gates for self-aligned contact interconnections
EP2535937B1 (en) * 2011-06-17 2015-03-11 Friedrich-Alexander-Universität Erlangen-Nürnberg Electronic device
US10177170B2 (en) * 2011-06-24 2019-01-08 Sharp Kabushiki Kaisha Display device and method for manufacturing same
US8673426B2 (en) * 2011-06-29 2014-03-18 Semiconductor Energy Laboratory Co., Ltd. Driver circuit, method of manufacturing the driver circuit, and display device including the driver circuit
US9553195B2 (en) * 2011-06-30 2017-01-24 Applied Materials, Inc. Method of IGZO and ZNO TFT fabrication with PECVD SiO2 passivation
US8394682B2 (en) * 2011-07-26 2013-03-12 Micron Technology, Inc. Methods of forming graphene-containing switches
US8514626B2 (en) * 2011-07-26 2013-08-20 Micron Technology, Inc. Memory cells and methods of storing information
US8969154B2 (en) 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US9177872B2 (en) * 2011-09-16 2015-11-03 Micron Technology, Inc. Memory cells, semiconductor devices, systems including such cells, and methods of fabrication
US8803129B2 (en) * 2011-10-11 2014-08-12 International Business Machines Corporation Patterning contacts in carbon nanotube devices
US8735971B2 (en) * 2011-12-02 2014-05-27 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device
KR101906406B1 (ko) * 2011-12-30 2018-12-10 삼성전자주식회사 수직 구조의 비휘발성 메모리 소자 및 그 제조방법
KR101888003B1 (ko) * 2012-04-09 2018-08-13 삼성전자주식회사 보디 바이어스 효과로 문턱전압을 조절할 수 있는 트랜지스터를 갖는 반도체 소자 및 그 제조방법
US20140004666A1 (en) * 2012-06-28 2014-01-02 International Business Machines Corporation Passivation of carbon nanotubes with molecular layers
US8815739B2 (en) * 2012-07-10 2014-08-26 Globalfoundries Inc. FinFET device with a graphene gate electrode and methods of forming same
US9093302B2 (en) * 2013-11-13 2015-07-28 Globalfoundries Inc. Methods of forming substantially self-aligned isolation regions on FinFET semiconductor devices and the resulting devices
EP2884542A3 (en) * 2013-12-10 2015-09-02 IMEC vzw Integrated circuit device with power gating switch in back end of line
JP6585354B2 (ja) * 2014-03-07 2019-10-02 株式会社半導体エネルギー研究所 半導体装置
US9614040B1 (en) * 2016-02-02 2017-04-04 International Business Machines Corporation Strained silicon germanium fin with block source/drain epitaxy and improved overlay capacitance
KR102476143B1 (ko) * 2016-02-26 2022-12-12 삼성전자주식회사 반도체 장치
US10008583B1 (en) * 2017-05-08 2018-06-26 Samsung Electronics Co., Ltd. Gate-all-around nanosheet field-effect transistors and methods of manufacturing the same
KR102396806B1 (ko) * 2017-08-31 2022-05-12 마이크론 테크놀로지, 인크 반도체 장치, 하이브리드 트랜지스터 및 관련 방법
US10446664B1 (en) * 2018-03-20 2019-10-15 International Business Machines Corporation Inner spacer formation and contact resistance reduction in nanosheet transistors
CN114303241A (zh) * 2019-08-28 2022-04-08 美光科技公司 具有双晶体管垂直存储器单元及共享沟道区域的存储器装置
US11527623B2 (en) * 2020-07-28 2022-12-13 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US11476254B2 (en) * 2021-01-29 2022-10-18 Micron Technology, Inc. Support pillars for vertical three-dimensional (3D) memory
US11631681B2 (en) * 2021-03-02 2023-04-18 Micron Technology, Inc. Vertical contacts for semiconductor devices
US11641732B2 (en) * 2021-04-22 2023-05-02 Micron Technology, Inc. Self-aligned etch back for vertical three dimensional (3D) memory

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003209122A (ja) * 2002-01-16 2003-07-25 Seiko Epson Corp 有機半導体装置
US20040026734A1 (en) * 2002-08-06 2004-02-12 Clevenger Lawrence A. Semiconductor chip using both polysilicon and metal gate devices
US20040185683A1 (en) * 2003-03-20 2004-09-23 Hiroki Nakamura Wiring, display device and method of manufacturing the same
US20100025660A1 (en) * 2008-07-31 2010-02-04 University Of Connecticut Semiconductor devices, methods of manufacture thereof and articles comprising the same
US20100295120A1 (en) * 2009-05-20 2010-11-25 Gurtej Sandhu Vertically-oriented semiconductor selection device providing high drive current in cross-point array memory
CN101783366A (zh) * 2010-02-11 2010-07-21 复旦大学 一种石墨烯mos晶体管的制备方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107785430A (zh) * 2016-08-24 2018-03-09 三星电子株式会社 半导体装置
CN107785430B (zh) * 2016-08-24 2023-02-28 三星电子株式会社 半导体装置
CN110391250A (zh) * 2019-06-21 2019-10-29 长江存储科技有限责任公司 一种三维存储器及其制备方法
CN113823676A (zh) * 2020-06-18 2021-12-21 联华电子股份有限公司 半导体装置以及其制作方法
CN113823676B (zh) * 2020-06-18 2023-07-04 联华电子股份有限公司 半导体装置以及其制作方法

Also Published As

Publication number Publication date
JP2014524672A (ja) 2014-09-22
US11652173B2 (en) 2023-05-16
US9356155B2 (en) 2016-05-31
US20130049120A1 (en) 2013-02-28
US10002935B2 (en) 2018-06-19
US20180301539A1 (en) 2018-10-18
CN103765595B (zh) 2017-02-15
WO2013028685A2 (en) 2013-02-28
US11011647B2 (en) 2021-05-18
US20200027990A1 (en) 2020-01-23
US20210273111A1 (en) 2021-09-02
KR101570945B1 (ko) 2015-11-20
EP2748856A4 (en) 2015-07-29
US10446692B2 (en) 2019-10-15
EP2748856B1 (en) 2020-12-02
US20150236164A1 (en) 2015-08-20
US20160276454A1 (en) 2016-09-22
JP5883508B2 (ja) 2016-03-15
US8969154B2 (en) 2015-03-03
KR20140036320A (ko) 2014-03-25
WO2013028685A3 (en) 2013-05-16
EP2748856A2 (en) 2014-07-02

Similar Documents

Publication Publication Date Title
CN103765595A (zh) 包含垂直晶体管装置的半导体装置结构、垂直晶体管装置阵列及制作方法
US8603893B1 (en) Methods for fabricating FinFET integrated circuits on bulk semiconductor substrates
CN105793968B (zh) 包括源极/漏极和栅极通道的半导体器件
CN109817618A (zh) 互补场效应晶体管中的外延结构
US11575050B2 (en) Semiconductor structure having both gate-all-around devices and planar devices
US11342339B2 (en) Method of making six transistor SRAM cell using connections between 3D transistor stacks
US20210249424A1 (en) Memory devices and methods of manufacturing thereof
US20240090236A1 (en) Multi-level magnetic tunnel junction nor device with wrap-around gate electrodes and methods for forming the same
US11171208B2 (en) High performance circuit applications using stacked 3D metal lines
CN112216695A (zh) 半导体装置及形成半导体装置的方法
US11527609B2 (en) Increasing device density and reducing cross-talk spacer structures
US20210384128A1 (en) Semiconductor device and manufacturing method thereof
CN104282748B (zh) 半导体器件及其制造方法
CN106158878A (zh) 制作增强utbb fdsoi器件的方法和结构
US20240105725A1 (en) Cfet with asymmetric source/drain features
US20240079475A1 (en) Methods of compact cell design for logic applications
US20240145539A1 (en) Stacked transistors having multiple gate dielectrics and multiple work function metals
US20230068854A1 (en) Method of making a plurality of 3d semiconductor devices with enhanced mobility and conductivity
US11335599B2 (en) Self-aligned contacts for 3D logic and memory
US20230178436A1 (en) 3d nano sheet with high density 3d metal routing
US11557519B2 (en) Optimum high density 3D device layout and method of fabrication
US20230378364A1 (en) 3d design with method of integration of high performance transistors using a streamlined process flow
US20230260851A1 (en) 3d single crystal silicon nano sheets integrated with 2d material channel and s/d diode enhancement
US20240113114A1 (en) Methods for forming high performance 3d nano sheet devices
US20230079751A1 (en) Forming n-type and p-type horizontal gate-all-around devices

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant