US5899735A - Method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits - Google Patents

Method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits Download PDF

Info

Publication number
US5899735A
US5899735A US08/933,960 US93396097A US5899735A US 5899735 A US5899735 A US 5899735A US 93396097 A US93396097 A US 93396097A US 5899735 A US5899735 A US 5899735A
Authority
US
United States
Prior art keywords
layer
silicide
polysilicon
polycide
polysilicon layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/933,960
Inventor
Horng-Huei Tseng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Vanguard International Semiconductor Corp
Original Assignee
Vanguard International Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard International Semiconductor Corp filed Critical Vanguard International Semiconductor Corp
Priority to US08/933,960 priority Critical patent/US5899735A/en
Assigned to VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION reassignment VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TSENG, HORNG-HUEI
Application granted granted Critical
Publication of US5899735A publication Critical patent/US5899735A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor

Definitions

  • the present invention relates to a method for making low-resistance contacts between polysilicon and metal silicide for multilevel interconnections on semiconductor integrated circuits. More particularly, the method relates to forming low-resistance contacts using a rapid thermal process (RTP), thereby eliminating the need to overetch the contact holes to remove the silicide between interconnecting polycide layers.
  • RTP rapid thermal process
  • the current minimum feature size of the gate electrodes for field effect transistor semiconductor devices is sub-half-micromenter ( ⁇ m) (about 0.35 ⁇ m or less).
  • ⁇ m sub-half-micromenter
  • R c contact resistance
  • current contact hole feature sizes are now typically much less than 0.5 micrometer ( ⁇ m), and to make contacts to the FET gate electrodes, the contacts must be less than 0.35 ⁇ m in width or diameter.
  • FETs field effect transistors
  • a further concern is the wide distribution in contact resistance (R c ) that can occur over the large number of contact holes that are simultaneously etched, and is also very undesirable.
  • tungsten polycide (silicide (WSi 2 )/polysilicon) layers used to form the gate electrodes of the pass transistors (FETS) and the word lines for the dynamic random access memory (DRAM) and other integrated circuits, such as static random access memory (SRAM), microprocessors, and the like.
  • contact holes are etched in the dielectric layer to the first polycide layer, it is difficult to form consistently low contact resistance.
  • contacts having minimum feature sizes of 0.5 ⁇ m or less can have contact resistance that varies from as low as 100 ohms to values exceeding 2000 ohms.
  • interface treatments such as plasma etching in a gas mixture containing CH 4 and O 2 to treat the tungsten silicide surface, are not effective, even when portions of the top surface of the first silicide layer are removed.
  • implant doping of the tungsten silicide layer in the contact holes does not provide consistently low contact resistance.
  • One method of consistently reducing the contact resistance is to completely remove the first silicide layer in the contact holes. However, it would be desirable to avoid overetching the contact holes and removing completely the first silicide layer to the first polysilicon layer.
  • S. Pat. No. 5,109,258 teaches a method for making memory cells by selective oxidation of polysilicon, and uses a platinum silicide layer on the gate to provide low resistance, but does not address the problem of high contact resistance as commonly experienced with the refractory metals, such as tungsten.
  • Mutsaers et al., U.S. Pat. No. 5,399,235 teach a method for making two levels of aluminum conducting tracks, and would not be concerned or address high contact resistance between polycide layers.
  • Still another object is to provide a simple manufacturing process that is cost effective.
  • a method for making electrical contacts between patterned polycide layers having low resistance (R c ).
  • the method is generally applicable for making electrical interconnections on integrated circuits, and is particularly useful for making contacts between the polycide word lines and polycide gate electrodes for field effect transistors (FETs) on DRAM cells.
  • the method begins by providing a semiconductor substrate, such as single-crystal silicon wafers.
  • the FETs are made in device areas that are isolated from each other by field oxide (FOX) isolation regions.
  • FOX field oxide
  • the method is specifically described for forming the polycide word lines making low-resistance contacts to the polycide gate electrodes for the FETs.
  • a thin gate oxide is grown on the device areas, and a first polysilicon layer is deposited on the substrate.
  • the first polysilicon layer is N + doped, for example with arsenic (As) or phosphorus (P), making it electrically conducting.
  • a first silicide layer composed of tungsten silicide is then deposited on the first polysilicon layer to form a first polycide layer that further improves the electrical conductivity.
  • a photoresist mask and anisotropic plasma etching are then used to pattern the first polycide (polysilicon/silicide) layer to form the FET gate electrodes over the device areas.
  • source/drain areas are formed adjacent to the gate electrodes by ion implantation.
  • the ion implantation also forms buried bit lines for the array of DRAM cells.
  • the implant would typically be carried out using As or P ions.
  • a dielectric layer is deposited over the patterned first polycide layer (gate electrodes) to insulate the first polycide layer from the next level of interconnections.
  • Contact holes are etched in the dielectric layer to the patterned first polycide layer (gate electrodes) for making the electrical connections to the next level of polycide interconnections.
  • the next level of interconnections serves as the word lines for the gate electrodes on the array of DRAM cells.
  • the contact holes would be overetched to remove a portion or all of the first silicide layer to reduce the contact resistance.
  • a photoresist mask and anisotropic etching are used to etch the contact holes in the dielectric layer and expose the surface of the first silicide layer that forms part of the first polycide layer.
  • a doped second polysilicon layer is deposited over the dielectric layer and into the contact holes, making contact to the exposed top surface of the first silicide layer.
  • the second polysilicon layer can be doped either by implanting after the polysilicon is deposited or by in-situ doping during the polysilicon deposition. For example, if N-channel FETs are made for the array of DRAM cells, then the second polysilicon can be doped with an N + type dopant, such as phosphorus or arsenic.
  • a rapid thermal process is carried out in an inert atmosphere to improve the interface between the first WSi 2 layer and the second polysilicon layer prior to depositing the second silicide layer.
  • the RTP is carried out at a relatively high temperature (e.g., 800-1100° C.) in an inert gas, such as argon (Ar) or helium (He).
  • an inert gas such as argon (Ar) or helium (He).
  • Ar argon
  • He helium
  • R c contact resistance
  • contacts having a contact resistance as low as about 10 ohms can be achieved.
  • a second tungsten silicide (WSi 2 ) layer is deposited to form the second polycide layer.
  • FIGS. 1 through 4 are schematic cross-sectional views showing the sequence of process steps for making two levels of polycide interconnections using a high-temperature rapid thermal process (RTP) for reducing the contact resistance (R c ).
  • RTP rapid thermal process
  • FIGS. 1 through 4 an embodiment of the invention is described for making contacts having low resistance through contact holes in a dielectric layer between two patterned polycide layers.
  • the polycide layers are used to make electrical interconnections on integrated circuits.
  • the series of steps used to form the contacts having this low contact resistance begins by providing a semiconductor substrate 10, a portion of which is shown in FIG. 1 having a partially completed device (FET) in the device area.
  • the preferred substrate is composed of a single-crystal silicon having a ⁇ 100> crystallographic orientation.
  • Either a P-type or an N-type conductively doped substrate can be used for the purpose of this invention, or alternatively the substrate can have P-wells or N-wells for forming CMOS-type integrated circuits.
  • FIG. 1 For simplify the discussion, only a P - substrate is shown in FIG. 1 for providing N-channel FETs for pass transistors on an array of DRAM cells.
  • the method of this invention is shown for making contacts with low resistance to a first polycide layer that can also serve as the gate electrodes for N-channel FETs.
  • FOX regions are used to isolate the device areas, but are not shown in FIG. 1 to simplify the drawings and discussion.
  • the FET is formed by first growing a thin oxide layer 12 by thermal oxidation on the device areas to form the FET gate oxide. Typically, the gate oxide is grown to a thickness of between about 50 and 200 Angstroms.
  • the gate electrode for the FET is then formed by depositing a first polysilicon layer 14 on the substrate over the gate oxide 12. The preferred thickness of the first polysilicon layer is between about 1000 and 2000 Angstroms.
  • the polysilicon layer 14 is deposited, for example, by low-pressure chemical vapor deposition (LPCVD).
  • the polysilicon layer 14 is then doped by ion implantation using phosphorus (p 31 ) or arsenic (As 75 ) ions.
  • the dopant concentration after implanting is between about 5.0 E 19 and 1.0 E 21 atoms/cm 3 .
  • a first silicide layer 16 is then deposited on the first polysilicon layer 14 to form the first polycide layer, which further improves the electrical conductivity.
  • the silicide layer is composed of a tungsten silicide (WSi 2 ) having a thickness of about 1000 to 2000 Angstroms.
  • One preferred method for forming the silicide layer is by chemical vapor deposition (CVD) using, for example, tungsten hexafluoride (WF 6 ) and silane (SiH 4 ).
  • the cross section in FIG. 1 depicts the patterned first polycide layer 2.
  • the patterned polycide layer is commonly used to form the gate electrode for the FET over the gate oxide 12 in the device area, portions of which are shown in FIG. 1 for the cross section along the channel length of the FET.
  • the FET gate electrodes can also be made with lightly doped source/drains (LDDs) adjacent to the FET gate electrodes by using sidewall insulating spacers, but are not described here and are not shown in the FIGS. since they are not essential to this invention.
  • LDDs lightly doped source/drains
  • the source/drain contact areas 18A and 18B are formed adjacent to the gate electrodes.
  • the source/drain contact areas are preferably formed by ion implanting using the gate electrode as an implant mask to self-align the source/drain contacts, while using an additional photoresist block-out mask to prevent implanting in other areas of the substrate where the implant is not required.
  • the source/drain contact areas are doped with an N + type dopant, such as As or P to a concentration of between about 5.0 E 20 and 5.0 E 22 atoms/cm 3 .
  • one of the two source/drain areas, such as 18B, can also serve as the buried bit line, and the other source/drain area, such as 18A, can serve as the node contact for the capacitor.
  • a dielectric layer 20, as shown in FIG. 1, is deposited over the patterned first polycide layer 2 to insulate the first polycide layer from the next level of interconnections.
  • the dielectric layer 20 is composed of a silicon oxide (SiO 2 ) and is deposited, for example, by LPCVD using a reactant gas such as tetraethosiloxane (TEOS) in a reactor.
  • TEOS tetraethosiloxane
  • the preferred thickness of the dielectric layer 20 is between about 3000 and 10000 Angstroms.
  • layer 20 can be planarized, for example, by chemical/mechanical polishing (CMP) or by other planarizing methods, such as by etch-back techniques, to provide a more planar surface for the next level of interconnections.
  • the etching can be carried out in a high-density plasma etcher using an etchant gas mixture such as trifluoromethane (CHF 3 ), argon (Ar), and oxygen (O 2 ).
  • an etchant gas mixture such as trifluoromethane (CHF 3 ), argon (Ar), and oxygen (O 2 ).
  • the etchant gas mixture can be carbon tetrafluoride (CF 4 ), Ar, and O 2 .
  • the first silicide layer 16 in the contact holes 4 can be subjected to a pre-clean process using, for example, a dilute hydrofluoric acid solution.
  • a second polysilicon layer 22 is deposited over the dielectric layer 20 and into the contact holes 4 making contact to the first silicide layer 16.
  • the second polysilicon layer 22 is deposited using LPCVD, similar to the deposition of the first polysilicon layer 14, and has a preferred thickness of about 500 to 2000 Angstroms.
  • the second polysilicon layer is now conductively doped.
  • layer 22 can be doped by ion implantation using phosphorus ions (p 31 ).
  • layer 22 can be doped in-situ during the LPCVD deposition of the polysilicon by adding a dopant gas, such as phosphine (PH 3 ) to the silane (SiH 4 ).
  • the preferred dopant concentration in the second polysilicon layer is about 1.0 E 20 to 1.0 E 21 atoms/cm 3 .
  • a rapid thermal process is carried out in an inert atmosphere to improve the interface between the first tungsten silicide (WSi 2 ) layer 16 and the second polysilicon layer 22 prior to depositing the second silicide layer.
  • the rapid thermal process is performed by heating the top surface of the substrate, as indicated by the arrows 7 in FIG. 3.
  • the rapid thermal anneal modifies (changes) the properties at the second polysilicon/first silicide (layers 22 and 16) interface 9 resulting in lower contact resistance.
  • the RTP is carried out at a relatively high temperature.
  • the RTP is carried at a temperature of between about 800 and 1100° C. for a time of between about 1 and 100 seconds.
  • the thermal anneal is carried out in an inert gas ambient such as argon (Ar) or helium (He) to avoid oxidation of the top surface of the second polysilicon layer 22.
  • an inert gas ambient such as argon (Ar) or helium (He)
  • the thermal anneal can be performed in RTP equipment, such as model SHS 2800, manufactured by AST Corporation of U.S.A.
  • the rapid thermal process results in a lower contact resistance (R c ) with narrower distributions, and improves circuit performance. More specifically the contact resistance can have values as low as about 10 ohms. This provides a significant improvement over the prior art.
  • a second silicide layer 24 is deposited on the second polysilicon layer 22 thereby forming a second polycide layer 8.
  • the second silicide layer 24 is deposited similar to the deposition of the first silicide layer 16, for example, using LPCVD and tungsten hexafluoride and silane.
  • the silicide layer 24 is commonly used in the semiconductor industry to further reduce the line resistance.
  • the preferred thickness of layer 24 is about 500 to 2000 Angstroms.

Abstract

A method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits is described. The method is particularly useful for making low contact resistance (Rc) between the tungsten polycide word lines and the access (pass) transistors (FETs) on DRAMs. A first polysilicon/first silicide (polycide) layer is patterned to form a first polycide conducting layer for the FET gate electrodes. A dielectric layer is deposited over the patterned first polycide layer, and contact openings are anisotropically plasma etched in the dielectric layer to the surface of the first silicide layer. A second doped polysilicon layer is deposited on the substrate and over and in the contact openings contacting the first silicide layer. Prior to depositing a second silicide layer, a high-temperature rapid thermal process (RTP) or annealing is carried out to alter the second polysilicon/first silicide interface to reduce the contact resistance (about 10 ohms). This RTP eliminates the need for overetching the first silicide in the contact holes, as commonly practiced in the prior art. A second silicide layer is deposited and the second silicide/polysilicon (second polycide) is patterned to form the next level of interconnections, such as the word lines for the array of DRAM cells.

Description

BACKGROUND OF THE INVENTION
(1.) Field of the Invention
The present invention relates to a method for making low-resistance contacts between polysilicon and metal silicide for multilevel interconnections on semiconductor integrated circuits. More particularly, the method relates to forming low-resistance contacts using a rapid thermal process (RTP), thereby eliminating the need to overetch the contact holes to remove the silicide between interconnecting polycide layers.
(2.) Description of the Prior Art
Continuing advances in high-resolution photolithography and anisotropic plasma etching have reduced the minimum feature sizes of semiconductor devices. For example, the current minimum feature size of the gate electrodes for field effect transistor semiconductor devices is sub-half-micromenter (μm) (about 0.35 μm or less). These reduced feature sizes require smaller contact holes that lead to higher contact resistance (Rc). For example, current contact hole feature sizes are now typically much less than 0.5 micrometer (μm), and to make contacts to the FET gate electrodes, the contacts must be less than 0.35 μm in width or diameter. The increase in this parasitic resistance in series with circuit devices, such as field effect transistors (FETs), degrades the circuit performance and therefore is undesirable. A further concern is the wide distribution in contact resistance (Rc) that can occur over the large number of contact holes that are simultaneously etched, and is also very undesirable.
It is common practice in the semiconductor industry to interconnect the semiconductor devices by using multilayers of patterned heavily doped polysilicon having a top silicide layer, commonly referred to as polycide layers, and by metal layers to form the integrated circuits. Because of electrical device characteristics and temperature considerations, it is desirable to form the gate electrodes for FETs and the next level of electrical interconnections contacting the gate electrodes from a first and second level of patterned polycide layers, respectively. An InterLevel Dielectric (ILD) layer, hereafter referred to as simply a dielectric layer, is used between the patterned polycide layers to electrically insulate the various levels of patterned polycide layers. Contact holes are formed in the dielectric layer to electrically interconnect the first and second polycide layers. On Ultra Large Scale Integration (ULSI), the number of contacts now well exceeds a million, and it is important to have consistently low and tight distributions of the contact resistance (Rc).
The problem of high contact resistance is of particular concern between the two patterned tungsten polycide (silicide (WSi2)/polysilicon) layers used to form the gate electrodes of the pass transistors (FETS) and the word lines for the dynamic random access memory (DRAM) and other integrated circuits, such as static random access memory (SRAM), microprocessors, and the like.
Unfortunately, when the contact holes are etched in the dielectric layer to the first polycide layer, it is difficult to form consistently low contact resistance. For example, contacts having minimum feature sizes of 0.5 μm or less can have contact resistance that varies from as low as 100 ohms to values exceeding 2000 ohms. Furthermore, interface treatments, such as plasma etching in a gas mixture containing CH4 and O2 to treat the tungsten silicide surface, are not effective, even when portions of the top surface of the first silicide layer are removed. Also, implant doping of the tungsten silicide layer in the contact holes does not provide consistently low contact resistance. One method of consistently reducing the contact resistance is to completely remove the first silicide layer in the contact holes. However, it would be desirable to avoid overetching the contact holes and removing completely the first silicide layer to the first polysilicon layer.
Several methods of forming polycide structures are described in the literature, but do not address the contact resistance problem. For example, Moslehi, U. S. Pat. No. 5,322,809, teaches a method for making self-aligned silicide on the source/drain and FET gate electrode of different thickness, but does not address the high-resistance contact problem between polycide levels. Kapoor, U. S. Pat. No. 5,498,558, shows a method of forming a floating electrode structure for EPROM applications, and completes the structure by forming contacts of doped polysilicon or tungsten to the source/drain and control gate electrode. However, the gate electrode is polysilicon and not polycide, and therefore would not experience the high contact resistance problem. Redwine, U. S. Pat. No. 5,109,258 teaches a method for making memory cells by selective oxidation of polysilicon, and uses a platinum silicide layer on the gate to provide low resistance, but does not address the problem of high contact resistance as commonly experienced with the refractory metals, such as tungsten. Mutsaers et al., U.S. Pat. No. 5,399,235, teach a method for making two levels of aluminum conducting tracks, and would not be concerned or address high contact resistance between polycide layers.
Therefore, there is still a need in the semiconductor industry to reduce the contact resistance between tungsten polycide layers, while avoiding the necessity of removing the first silicide in the contact holes.
SUMMARY OF THE INVENTION
It is therefore a principal object of the present invention to provide a method for forming reliable and repeatable low-resistance contacts between polycide layers.
It is another object of this invention to provide the above method while avoiding the need to overetch the silicide in the contact holes.
Still another object is to provide a simple manufacturing process that is cost effective.
In accordance with the above objectives, a method is provided for making electrical contacts between patterned polycide layers having low resistance (Rc). The method is generally applicable for making electrical interconnections on integrated circuits, and is particularly useful for making contacts between the polycide word lines and polycide gate electrodes for field effect transistors (FETs) on DRAM cells. The method begins by providing a semiconductor substrate, such as single-crystal silicon wafers. Typically, the FETs are made in device areas that are isolated from each other by field oxide (FOX) isolation regions. However, the FOX is not essential in understanding this invention, and is not described in detail. The method is specifically described for forming the polycide word lines making low-resistance contacts to the polycide gate electrodes for the FETs. A thin gate oxide is grown on the device areas, and a first polysilicon layer is deposited on the substrate. The first polysilicon layer is N+ doped, for example with arsenic (As) or phosphorus (P), making it electrically conducting. A first silicide layer composed of tungsten silicide is then deposited on the first polysilicon layer to form a first polycide layer that further improves the electrical conductivity. A photoresist mask and anisotropic plasma etching are then used to pattern the first polycide (polysilicon/silicide) layer to form the FET gate electrodes over the device areas. Although the method is described for making contacts to a first polycide layer used for FET gate electrodes, it should be well understood by those skilled in the art that the method is generally applicable to making low-resistance contacts between any two polycide layers on a substrate.
After forming the gate electrodes, source/drain areas are formed adjacent to the gate electrodes by ion implantation. The ion implantation also forms buried bit lines for the array of DRAM cells. For an N-channel FET, as is commonly used as the pass transistor on DRAM cells, the implant would typically be carried out using As or P ions.
After completing the FET, and continuing with the invention, a dielectric layer is deposited over the patterned first polycide layer (gate electrodes) to insulate the first polycide layer from the next level of interconnections. Contact holes are etched in the dielectric layer to the patterned first polycide layer (gate electrodes) for making the electrical connections to the next level of polycide interconnections. For example, the next level of interconnections serves as the word lines for the gate electrodes on the array of DRAM cells. Typically by the prior art, the contact holes would be overetched to remove a portion or all of the first silicide layer to reduce the contact resistance. By the method of this invention, the partial or complete removal of the first silicide layer in the contact holes is not necessary.
Continuing, a photoresist mask and anisotropic etching are used to etch the contact holes in the dielectric layer and expose the surface of the first silicide layer that forms part of the first polycide layer. After etching the contact holes, a doped second polysilicon layer is deposited over the dielectric layer and into the contact holes, making contact to the exposed top surface of the first silicide layer. The second polysilicon layer can be doped either by implanting after the polysilicon is deposited or by in-situ doping during the polysilicon deposition. For example, if N-channel FETs are made for the array of DRAM cells, then the second polysilicon can be doped with an N+ type dopant, such as phosphorus or arsenic.
Now, by the method of this invention, a rapid thermal process (RTP) is carried out in an inert atmosphere to improve the interface between the first WSi2 layer and the second polysilicon layer prior to depositing the second silicide layer. More specifically, the RTP is carried out at a relatively high temperature (e.g., 800-1100° C.) in an inert gas, such as argon (Ar) or helium (He). This results in a lower contact resistance (Rc) with narrower distribution in resistance, thereby improving circuit performance. For example, contacts having a contact resistance as low as about 10 ohms can be achieved. A second tungsten silicide (WSi2) layer is deposited to form the second polycide layer. Conventional photolithographic techniques and anisotropic plasma etching are used to pattern the second polycide layer to complete the next level of interconnections, such as the word lines for the array of DRAM cells, and/or connections for other types of circuits, such as SRAMs, microprocessors, and the like.
BRIEF DESCRIPTION OF THE DRAWINGS
The objects and other advantages of this invention are best understood with reference to the preferred embodiment when read in conjunction with the drawings that follow.
FIGS. 1 through 4 are schematic cross-sectional views showing the sequence of process steps for making two levels of polycide interconnections using a high-temperature rapid thermal process (RTP) for reducing the contact resistance (Rc).
DESCRIPTION OF THE PREFERRED EMBODIMENT
Referring now to FIGS. 1 through 4, an embodiment of the invention is described for making contacts having low resistance through contact holes in a dielectric layer between two patterned polycide layers. The polycide layers are used to make electrical interconnections on integrated circuits. The series of steps used to form the contacts having this low contact resistance begins by providing a semiconductor substrate 10, a portion of which is shown in FIG. 1 having a partially completed device (FET) in the device area. The preferred substrate is composed of a single-crystal silicon having a <100> crystallographic orientation. Either a P-type or an N-type conductively doped substrate can be used for the purpose of this invention, or alternatively the substrate can have P-wells or N-wells for forming CMOS-type integrated circuits. However, to simplify the discussion, only a P- substrate is shown in FIG. 1 for providing N-channel FETs for pass transistors on an array of DRAM cells. The method of this invention is shown for making contacts with low resistance to a first polycide layer that can also serve as the gate electrodes for N-channel FETs.
Typically field oxide (FOX) regions are used to isolate the device areas, but are not shown in FIG. 1 to simplify the drawings and discussion.
Still referring to FIG. 1, the FET is formed by first growing a thin oxide layer 12 by thermal oxidation on the device areas to form the FET gate oxide. Typically, the gate oxide is grown to a thickness of between about 50 and 200 Angstroms. The gate electrode for the FET is then formed by depositing a first polysilicon layer 14 on the substrate over the gate oxide 12. The preferred thickness of the first polysilicon layer is between about 1000 and 2000 Angstroms. The polysilicon layer 14 is deposited, for example, by low-pressure chemical vapor deposition (LPCVD). The polysilicon layer 14 is then doped by ion implantation using phosphorus (p31) or arsenic (As75) ions. Preferably the dopant concentration after implanting is between about 5.0 E 19 and 1.0 E 21 atoms/cm3.
Continuing with FIG. 1, a first silicide layer 16 is then deposited on the first polysilicon layer 14 to form the first polycide layer, which further improves the electrical conductivity. Preferably the silicide layer is composed of a tungsten silicide (WSi2) having a thickness of about 1000 to 2000 Angstroms. One preferred method for forming the silicide layer is by chemical vapor deposition (CVD) using, for example, tungsten hexafluoride (WF6) and silane (SiH4).
Conventional photolithographic techniques and anisotropic plasma etching are now used to pattern the first polycide layer 2 composed of the first polysilicon layer 14 and the first silicide layer 16. The cross section in FIG. 1 depicts the patterned first polycide layer 2. The patterned polycide layer is commonly used to form the gate electrode for the FET over the gate oxide 12 in the device area, portions of which are shown in FIG. 1 for the cross section along the channel length of the FET. Although the method of this invention is described for making contacts with low resistance to the first polycide layer 2 used for FET gate electrodes, it should be well understood by those skilled in the art that the method is generally applicable for making low-resistance contacts between any two polycide layers on the substrate. The FET gate electrodes can also be made with lightly doped source/drains (LDDs) adjacent to the FET gate electrodes by using sidewall insulating spacers, but are not described here and are not shown in the FIGS. since they are not essential to this invention.
Still referring to FIG. 1 and after patterning the first polycide layer 2, composed of layers 14 and 16, to form the gate electrodes 2, the source/ drain contact areas 18A and 18B are formed adjacent to the gate electrodes. The source/drain contact areas are preferably formed by ion implanting using the gate electrode as an implant mask to self-align the source/drain contacts, while using an additional photoresist block-out mask to prevent implanting in other areas of the substrate where the implant is not required. Typically the source/drain contact areas are doped with an N+ type dopant, such as As or P to a concentration of between about 5.0 E 20 and 5.0 E 22 atoms/cm3. For DRAM cells using the stacked Capacitor Over the Bit lines (COB) process, one of the two source/drain areas, such as 18B, can also serve as the buried bit line, and the other source/drain area, such as 18A, can serve as the node contact for the capacitor.
Continuing with the invention, a dielectric layer 20, as shown in FIG. 1, is deposited over the patterned first polycide layer 2 to insulate the first polycide layer from the next level of interconnections. Preferably the dielectric layer 20 is composed of a silicon oxide (SiO2) and is deposited, for example, by LPCVD using a reactant gas such as tetraethosiloxane (TEOS) in a reactor. The preferred thickness of the dielectric layer 20 is between about 3000 and 10000 Angstroms. If desired, layer 20 can be planarized, for example, by chemical/mechanical polishing (CMP) or by other planarizing methods, such as by etch-back techniques, to provide a more planar surface for the next level of interconnections.
Conventional photolithographic techniques and anisotropic plasma etching are now used to etch contact holes 4 in the dielectric layer 20 to the surface of the patterned first silicide layer 16, as also shown in FIG. 1. For example, the etching can be carried out in a high-density plasma etcher using an etchant gas mixture such as trifluoromethane (CHF3), argon (Ar), and oxygen (O2). Alternatively the etchant gas mixture can be carbon tetrafluoride (CF4), Ar, and O2.
If necessary, prior to depositing a second polysilicon layer, the first silicide layer 16 in the contact holes 4 can be subjected to a pre-clean process using, for example, a dilute hydrofluoric acid solution.
Referring now to FIG. 2, a second polysilicon layer 22 is deposited over the dielectric layer 20 and into the contact holes 4 making contact to the first silicide layer 16. Preferably, the second polysilicon layer 22 is deposited using LPCVD, similar to the deposition of the first polysilicon layer 14, and has a preferred thickness of about 500 to 2000 Angstroms. The second polysilicon layer is now conductively doped. For example, layer 22 can be doped by ion implantation using phosphorus ions (p31). Alternatively, layer 22 can be doped in-situ during the LPCVD deposition of the polysilicon by adding a dopant gas, such as phosphine (PH3) to the silane (SiH4). The preferred dopant concentration in the second polysilicon layer is about 1.0 E 20 to 1.0 E 21 atoms/cm3.
Referring now to FIG. 3, by the method of this invention, a rapid thermal process (RTP) is carried out in an inert atmosphere to improve the interface between the first tungsten silicide (WSi2) layer 16 and the second polysilicon layer 22 prior to depositing the second silicide layer. The rapid thermal process is performed by heating the top surface of the substrate, as indicated by the arrows 7 in FIG. 3. The rapid thermal anneal modifies (changes) the properties at the second polysilicon/first silicide (layers 22 and 16) interface 9 resulting in lower contact resistance. More specifically, the RTP is carried out at a relatively high temperature. Preferably, the RTP is carried at a temperature of between about 800 and 1100° C. for a time of between about 1 and 100 seconds. The thermal anneal is carried out in an inert gas ambient such as argon (Ar) or helium (He) to avoid oxidation of the top surface of the second polysilicon layer 22. For example, the thermal anneal can be performed in RTP equipment, such as model SHS 2800, manufactured by AST Corporation of U.S.A. The rapid thermal process results in a lower contact resistance (Rc) with narrower distributions, and improves circuit performance. More specifically the contact resistance can have values as low as about 10 ohms. This provides a significant improvement over the prior art.
Referring to FIG. 4, a second silicide layer 24 is deposited on the second polysilicon layer 22 thereby forming a second polycide layer 8. The second silicide layer 24 is deposited similar to the deposition of the first silicide layer 16, for example, using LPCVD and tungsten hexafluoride and silane. The silicide layer 24 is commonly used in the semiconductor industry to further reduce the line resistance. The preferred thickness of layer 24 is about 500 to 2000 Angstroms. Conventional photolitho-graphic techniques and anisotropic plasma etching are used to pattern the second polycide layer to complete the next level of polycide interconnections, such as the word lines for the array of DRAM cells, and/or connections for other types of circuits, such as SRAMs, microprocessors, and the like.
While the invention has been particularly shown and described with reference to the preferred embodiment thereof, it will be understood by those skilled in the art that various changes in form and details may be made without departing from the spirit and scope of the invention.

Claims (20)

What is claimed is:
1. A method for making improved low-resistance contacts between polysilicon and metal suicides for semiconductor integrated circuits comprising the steps of:
providing a semiconductor substrate having device areas;
depositing a first polysilicon layer on said substrate and over said device areas;
doping and making electrically conductive said first polysilicon layer;
depositing a first silicide layer on said first polysilicon layer thereby forming a first polycide layer;
masking and anisotropically etching said first silicide and said first polysilicon layers and thereby patterning said first polycide layer;
depositing a dielectric layer on said patterned first polycide layer thereby electrically insulating said patterned first polycide layer;
photoresist masking and anisotropically plasma etching contact holes in said dielectric layer to the surface of said first silicide layer;
depositing a second polysilicon layer and doping said second polysilicon layer to form an electrically conducting layer, said second polysilicon layer electrically contacting said first silicide layer in said contact holes;
thermally annealing said second polysilicon layer and thereby reducing the contact resistance between said second polysilicon layer and said first silicide layer;
depositing a second silicide layer on said second polysilicon layer, thereby forming a second polycide layer;
patterning by photoresist masking and anisotropic plasma etching said second polycide layer leaving portions of said second polycide layer over said contact holes, thereby providing said low-resistance contacts between said polysilicon layer of said second polycide layer and said patterned first silicide layer.
2. The method of claim 1, wherein said first polysilicon layer is between about 1000 and 2000 Angstroms thick.
3. The method of claim 1, wherein said first and second polysilicon layers are doped with an N+ dopant to a concentration of between about 5×1019 and 1×1021 atoms/cm3.
4. The method of claim 1, wherein said first silicide layer is composed of tungsten silicide and is between about 1000 and 2000 Angstroms thick.
5. The method of claim 1, wherein said dielectric layer is silicon oxide deposited by chemical vapor deposition (CVD), and has a thickness of between about 3000 and 10000 Angstroms.
6. The method of claim 1, wherein said second polysilicon layer is between about 500 and 2000 Angstroms thick.
7. The method of claim 1, wherein said thermal annealing is carried out by rapid thermal annealing (RTA) at a temperature of between about 800 and 1100° C. for a time between about 1 and 100 seconds.
8. The method of claim 1, wherein said thermal anneal is carried out in an inert gas ambient.
9. The method of claim 8, wherein said inert gas is argon.
10. The method of claim 1, wherein said second silicide layer is composed of tungsten silicide and is between about 500 and 2000 Angstroms thick.
11. A method for making improved low-resistance contacts between polysilicon and metal silicide layers for an array of dynamic random access memory (DRAM) cells on a semiconductor substrate comprising the steps of:
providing said semiconductor substrate having device areas with a gate oxide for field effect transistors (FETs);
depositing a first polysilicon layer on said substrate and over said device areas;
doping and making electrically conductive said first polysilicon layer;
depositing a first silicide layer on said first polysilicon layer thereby forming a first polycide layer;
masking and anisotropically etching said first silicide and said first polysilicon layers and thereby patterning said first polycide layer and forming gate electrodes for said FETs;
forming source/drain areas adjacent to said gate electrodes and buried bit lines for said array of DRAM cells;
depositing a dielectric layer on said patterned first polycide layer thereby electrically insulating said patterned first polycide layer;
photoresist masking and anisotropically plasma etching contact holes in said dielectric layer to the surface of said first silicide layer of said patterned first polycide layer;
depositing a second polysilicon layer and doping said second polysilicon layer to form an electrically conducting layer, said second polysilicon layer electrically contacting said first silicide layer in said contact holes;
thermally annealing said second polysilicon layer and thereby reducing the contact resistance between said second polysilicon layer and said first silicide layer;
depositing a second silicide layer on said second polysilicon layer, thereby forming a second polycide layer;
patterning by photoresist masking and anisotropic plasma etching said second polycide layer to form word lines contacting said gate electrodes, thereby providing said low-resistance contacts between said word lines and said gate electrodes for said array of DRAM cells.
12. The method of claim 11, wherein said first polysilicon layer is between about 1000 and 2000 Angstroms thick.
13. The method of claim 11, wherein said first and second polysilicon layers are doped with an N+ dopant to a concentration of between about 5×1019 and 1×1021 atoms/cm3.
14. The method of claim 11, wherein said first silicide layer is composed of tungsten silicide and is between about 1000 and 2000 Angstroms thick.
15. The method of claim 11, wherein said dielectric layer is silicon oxide deposited by chemical vapor deposition (CVD), and has a thickness of between about 3000 and 10000 Angstroms.
16. The method of claim 11, wherein said second polysilicon layer is between about 500 and 2000 Angstroms thick.
17. The method of claim 11, wherein said thermal annealing is carried out by rapid thermal annealing (RTA) at a temperature of between about 800 and 1100° C. for a time between about 1 and 100 seconds.
18. The method of claim 11, wherein said thermal anneal is carried out in an inert gas ambient.
19. The method of claim 18, wherein said inert gas is argon.
20. The method of claim 11, wherein said second silicide layer is composed of tungsten silicide and is between about 500 and 2000 Angstroms thick.
US08/933,960 1997-09-19 1997-09-19 Method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits Expired - Lifetime US5899735A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/933,960 US5899735A (en) 1997-09-19 1997-09-19 Method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/933,960 US5899735A (en) 1997-09-19 1997-09-19 Method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits

Publications (1)

Publication Number Publication Date
US5899735A true US5899735A (en) 1999-05-04

Family

ID=25464721

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/933,960 Expired - Lifetime US5899735A (en) 1997-09-19 1997-09-19 Method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits

Country Status (1)

Country Link
US (1) US5899735A (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6001717A (en) * 1999-02-12 1999-12-14 Vanguard International Semiconductor Corporation Method of making local interconnections for dynamic random access memory (DRAM) circuits with reduced contact resistance and reduced mask set
US6033999A (en) * 1998-02-02 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of solving contact oblique problems of an ILD layer using a rapid thermal anneal
US6136677A (en) * 1997-09-25 2000-10-24 Siemens Aktiengesellschaft Method of fabricating semiconductor chips with silicide and implanted junctions
US6180484B1 (en) * 1998-08-26 2001-01-30 United Microelectronics Corp. Chemical plasma treatment for rounding tungsten surface spires
US6458693B1 (en) * 1998-06-03 2002-10-01 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a semiconductor device
US6600138B2 (en) 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
US20030216038A1 (en) * 2002-05-20 2003-11-20 Sucharita Madhukar Dual metal gate transistors for CMOS process
US6707011B2 (en) 2001-04-17 2004-03-16 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
US6770555B2 (en) * 1998-02-13 2004-08-03 Nec Corporation Process for fabricating semiconductor integrated circuit device having polycide line and impurity region respectively exposed to contact holes different in depth
US20100295120A1 (en) * 2009-05-20 2010-11-25 Gurtej Sandhu Vertically-oriented semiconductor selection device providing high drive current in cross-point array memory
US8648428B2 (en) 2010-01-05 2014-02-11 Micron Technology, Inc. Memory cell array with semiconductor selection device for multiple memory cells
US8969154B2 (en) 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US10916556B1 (en) * 2017-12-12 2021-02-09 Sandisk Technologies Llc Three-dimensional memory device using a buried source line with a thin semiconductor oxide tunneling layer

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5045502A (en) * 1990-05-10 1991-09-03 Bell Communications Research, Inc. PdIn ohmic contact to GaAs
US5057439A (en) * 1990-02-12 1991-10-15 Electric Power Research Institute Method of fabricating polysilicon emitters for solar cells
US5109258A (en) * 1980-05-07 1992-04-28 Texas Instruments Incorporated Memory cell made by selective oxidation of polysilicon
US5194404A (en) * 1990-03-22 1993-03-16 Oki Electric Industry Co. Ltd. Method of manufacturing a contact structure for a semiconductor device
US5322809A (en) * 1993-05-11 1994-06-21 Texas Instruments Incorporated Self-aligned silicide process
US5399235A (en) * 1991-11-19 1995-03-21 U.S. Philips Corporation Method of manufacturing a semiconductor device in which a surface of a semiconductor body is provided with mutually-insulated aluminum tracks
US5498558A (en) * 1994-05-06 1996-03-12 Lsi Logic Corporation Integrated circuit structure having floating electrode with discontinuous phase of metal silicide formed on a surface thereof and process for making same
US5710078A (en) * 1996-06-03 1998-01-20 Vanguard International Semiconductor Corporation Method to improve the contact resistance of bit line metal structures to underlying polycide structures

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5109258A (en) * 1980-05-07 1992-04-28 Texas Instruments Incorporated Memory cell made by selective oxidation of polysilicon
US5057439A (en) * 1990-02-12 1991-10-15 Electric Power Research Institute Method of fabricating polysilicon emitters for solar cells
US5194404A (en) * 1990-03-22 1993-03-16 Oki Electric Industry Co. Ltd. Method of manufacturing a contact structure for a semiconductor device
US5045502A (en) * 1990-05-10 1991-09-03 Bell Communications Research, Inc. PdIn ohmic contact to GaAs
US5399235A (en) * 1991-11-19 1995-03-21 U.S. Philips Corporation Method of manufacturing a semiconductor device in which a surface of a semiconductor body is provided with mutually-insulated aluminum tracks
US5322809A (en) * 1993-05-11 1994-06-21 Texas Instruments Incorporated Self-aligned silicide process
US5498558A (en) * 1994-05-06 1996-03-12 Lsi Logic Corporation Integrated circuit structure having floating electrode with discontinuous phase of metal silicide formed on a surface thereof and process for making same
US5710078A (en) * 1996-06-03 1998-01-20 Vanguard International Semiconductor Corporation Method to improve the contact resistance of bit line metal structures to underlying polycide structures

Cited By (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6136677A (en) * 1997-09-25 2000-10-24 Siemens Aktiengesellschaft Method of fabricating semiconductor chips with silicide and implanted junctions
US6033999A (en) * 1998-02-02 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of solving contact oblique problems of an ILD layer using a rapid thermal anneal
US6770555B2 (en) * 1998-02-13 2004-08-03 Nec Corporation Process for fabricating semiconductor integrated circuit device having polycide line and impurity region respectively exposed to contact holes different in depth
US6458693B1 (en) * 1998-06-03 2002-10-01 Hyundai Electronics Industries Co., Ltd. Method of manufacturing a semiconductor device
US6180484B1 (en) * 1998-08-26 2001-01-30 United Microelectronics Corp. Chemical plasma treatment for rounding tungsten surface spires
US6001717A (en) * 1999-02-12 1999-12-14 Vanguard International Semiconductor Corporation Method of making local interconnections for dynamic random access memory (DRAM) circuits with reduced contact resistance and reduced mask set
US6600138B2 (en) 2001-04-17 2003-07-29 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
US6707011B2 (en) 2001-04-17 2004-03-16 Mattson Technology, Inc. Rapid thermal processing system for integrated circuits
US20030216038A1 (en) * 2002-05-20 2003-11-20 Sucharita Madhukar Dual metal gate transistors for CMOS process
US6794281B2 (en) * 2002-05-20 2004-09-21 Freescale Semiconductor, Inc. Dual metal gate transistors for CMOS process
US20100295120A1 (en) * 2009-05-20 2010-11-25 Gurtej Sandhu Vertically-oriented semiconductor selection device providing high drive current in cross-point array memory
US8274110B2 (en) 2009-05-20 2012-09-25 Micron Technology, Inc. Vertically-oriented semiconductor selection device providing high drive current in cross-point array memory
US8723252B2 (en) 2009-05-20 2014-05-13 Micron Technology, Inc. Vertically-oriented semiconductor selection device providing high drive current in cross-point array memory
US8648428B2 (en) 2010-01-05 2014-02-11 Micron Technology, Inc. Memory cell array with semiconductor selection device for multiple memory cells
US8981463B2 (en) 2010-01-05 2015-03-17 Micron Technology, Inc. Memory cell array with semiconductor selection device for multiple memory cells
US8969154B2 (en) 2011-08-23 2015-03-03 Micron Technology, Inc. Methods for fabricating semiconductor device structures and arrays of vertical transistor devices
US9356155B2 (en) 2011-08-23 2016-05-31 Micron Technology, Inc. Semiconductor device structures and arrays of vertical transistor devices
US10002935B2 (en) 2011-08-23 2018-06-19 Micron Technology, Inc. Semiconductor devices and structures and methods of formation
US10446692B2 (en) 2011-08-23 2019-10-15 Micron Technology, Inc. Semiconductor devices and structures
US11011647B2 (en) 2011-08-23 2021-05-18 Micron Technology, Inc. Semiconductor devices comprising channel materials
US11652173B2 (en) 2011-08-23 2023-05-16 Micron Technology, Inc. Methods of forming a semiconductor device comprising a channel material
US10916556B1 (en) * 2017-12-12 2021-02-09 Sandisk Technologies Llc Three-dimensional memory device using a buried source line with a thin semiconductor oxide tunneling layer

Similar Documents

Publication Publication Date Title
US5607879A (en) Method for forming buried plug contacts on semiconductor integrated circuits
US5670404A (en) Method for making self-aligned bit line contacts on a DRAM circuit having a planarized insulating layer
US6165880A (en) Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US5723893A (en) Method for fabricating double silicide gate electrode structures on CMOS-field effect transistors
US6476488B1 (en) Method for fabricating borderless and self-aligned polysilicon and metal contact landing plugs for multilevel interconnections
US5874359A (en) Small contacts for ultra large scale integration semiconductor devices without separation ground rule
KR100854555B1 (en) Semiconductor device and method for producing the same
US5780338A (en) Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
US7691713B2 (en) Method of manufacturing semiconductor device capable of suppressing impurity concentration reduction in doped channel region arising from formation of gate insulating film
US6335249B1 (en) Salicide field effect transistors with improved borderless contact structures and a method of fabrication
US5817562A (en) Method for making improved polysilicon FET gate electrode structures and sidewall spacers for more reliable self-aligned contacts (SAC)
US6306721B1 (en) Method of forming salicided poly to metal capacitor
US5480837A (en) Process of making an integrated circuit having a planar conductive layer
US6350665B1 (en) Semiconductor structure and method of making contacts and source and/or drain junctions in a semiconductor device
KR20000028563A (en) Semiconductor device and manufacturing method thereof
US6001717A (en) Method of making local interconnections for dynamic random access memory (DRAM) circuits with reduced contact resistance and reduced mask set
US5899735A (en) Method for making low-resistance contacts between polysilicon and metal silicide on semiconductor integrated circuits
US5501998A (en) Method for fabricating dynamic random access memory cells having vertical sidewall stacked storage capacitors
US6380024B1 (en) Method of fabricating an SRAM cell featuring dual silicide gates and four buried contact regions
KR100230903B1 (en) Method of fabricating a dynamic random acess memory device having stacked capacitor memory cell arrays
US5705438A (en) Method for manufacturing stacked dynamic random access memories using reduced photoresist masking steps
KR100562650B1 (en) Method for fabrication of semiconductor device
US6194311B1 (en) Method for manufacturing semiconductor device capable of effectively carrying out hydrogen passivation
US5457065A (en) method of manufacturing a new DRAM capacitor structure having increased capacitance
US5536673A (en) Method for making dynamic random access memory (DRAM) cells having large capacitor electrode plates for increased capacitance

Legal Events

Date Code Title Description
AS Assignment

Owner name: VANGUARD INTERNATIONAL SEMICONDUCTOR CORPORATION,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TSENG, HORNG-HUEI;REEL/FRAME:008719/0647

Effective date: 19970909

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12