CN102687243A - 用于含va族元素的薄膜ald的前体的合成和使用 - Google Patents

用于含va族元素的薄膜ald的前体的合成和使用 Download PDF

Info

Publication number
CN102687243A
CN102687243A CN2010800594974A CN201080059497A CN102687243A CN 102687243 A CN102687243 A CN 102687243A CN 2010800594974 A CN2010800594974 A CN 2010800594974A CN 201080059497 A CN201080059497 A CN 201080059497A CN 102687243 A CN102687243 A CN 102687243A
Authority
CN
China
Prior art keywords
precursor
reactant
film
vapor
phase reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800594974A
Other languages
English (en)
Other versions
CN102687243B (zh
Inventor
V·鲍尔
T·哈坦帕
M·瑞塔拉
M·来斯科拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of CN102687243A publication Critical patent/CN102687243A/zh
Application granted granted Critical
Publication of CN102687243B publication Critical patent/CN102687243B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02543Phosphides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02549Antimonides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Switching materials based on at least one element of group IIIA, IVA or VA, e.g. elemental or compound semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供用于形成包含VA族元素的薄膜诸如Sb、Sb-Te、Ge-Sb和Ge-Sb-Te薄膜的原子层沉积(ALD)方法,以及相关组成和结构。优选地使用式Sb(SiR1R2R3)3的Sb前体,其中R1、R2和R3为烷基。也描述了As、Bi和P前体。也提供用于合成这些Sb前体的方法。也提供在相变存储设备中使用Sb薄膜的方法。

Description

用于含VA族元素的薄膜ALD的前体的合成和使用
联合研究协议的各方
本文要求保护的发明是在2008年11月21日签署的赫尔辛基大学(University of Helsinki)和ASM Microchemistry之间的联合研究协议下或代表该协议和/或与该协议有关地实施的。该协议在所要求保护的发明做出之日和之前有效,并且所要求保护的发明作为在该协议范围内所从事活动的结果而做出。
背景
发明领域
本申请一般地涉及通过原子层沉积形成包括VA族元素(Sb、As、Bi、P、N)的薄膜的方法。这种膜可在例如相变存储(PCM)设备和光存储介质中使用。
相关技术的描述
包括VA族元素的薄膜用于很多不同的应用,包括例如,非易失相变存储器(PCM)、太阳能电池、III-V族化合物和光存储材料。III-V族化合物半导体可用于很多不同的应用领域,包括晶体管、光电子学和其他应用领域,例如,在双极晶体管、场效应晶体管、激光器、IR检测器、LED、宽带隙半导体、量子阱或量子点结构、太阳能电池中和在单片微波集成电路中。PCM电池的运行是基于在活性材料的非晶态和晶态之间的电阻率差异。通过很多不同的相变合金可获得大于三个数量级的电阻率差异。PCM电池的转换通常通过用合适的电流脉冲局部加热材料完成,所述电流脉冲取决于脉冲强度,使材料保持在晶态或非晶态。
已经报道了多种不同的PCM电池结构,其中很多使用类似于沟或孔的结构。溅射通常已用于制备PCM材料,但对电池结构的更高要求将需要更好的共形性和对沉积过程的更多控制。溅射可以能够形成简单的孔和沟结构,然而,未来的PCM应用将需要更复杂的使用溅射技术不能形成的3-D电池结构。将需要具有更大精确度和控制的方法,诸如原子层沉积(ALD),以制造这些复杂的结构。使用原子层沉积方法对沉积提供了更大的精确度和控制,包括更好的共形性和对沉积膜的组成更好的控制。
由于缺少合适的前体,已经部分限制了沉积含Sb薄膜的原子层沉积方法。
因此,对从气相反应物通过ALD可控和可靠地形成包括锑的相变材料薄膜的方法存在需要。
发明内容
本申请一般地涉及通过原子层沉积形成包括VA族元素(Sb、As、Bi、P)的薄膜的方法。
本文也公开了合成包括Sb(SiR1R2R3)3的各种锑前体的方法和组合物,其中R1、R2和R3为具有一个或多个碳原子的烷基。
本文也公开了沉积包括锑的薄膜的ALD方法,以及相关的组合物和结构。该方法通常包括提供第一气相反应物的脉冲进入反应室,以在基底上形成只有大约一个单分子层的反应物;从反应室清除过量的第一反应物;提供第二气相反应物的脉冲至反应室,以便第二气相反应物与基底上的第一反应物反应,以形成含Sb的薄膜,其中第二气相反应物包括Sb(SiR1R2R3)3,其中R1、R2和R3为具有一个或多个碳原子的烷基。在一些实施方式中,第一反应物包括锑。在一些实施方式中,第一反应物不包括氧。
本文也公开了在反应室中,通过ALD工艺在基底上形成Ge-Sb-Te薄膜的方法。该方法通常包括多个Sb沉积循环,每个循环都包括第一前体和包括Sb(SiR1R2R3)3的第二Sb前体的交替和顺序脉冲,其中R1、R2和R3为具有一个或多个碳原子的烷基;多个含Te的沉积循环,每个循环都包括第三前体和包括Te的第四前体的交替和顺序脉冲;和多个含Ge的沉积循环,每个循环都包括第五前体和包括Ge的第六前体的交替和顺序脉冲。
本文提供了用于在反应室中,通过ALD工艺在基底上形成Ge-Sb-Se薄膜的方法。该方法包括多个Sb沉积循环,每个循环都包括第一前体和包括Sb(SiR1R2R3)3的第二Sb前体的交替和顺序脉冲,其中R1、R2和R3为具有一个或多个碳原子的烷基;多个含Se的沉积循环,每个循环都包括第三前体和包括Se的第四前体的交替和顺序脉冲;和多个含Ge的沉积循环,每个循环都包括第五前体和包括Ge的第六前体的交替和顺序脉冲。
本文提供了制备Sb前体的方法。该方法包括通过使IA族金属与包括Sb的化合物反应形成第一产物;和随后使包括R1R2R3SiX的第二反应物与第一产物结合,其中R1、R2和R3为具有一个或多个碳原子的烷基和X为卤素原子,从而形成具有式Sb(SiR1R2R3)3的化合物。
本文提供了制备包括VA族元素的前体的方法。该方法包括通过使IA族金属与包括VA族元素的化合物反应形成第一产物;和随后使包括R1R2R3SiX的第二反应物与第一产物结合,其中R1、R2和R3为具有一个或多个碳原子的烷基和X为卤素原子,从而形成具有式L(SiR1R2R3)3的化合物,其中L为VA族元素,其中VA族元素为As、Sb、Bi、N或P。
本文提供了制备包括VA族元素的前体的方法。该方法包括通过使IA族金属与包括VA族元素的化合物反应形成第一产物;和随后使包括R1R2R3AX的第二反应物与第一产物结合,其中R1、R2和R3为具有一个或多个碳原子的烷基,A为Si、Sn或Ge,X为卤素原子,从而形成具有式L(AR1R2R3)3的包含VA族元素的化合物,其中L为VA族元素,其中VA族元素为As、Sb、Bi或P。
本文提供了用于在反应室中,在基底上形成含VA族元素的薄膜的原子层沉积(ALD)方法。该方法包括多个VA族元素沉积循环,每个循环都包括:提供第一气相反应物的脉冲进入反应室,以在基底上形成只有大约一个单分子层的反应物;从反应室清除过量的第一反应物;提供第二气相反应物的脉冲至反应室,以便第二气相反应物与在基底上的第一反应物反应,以形成含VA族元素的薄膜,其中第二气相反应物包括X(SiR1R2R3)3,其中R1、R2和R3为具有一个或多个碳原子的烷基,并且X为VA族元素(Sb、As、Bi、P);和如果有的话,从反应室清除过量的第二反应物和反应副产物。
本文提供了用于在反应室中,在基底上形成含As薄膜的原子层沉积(ALD)方法。该方法包括多个As沉积循环,每个循环都包括:提供第一气相反应物的脉冲进入反应室,以在基底上形成只有大约一个单分子层的反应物;从反应室清除过量的第一反应物;提供第二气相反应物的脉冲至反应室,以便第二气相反应物与在基底上的第一反应物反应,以形成含As的薄膜,其中第二气相反应物包括As(SiR1R2R3)3,并且其中R1、R2和R3为具有一个或多个碳原子的烷基;和如果有的话,从反应室清除过量的第二反应物和反应副产物。
本文提供了用于在反应室中,在基底上形成含Sb薄膜的原子层沉积(ALD)方法。该方法包括多个Sb沉积循环,每个循环都包括:提供第一气相反应物的脉冲进入反应室,以在基底上形成只有大约一个单分子层的反应物;从反应室清除过量的第一反应物;提供第二气相反应物的脉冲至反应室,以便第二气相反应物与在基底上的第一反应物反应,以形成含Sb薄膜,其中第二气相反应物包括Sb(GeR1R2R3)3,其中R1、R2和R3为具有一个或多个碳原子的烷基;和如果有的话,从反应室清除过量的第二反应物和反应副产物。
本文提供了用于在反应室中,在基底上形成含VA族元素的薄膜的原子层沉积(ALD)方法。该方法包括多个VA族元素沉积循环,每个循环都包括:提供第一气相反应物的脉冲进入反应室,以在基底上形成只有大约一个单分子层的反应物;从反应室清除过量的第一反应物;提供第二气相反应物的脉冲至反应室,以便第二气相反应物与在基底上的第一反应物反应,以形成含VA族元素的薄膜,其中第二气相反应物包括VA族原子,其结合至Si、Ge或Sn的一个或多个,并且其中VA族元素为Sb、As、Bi或P;和如果有的话,从反应室清除过量的第二反应物和反应副产物。
本文提供了用于在反应室中,在基底上形成包括VA族元素的薄膜的原子层沉积(ALD)方法。方法包括多个VA族元素沉积循环,每个循环都包括:提供第一气相反应物的脉冲进入反应室,以在基底上形成只有大约一个单分子层的反应物;从反应室清除过量的第一反应物;提供第二气相反应物的脉冲至反应室,以便第二气相反应物与在基底上的第一反应物反应,以形成包括VA族元素的薄膜,其中第二气相反应物包括VA族原子,其结合至Si、Ge或Sn的一个或多个,其中VA族元素为Sb、As、Bi、N或P,并且其中当第二气相反应物中的VA族原子为N时,第一气相反应物不包括过渡金属、Si或Ge;和如果有的话,从反应室清除过量的第二反应物和反应副产物。
本文提供了用于在反应室中,在基底上形成含氮薄膜的原子层沉积(ALD)方法。该方法包括多个沉积循环,每个循环都包括:提供第一气相反应物的脉冲进入反应室,以在基底上形成只有大约一个单分子层的反应物,其中第一气相反应物不包括过渡金属;从反应室清除过量的第一反应物;提供第二气相反应物的脉冲至反应室,以便第二气相反应物与在基底上的第一反应物反应,以形成含氮的薄膜,其中第二气相反应物包括N(AR1R2R3)xR3-x,并且其中x从1至3,A为Si、Ge或Sn,并且R、R1、R2和R3可被独立地选择为线性、环状、分支或取代的烷基、氢或芳基基团;和如果有的话,从反应室清除过量的第二反应物和反应副产物。
本文提供了通过原子层沉积(ALD)工艺沉积纳米层压薄膜的方法。该方法包括第一沉积循环,其包括第一前体和第二前体的交替和顺序脉冲,第二前体包括A(SiR1R2R3)x,其中R1、R2和R3为具有一个或多个碳原子的烷基,并且A为Sb、Te或Se,其中当A为Sb时x为3;当A为Te或Se时,x为2;和第二沉积循环,其包括第三前体和第四前体的交替和顺序脉冲,第四前体包括A(SiR1R2R3)x,其中R1、R2和R3为具有一个或多个碳原子的烷基,并且A为Sb、Te或Se,并且其中当A为Sb时,x为3;当A为Te或Se时,x为2。
本文也公开了用于沉积包括以下的薄膜的ALD方法:Sb-Te、Ge-Te、Ge-Sb、Ge-Sb-Te、Al-Sb、In-Sb、Ga-Sb、Zn-Sb、Co-Sb、Ga-As、As-Te、As-Se、In-As、In-Ga-As、As-S、Al-As、Bi、Bi-Te、Bi-Se、In-Bi、Sb-Bi、Ga-Bi、Al-Bi、P-Te、P-Se、In-P、Ga-P、Cu-P、Al-P、B-N、Al-N、Ga-N、In-N和其组合,以及相关的组合物和结构。
在一些实施方式中,可形成包括本文公开的材料的纳米层压膜。在一些实施方式中,使用多个ALD循环,以沉积第一膜,然后通过多个ALD循环形成具有不同于第一膜的组成的第二膜,形成纳米层压材料。
附图说明
图1是一般地说明根据一个实施方式形成Sb膜的方法的流程图。
图2是一般地说明根据一个实施方式形成Ge-Sb膜的方法的流程图。
图3是Sb薄膜每一循环的平均生长速度对前体脉冲长度的图。
图4是Sb薄膜的掠入射x射线衍射图;
图5是如由能量分散x射线(EDX)分析测量的Sb-Te膜组成的图。
图6是Sb-Te薄膜每一循环平均生长速度对Sb-Te和Sb循环之间的循环比率的图。
图7是各种组成的Sb-Te薄膜的掠入射x射线衍射图。
图8是如由EDX分析测量的各种Ge-Sb与Sb循环比率的各Ge-Sb膜组成的图。
图9是Ge-Sb薄膜每一循环的平均生长速度对在Ge-Sb和Sb循环比率之间的循环比率的图。
图10是一般地说明根据一个实施方式合成具有式Sb(SiR1R2R3)3的化合物的方法的流程图。
图11是纳米层压材料组成作为GeTe和Sb2Te3亚循环数量的函数的图。
图12是GeTe、Sb2Te3、GST和纳米层压材料的电阻率作为退火温度的函数的图。
图13A和13B为样品D(13A)和C(13B)的从室温至405℃的HTXRD测量。
图14是Sb薄膜每一循环的平均生长速度对沉积温度的图。
图15是通过ALD在100℃下沉积的Sb膜的飞行时间弹性反冲检测分析(TOF-ERDA)。
图16(a)说明沉积在高纵横比沟结构上的Sb膜,并且图16(b)-(d)为Sb纳米管。
图17是说明通过各种ALD方法形成的Ge-Te-Sb薄膜的各种组成的图。
图18是Ga-Sb薄膜每一循环的平均生长速度对GaCl3前体脉冲长度的图。
图19是Ga-Sb薄膜每一循环的平均生长速度对(Et3Si)3Sb前体脉冲长度的图。
图20是如由EDX分析测量的Al-Sb膜组成的图。
图21是通过ALD沉积的Ge22Sb78薄膜的TOF-ERDA深度分布图。
图22是已经合成的Sb(SiMe3)3、Sb(SiEt3)3、As(SiEt3)3和Bi(SiEt3)3的热重分析(TGA)图。
具体实施方式
如以上所讨论的,含Sb的膜用于各种应用,包括相变存储器(PCM)、太阳能电池和光存储材料。PCM电池可具有各种不同的构造。通常,PCM电池包括在顶部金属接头和电阻底部电极之间的晶体管和电阻器。例如,在Lacaita,Solid-State Electronics 50(2006)24-31的“Phasechange memories:State-of-the-art,challenges and perspectives”中公开了另外的PCM构造,其在此通过引用全文并入。元素锑也可用作相变材料。元素锑也可在超分辨率近场结构(super-RENS)中用作光学材料。
为了清楚起见,本文使用VA族,尽管IUPAC系统命名法现在使用术语第15族。如本文所用的,VA族包括第15族的元素。本文使用III或IIIA族,尽管IUPAC系统命名法现在使用术语第13族。如本文所用的,III或IIIA族包括第13族的元素。术语III-V族半导体包括具有第15族元素和第13族元素的半导体。
为了简单起见,术语“膜”和“薄膜”也用于本文。“膜”和“薄膜”意欲表示通过本文公开的方法沉积的任何连续或非连续结构。例如,“膜”和“薄膜”可包括纳米棒、纳米管或纳米颗粒。
尽管在PCM的一般背景中讨论了本文公开的实施方式,但技术人员将理解本文教导的原理和优点将应用于其他设备和应用。此外,尽管本文公开了许多方法,但本领域技术人员将认识到在方法中某些所公开步骤甚至在缺少一些其他公开步骤的情况有用,并且类似地将认识到可添加之后、之前和其间的步骤。
锑-碲化物(包括Sb2Te和Sb2Te3)、锗-碲化物(包括GeTe)、锗-锑-碲化物(GST;Ge2Sb2Te5)、铋-碲化物Bi-Te(包括Bi2Te3)和锌-碲化物(包括ZnTe)薄膜可通过原子层沉积(ALD)类型方法沉积在基底上。用于沉积包括Te和Se的薄膜的方法和前体在以下申请号中公开:于2008年4月25日提交的61/048,077;于2008年11月6日提交的61/112,128;于2008年11月25日提交的61/117,896;和于2009年4月23日提交的12/429,133,其公开内容在此全文并入。
期望的是更精确地控制含Sb薄膜的组成。本文公开的方法描述了沉积元素锑膜的ALD循环。元素锑循环可与其他ALD循环一起使用,以便沉积具有精确锑组成的薄膜,获得具有期望性质的薄膜。
锑具有数个氧化态,包括-3、+3、0和+5,其中+3最常见。碲具有数个氧化态,包括-2、0、+2、+4和+6。具有-2氧化态的Te的化学计量的Sb-Te膜包括Sb2Te3。锗(Ge)具有0、+2和+4的氧化态。
具有-2氧化态的Te的碲(Te)化合物通常被称为碲化物。具有0氧化态的Te的碲化合物通常被称为碲化合物。很多Te化合物中的这些氧化态可能仅是名义上或形式上的表达,实际上,情况可能更复杂。然而,为了简单起见,如本文所用的,包括Te的薄膜被称为碲化物。因此本文称为碲化物的膜可包含具有除-2以外的氧化态的Te,例如,0、+2、+4和+6的氧化态。当指定具体氧化态时,本领域技术人员将是明白的。
ALD类型方法基于前体化学品的受控的、自限制表面反应。通过交替和顺序供给前体进入反应室避免气相反应。气相反应物在反应室中例如在反应物脉冲之间通过从反应室清除过量的反应物和/或反应物副产物而相互分离。
简单地说,通常在降低的压力下,基底被装入反应室并被加热至合适的沉积温度。沉积温度被保持在反应物的热分解温度以下,但处于足够高的水平,以避免反应物冷凝并提供期望表面反应的活化能。当然,任何给定ALD反应的合适温度窗口将取决于表面终止和所涉及的反应物种类。这里,温度取决于被沉积的膜类型变化,并且优选在大约400℃或以下,更优选在大约200℃或以下,并且最优选从大约20℃至大约200℃。
第一反应物以气相脉冲的形式被引导或脉冲送入室中并与基底表面接触。优选地选择条件,以便只有大约一个单层的第一反应物以自限制的方式在基底表面上吸收。合适的脉冲时间可容易地由技术人员基于具体情况确定。如果有的话,诸如通过用惰性气体吹扫,从反应室清除过量的第一反应物和反应副产物。
吹扫反应室意味着诸如通过用真空泵排空室和/或通过用惰性气体诸如氩气或氮气代替反应器内的气体,从反应室清除气相前体和/或气相副产物。典型的吹扫时间从大约0.05至20秒,更优选在大约1和10之间,并且仍然更优选在大约1和2秒之间。然而,如果需要,可使用其他的吹扫时间,诸如在极高纵横比结构或具有复杂表面形态的其他结构上需要高度共形步骤覆盖率的情况。
第二气态反应物被脉冲送入室中,其中其与结合至表面的第一反应物反应。如果有的话,优选通过在惰性气体和/或排空的帮助下吹扫从反应室清除表面反应的过量的第二反应物和气态副产物。重复脉冲和吹扫的步骤,直到所需厚度的薄膜已经形成在基底上,每个循环只留下分子单层。可包括包含供应反应物和吹扫反应空间的附加阶段,以形成更复杂的材料,诸如三元材料。
如以上所提及的,每个循环的每个脉冲或阶段都是优选自限制的。在每个阶段供应过量的反应物前体,以使敏感结构表面饱和。表面饱和确保反应物占据所有可用的反应位置(例如,受到物理尺寸或“位阻”限制的影响)并因此确保优异的步骤覆盖率。通常,利用每个循环沉积小于一个分子层的材料,然而,在一些实施方式中,多于一个的分子层在循环期间被沉积。
清除过量的反应物可包括排空反应空间的一些内容物和/或用氦气、氮气或其他惰性气体吹扫反应空间。在一些实施方式中,吹扫可包括关闭反应气体流,同时继续使惰性载气流动至反应空间。
在标准条件(室温和大气压)下,ALD类型方法中所使用的前体可为固体、液体或气态材料,只要前体在它们被引导入反应室并与基底表面接触之前处于气相。“脉冲”汽化前体到基底上意味着前体蒸气被引导入室一段有限的时间。通常,脉冲时间从大约0.05至10秒。然而,取决于基底类型和它的表面积,脉冲时间可能甚至高于10秒。在一些情况下,脉冲时间可为分钟量级。适宜脉冲时间可由技术人员基于具体情况而确定。
前体的质量流速也可由技术人员确定。在一些实施方式中,不受限制地,金属前体的流速优选在大约1和1000sccm之间,更优选在大约100和500sccm之间。
反应室中的压力通常从大约0.01至大约20mbar,更优选从大约1至大约10mbar。然而,在一些情况下,压力将高于或低于该范围,如可由被给予具体情况的技术人员确定的。
在开始沉积膜前,基底通常被加热至合适的生长温度。该生长温度根据形成的薄膜类型、前体的物理性质等而变化。以下关于形成的每个类型的薄膜更详细地讨论生长温度。生长温度可小于沉积材料的结晶温度,以便形成非晶态薄膜,或其可在结晶温度以上,以便形成结晶薄膜。优选的沉积温度可根据诸多因素变化,诸如且不受限制地,反应物前体、压力、流速、反应器的布置、所沉积薄膜的结晶温度和包括其上待沉积材料的性质的基底组成。具体的生长温度可由技术人员选择。
可使用的合适反应器的例子包括商业可得的ALD设备诸如F-
Figure BDA00001810053600101
反应器、反应器和
Figure BDA00001810053600103
400系列反应器,从亚利桑那州Phoenix的ASM America,Inc和荷兰Almere的ASM Europe B.V.可得。除了这些ALD反应器以外,还可使用能够进行薄膜ALD生长的很多其他种类的反应器,包括装备有用于脉冲前体的合适设备和装置的CVD反应器。在一些实施方式中,使用流气式(flow type)ALD反应器。优选地,反应物保持分离,直到到达反应室,以便前体的共用线路最小化。然而,其他布置是可能的,诸如使用预反应室,如于2004年8月30日提交的美国申请10/929,348号和于2001年4月16日提交的09/836,674中描述的,其公开内容通过引用并入本文。
任选地,可在连接至组合工具(cluster tool)的反应器或反应空间中进行生长过程。在组合工具中,因为每个反应空间专用于一种类型的方法,所以每个模块中反应空间的温度可保持不变,与其中基底在每次运行前被加热至工艺温度的反应器相比,这提高了生产量。
独立反应器可装备有加载锁(load-lock)。在那种情况下,不必在每次运行之间冷却反应空间。
本文描述的实施例说明了某些优选的实施方式。它们在由ASMMicrochemistry Oy,Espoo供应的F-120TMALD反应器中进行。
用于原子层沉积的Sb前体
以下讨论本文公开的可用于各种ALD方法的前体。
在一些实施方式中,可使用的Sb前体包括Sb卤化物诸如SbCl3和SbI3、烷氧基Sb诸如Sb(OEt)3,和Sb酰胺。
在一些实施方式中,Sb前体具有结合到三个硅原子上的Sb。例如其可具有通式Sb(AR1R2R3)3,其中A为Si或Ge,R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3配体中的每一个可被相互独立地选择。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,R1、R2和/或R3可为氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3可为包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R1、R2、R3可为卤素原子。在一些实施方式中,Sb前体具有通式Sb(SiR1R2R3)3,其中R1、R2和R3为包括一个或多个碳原子的烷基。在一些实施方式中,R1、R2和/或R3可为非取代或取代的C1-C2烷基,诸如甲基或乙基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等在每个配体中相互独立地选择。在一些实施方式中,Sb前体为Sb(SiMe2 tBu)3。在其他实施方式中,该前体为Sb(SiEt3)3或Sb(SiMe3)3。在更优选的实施方式中,该前体具有Sb-Si键,并且最优选三个Si-Sb键结构。
在一些实施方式中,Sb前体具有通式Sb[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3],其中A1、A2、A3可独立地选择为Si或Ge,并且其中R1、R2、R3、R4、R5、R6、R7、R8和R9可独立地选择为烷基、氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3、R4、R5、R6、R7、R8和R9可为也包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,一个或多个R1、R2、R3、R4、R5、R6、R7、R8和R9可为卤素原子。在一些实施方式中,X1、X2和X3可为Si、Ge、N或O。在一些实施方式中,X1、X2和X3是不同的元素。在实施方式中,当X为Si时则Si将被结合至三个R基团,例如Sb[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]。在实施方式中,当X为N时则氮将仅被结合至两个R基团,Sb[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]。在实施方式中,当X为O时则氧将仅被结合至一个R基团,例如Sb[Si(OR1)3][Si(OR2)3][Si(OR3)3]。R1、R2、R3、R4、R5、R6、R7、R8和R9基团可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等在每个配体中相互独立地选择。
在一些实施方式中,Sb前体选自:
Sb[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]、
Sb[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]、
Sb[Si(OR1)3][Si(OR2)3][Si(OR3)3]和Sb[SiR1R2][SiR3R4][SiR5R6],双键在硅和R基团之一之间。在其他实施方式中,Sb前体包括:包括一个Sb原子和多个Si原子的环或环状构造;或包括多于一个的Sb原子。在这些实施方式中,R1、R2、R3、R4、R56选自烷基、氢、烯基、炔基或芳基基团。
在一些实施方式中,Sb前体具有类似于上述式的化学式,然而Si原子具有结合至配体中R基团之一的双键(例如Sb-Si=)。例如,以下表示前体化学式的局部结构:
Figure BDA00001810053600121
在一些实施方式中,前体包含Si和Sb的多个原子。例如,以下表示一个实施方式中前体的局部结构:
Figure BDA00001810053600131
以上图示的局部化学式中Si和Sb原子也可被结合至一个或多个R基团。在一些实施方式中,可使用本文描述的任何R基团。
在一些实施方式中,前体包含环状或环结构的Si-Sb-Si键结构。例如,以下表示一个实施方式中前体的局部结构。
Figure BDA00001810053600132
R基团可包括烷基、烯基、炔基、烷基甲硅烷基、烷基胺或烷氧基(alkoxide)基团。在一些实施方式中,R基团是取代或分支的。在一些实施方式中,R基团不是取代和/或不是分支的。以上图示的局部化学式中Si和Sb原子也可被结合至一个或多个R基团。在一些实施方式中,可使用本文描述的任何R基团。
用于原子层沉积的As前体
可使用本文描述的类似于含Sb前体的包括As的前体。形式上,在本文描述的化合物中As具有氧化态–III。
在一些实施方式中,As前体具有结合至三个硅原子的As。例如它可具有通式As(AR1R2R3)3,其中A为Si或Ge,R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,R1、R2和/或R3可为氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3可为包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R1、R2、R3可为卤素原子。在一些实施方式中,As前体具有通式As(SiR1R2R3)3,其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在更优选的实施方式中,前体具有As-Si键,并且最优选三个Si-As键结构。在一些实施方式中,As前体为As(SiMe2 tBu)3。在其他实施方式中,前体为As(SiEt3)3或As(SiMe3)3。例如,As(SiMe3)3是商业可得的并可用于一些实施方式中。
在一些实施方式中,As前体具有通式As[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3],其中A1、A2、A3可被独立地选择为Si或Ge,并且其中R1、R2、R3、R4、R5、R6、R7、R8和R9可被独立地选择为烷基、氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3、R4、R5、R6、R7、R8和R9可为也包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,一个或多个R1、R2、R3、R4、R5、R6、R7、R8和R9可为卤素原子。在一些实施方式中,X1、X2和X3可为Si、Ge、N或O。在一些实施方式中,X1、X2和X3是不同的元素。在实施方式中,当X为Si时则Si将被结合至三个R基团,例如As[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]。在实施方式中,当X为N时则氮将仅被结合至两个R基团,As[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]。在实施方式中,当X为O时则氧将仅被结合至一个R基团,例如As[Si(OR1)3][Si(OR2)3][Si(OR3)3]。R1、R2、R3、R4、R5、R6、R7、R8和R9基团可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。
用于原子层沉积的Bi前体
可使用本文描述的类似于含Sb前体的包括Bi的前体。形式上,在本文描述的化合物中Bi的氧化态可为III或+III,因为Bi的电负性接近于Si电负性。必须强调氧化态值只是形式上的,如As的情况。
在一些实施方式中,Bi前体具有结合至三个硅原子的Bi。例如其可具有通式Bi(AR1R2R3)3,其中A为Si或Ge,R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,R1、R2和/或R3可为氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3可为包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R1、R2、R3可为卤素原子。在一些实施方式中,Bi前体具有通式Bi(SiR1R2R3)3,其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在更优选的实施方式中,该前体具有Bi-Si键,并且最优选三个Si-Bi键结构。在一些实施方式中,Bi前体为Bi(SiMe2 tBu)3。在其他实施方式中,该前体为Bi(SiEt3)3或Bi(SiMe3)3
在一些实施方式中,Bi前体具有通式Bi[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3],其中A1、A2、A3可被独立地选择为Si或Ge,并且其中R1、R2、R3、R4、R5、R6、R7、R8和R9可被独立地选择为烷基、氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3、R4、R5、R6、R7、R8和R9可为也包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,一个或多个R1、R2、R3、R4、R5、R6、R7、R8和R9可为卤素原子。在一些实施方式中,X1、X2和X3可为Si、Ge、N或O。在一些实施方式中,X1、X2和X3是不同的元素。在实施方式中,当X为Si时则Si将被结合至三个R基团,例如Bi[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]。在实施方式中,当X为N时则氮将仅被结合至两个R基团,Bi[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]。在实施方式中,当X为O时则氧将仅被结合至一个R基团,例如Bi[Si(OR1)3][Si(OR2)3][Si(OR3)3]。R1、R2、R3、R4、R5、R6、R7、R8和R9基团可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。
用于原子层沉积的P前体
可使用本文描述的类似于含Sb前体的包括P的前体。形式上,在本文描述的化合物中P具有氧化态III。
在一些实施方式中,P前体具有结合至三个硅原子的P。例如其可具有通式P(AR1R2R3)3,其中A为Si或Ge,R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,R1、R2和/或R3可为氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3可为包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R1、R2、R3可为卤素原子。在一些实施方式中,P前体具有通式P(SiR1R2R3)3,其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在更优选的实施方式中,该前体具有P-Si键,并且最优选三个Si-P键结构。在一些实施方式中,P前体为P(SiMe2 tBu)3。在其他实施方式中,该前体为P(SiEt3)3或P(SiMe3)3。例如,P(SiMe3)3是商业可得的并可用于一些实施方式中。
在一些实施方式中,P前体具有通式P[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3],其中A1、A2、A3可被独立地选择为Si或Ge,并且其中R1、R2、R3、R4、R5、R6、R7、R8和R9可被独立地选择为烷基、氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3、R4、R5、R6、R7、R8和R9可为也包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,一个或多个R1、R2、R3、R4、R5、R6、R7、R8和R9可为卤素原子。在一些实施方式中,X1、X2和X3可为Si、Ge、N或O。在一些实施方式中,X1、X2和X3是不同的元素。在实施方式中,当X为Si时则Si将被结合至三个R基团,例如P[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]。在实施方式中,当X为N时则氮将仅被结合至两个R基团,P[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]。在实施方式中,当X为O时则氧将仅被结合至一个R基团,例如P[Si(OR1)3][Si(OR2)3][Si(OR3)3]。R1、R2、R3、R4、R5、R6、R7、R8和R9基团可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。
用于原子层沉积的N前体
可在一些实施方式中使用本文描述的类似于含Sb前体的包括N的前体。
在一些实施方式中,N前体具有结合至三个硅原子的N。例如其可具有通式N(AR1R2R3)xR3-x,其中x从1至3,A为Si、Ge或Sn和R、R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,R、R1、R2和/或R3可为氢、烯基、炔基或芳基基团。在一些实施方式中,R、R1、R2、R3可为包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R、R1、R2、R3可为卤素原子。在一些实施方式中,R、R1、R2、R3不为氢。在一些实施方式中,x为2,并且R为氢。
在一些实施方式中,N前体具有通式N(SiR1R2R3)xR3-x,其中x从1至3,R1、R2和R3为包括一个或多个碳原子的烷基,并且R为氢。R、R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在更优选的实施方式中,该前体具有N-Si键,并且最优选三个Si-N键结构。在一些实施方式中,N前体为N(SiMe2 tBu)3。在其他实施方式中,该前体为N(SiEt3)3或N(SiMe3)3
在一些实施方式中,N前体具有通式N[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3],其中A1、A2、A3可被独立地选择为Si、Ge或Sn,并且其中R1、R2、R3、R4、R5、R6、R7、R8和R9可被独立地选择为烷基、氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3、R4、R5、R6、R7、R8和R9可为也包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R1、R2、R3、R4、R5、R6、R7、R8和R9不为氢。在一些实施方式中,一个或多个R1、R2、R3、R4、R5、R6、R7、R8和R9可为卤素原子。在一些实施方式中,X1和X2可为Si、Ge、N或O。在一些实施方式中,X1和X2是不同的元素。在实施方式中,当X为Si时则Si将被结合至三个R基团,例如N[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]。在实施方式中,当X为N时则氮将仅被结合至两个R基团,N[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]。在实施方式中,当X为O时则氧将仅被结合至一个R基团,例如N[Si(OR1)3][Si(OR2)3][Si(OR3)3]。R1、R2、R3、R4、R5、R6、R7、R8和R9基团可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。
在一些实施方式中,N前体具有通式N[A1(X1R1R2R3)3][A2(X2R4R5R6)3]H,其中A1、A2可被独立地选择为Si、Ge或Sn,并且其中R1、R2、R3、R4、R5和R6可被独立地选择为烷基、氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3、R4、R5和R6不为氢。在一些实施方式中,R1、R2、R3、R4、R5和R6可为也包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,一个或多个R1、R2、R3、R4、R5和R6可为卤素原子。在一些实施方式中,X1和X2可为Si、Ge、N或O。在一些实施方式中,X1和X2是不同的元素。在实施方式中,当X为Si时则Si将被结合至三个R基团,例如N[Si(SiR1R2R3)3][Si(SiR4R5R6)3]H。在实施方式中,当X为N时则氮将仅被结合至两个R基团,N[Si(NR1R2)3][Si(NR3R4)3]H。在实施方式中,当X为O时则氧将仅被结合至一个R基团,例如N[Si(OR1)3][Si(OR2)3]H。R1、R2、R3、R4、R5和R6基团可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。
用于原子层沉积的含VA族元素前体的一般描述
在一些实施方式中,包含VA族元素的前体具有结合至三个硅原子的VA族元素。例如其可具有通式L(AR1R2R3)3,其中L为Sb、As、Bi或P,其中A为Si、Sn或Ge,并且R1、R2和R3为包括一个或多个碳原子的烷基。在一些实施方式中,A可为Sn。AR1R2R3-配体中的每一个可相互独立地选择。R1、R2和R3烷基也可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,R1、R2和/或R3可为氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2和/或R3可为非取代或取代的C1-C2烷基,诸如甲基或乙基。在一些实施方式中,R1、R2、R3可为包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R1、R2、R3可为卤素原子。在一些实施方式中,包含VA族元素的前体具有通式L(SiR1R2R3)3,其中L为Sb、As、Bi或P,并且其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,VA前体具有式L(SiMe2 tBu)3。在其他实施方式中,该前体为L(SiEt3)3或L(SiMe3)3
在一些实施方式中,包含VA族元素的前体包括结合至Si、Ge和Sn中的一个或多个的VA族元素。在一些实施方式中,包含VA族元素的前体具有结合至选自Si、Ge和Sn的一个、两个或三个原子的VA族元素。在一些实施方式中,包含VA族元素的前体包括结合至选自Si、Ge和Sn的两个或三个原子的VA族元素,其中有选自Si、Ge和Sn的至少两个不同的原子。在一些实施方式中,包含VA族元素的前体包括结合至一个或多个Si原子的VA族元素。例如,其可具有通式L(AR1R2R3)xR3-x,其中x从1至3,L为Sb、As、Bi或P,其中A为Si、Sn或Ge,并且R、R1、R2和R3为包括一个或多个碳原子的烷基。在一些实施方式中,R1、R2和/或R3可为非取代或取代的C1-C2烷基,诸如甲基或乙基。在一些实施方式中,A可为Sn。R、R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。AR1R2R3-配体中的每一个也可独立地相互选择。在一些实施方式中,R、R1、R2和/或R3可为氢、烯基、炔基或芳基基团。在一些实施方式中,R、R1、R2、R3可为包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R、R1、R2、R3可为卤素原子。在一些实施方式中,R可为氨基基团。在一些实施方式中,配体R、R1、R2和R3中的至少一个选自线性、分支或环状的C1-C5烷基,诸如甲基、乙基、丙基、异丙基、丁基、异丁基、叔丁基、戊基、异戊基、叔戊基。
在一些实施方式中,包含VA族元素的前体具有通式L(SiR1R2R3)xR3-x,其中L为Sb、As、Bi或P,并且其中R、R1、R2和R3为包括一个或多个碳原子的烷基。在一些实施方式中,R1、R2和/或R3可为非取代或取代的C1-C2烷基,诸如甲基或乙基。R、R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,VA前体具有式L(SiMe2 tBu)3。在其他实施方式中,该前体为L(SiEt3)3或L(SiMe3)3。在一些实施方式中,配体R、R1、R2和R3中的至少一个选自线性、分支或环状的C1-C5烷基,诸如甲基、乙基、丙基、异丙基、丁基、异丁基、叔丁基、戊基、异戊基、叔戊基。在一些实施方式中,R为线性的、分支的、非取代或取代的烷基、烯基、炔基、烷基甲硅烷基、烷基胺或烷氧基基团。
在一些实施方式中,包含VA族元素的前体包括结合至选自Si、Ge、Sn的一个或多个原子的VA族元素,类似于本文描述的Sb前体,具有双键。
在一些实施方式中,包含VA族元素的前体具有类似于上述式的化学式,然而在以下式中表示为A的Si或Ge原子具有结合至配体中R基团之一的双键(例如A-Si=)。例如,以下表示前体化学式的局部结构:
Figure BDA00001810053600201
在一些实施方式中,前体包含多个Si或Ge原子。例如,以下表示一个实施方式中前体的局部结构:
Figure BDA00001810053600202
以上图示的局部化学式中Si或Ge和VA族元素原子也可被结合至一个或多个R基团。在一些实施方式中,可使用本文描述的任何R基团。
在一些实施方式中,前体包含A-L-A,其中A为Si或Ge,并且其中L为VA族元素原子,键结构为环状或环结构。例如,以下表示一个实施方式中前体的局部结构。
R基团可包括烷基、烯基、炔基、烷基甲硅烷基、烷基胺或烷氧基基团。在一些实施方式中,R基团是取代或分支的。在一些实施方式中,R基团不是取代和/或不是分支的。以上图示的局部化学式中的A和L原子也可被结合至一个或多个R基团。在一些实施方式中,可使用本文描述的任何R基团。
在一些实施方式中,包含VA族元素的前体具有通式L[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3],其中L为Sb、As、Bi或P,并且其中A1、A2、A3可被独立地选择为Si、Sn或Ge,并且其中R1、R2、R3、R4、R5、R6、R7、R8和R9可被独立地选择为烷基、氢、烯基、炔基或芳基基团。在一些实施方式中,A1、A2和/或A3可被独立地选择为Sn。在一些实施方式中,R1、R2、R3、R4、R5、R6、R7、R8和R9可为也包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,一个或多个R1、R2、R3、R4、R5、R6、R7、R8和R9可为卤素原子。在一些实施方式中,X1、X2和X3可为Si、Ge、N或O。在一些实施方式中,X1、X2和X3是不同的元素。在实施方式中,当X为Si时则Si将被结合至三个R基团,例如L[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]。在实施方式中,当X为N时则氮将仅被结合至两个R基团,L[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]。在实施方式中,当X为O时则氧将仅被结合至一个R基团,例如L[Si(OR1)3][Si(OR2)3][Si(OR3)3]。L为Sb、As、Bi或P,R1、R2、R3、R4、R5、R6、R7、R8和R9基团可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。
在一些实施方式中,包含VA族元素的前体选自:
L[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]、L[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]、L[Si(OR1)3][Si(OR2)3][Si(OR3)3]和L[SiR1R2][SiR3R4][SiR5R6],双键在硅和R基团之一之间。在其他实施方式中,Sb前体包括:包括一个Sb原子和多个Si原子的环或环状构造;或包括多于一个的Sb原子。在这些实施方式中,L为Sb、As、Bi或P和R1、R2、R3、R4、R5和R6选自烷基、氢、烯基、炔基或芳基基团。
在一些实施方式中,包含VA族元素的前体具有类似于上述式的化学式,然而Si原子具有结合至配体中R基团之一的双键(例如L-Si=)。L选自Sb、As、Bi或P。例如,以下表示前体化学式的局部结构:
Figure BDA00001810053600221
在一些实施方式中,前体包含Si和L的多个原子。L选自Sb、As、
以上图示的局部化学式中的Si和L原子也可被结合至一个或多个R基团。在一些实施方式中,可使用本文描述的任何R基团。
在一些实施方式中,前体包含环状或环结构中的Si-L-Si键结构。L选自Sb、As、Bi或P。例如,以下表示一个实施方式中前体的局部结构。
Figure BDA00001810053600223
R基团可包括烷基、烯基、炔基、烷基甲硅烷基、烷基胺或烷氧基基团。在一些实施方式中,R基团是取代或分支的。在一些实施方式中,R基团不是取代和/或不是分支的。以上图示的局部化学式中的Si和L原子也可被结合至一个或多个R基团。L选自Sb、As、Bi或P。在一些实施方式中,可使用本文描述的任何R基团。
在一些实施方式中,结合本文描述的包含VA族元素的前体使用的另外前体不是氧源。术语“氧源”包括氧前体,诸如水、臭氧、醇、氧原子、氧等离子体和氧自由基,它们通常用于沉积金属氧化物的ALD中。在优选的实施方式中,另一种前体不为水、臭氧或醇。在一些实施方式中,不使用等离子体。
在其他实施方式中,结合本文描述的含VA族元素的前体使用的另一种前体也可包含与含VA族元素前体相同的VA族元素,以便沉积的膜是包括VA族元素的元素膜。另一种前体可为例如VA族元素的卤化物,诸如氯化物、氟化物、溴化物或碘化物。在一些实施方式中,另一种前体为SbCl3或BiCl3,并且沉积的膜分别是元素Sb或元素Bi膜。
含VA族元素的薄膜的原子层沉积
在一些实施方式中,可使用本文公开的任何前体,沉积包括VA族元素的薄膜。
在一些实施方式中,元素的VA族元素被沉积。在一些实施方式中,元素的Sb、As、Bi或P被沉积。
在一些实施方式中,包括VA族元素的沉积的膜包括一个或多个另外元素。在一些实施方式中,金属、非金属或准金属也可被包括在包括VA族元素的沉积薄膜中。
在一些实施方式中,当沉积包括VA族元素的薄膜时,基底温度从大约20℃至大约500℃。在一些实施方式中,该温度从大约50℃至大约400℃。在一些实施方式中,该温度从大约50℃至大约300℃。
当沉积包括VA族元素的薄膜时,每循环生长速度可基于所使用的前体和反应器工艺条件而变化。如本文所用的每循环生长速度指的是每循环平均生长速度,所述循环包括提供两个不同反应物的一个脉冲。在一些实施方式中,每循环平均生长速度从大约
Figure BDA00001810053600231
/循环至大约
Figure BDA00001810053600232
/循环。在一些实施方式中,每循环平均生长速度从大约
Figure BDA00001810053600233
/循环至大约
Figure BDA00001810053600241
/循环。在一些实施方式中,每循环平均生长速度从大约
Figure BDA00001810053600242
/循环至大约
Figure BDA00001810053600243
/循环。
在一些实施方式中,含VA族元素的薄膜用一种或多种掺杂剂掺杂,所述掺杂剂选自但不限于来自O、N、C、Si、Sn、Ag、Al、Ga、P、Fe、Ge、In、Ag、Se、Te或Bi的那些。
含N薄膜的原子层沉积
在一些实施方式中,本文公开的N前体可用于沉积包括N的薄膜。在一些实施方式中,该薄膜不包括过渡金属。在一些实施方式中,薄膜中的一个或多个元素选自IIIA至VIA族的元素,即根据IUPAC的第13至16族的元素。在一些实施方式中,薄膜中的一个或多个元素选自N、B、Al、Ga和In。在一些实施方式中,该薄膜选自BN、AlN、GaN和InN。在一些实施方式中,沉积的包括N的薄膜不为SiN或不包含Si或Ge。
在一些实施方式中,包括N的沉积膜包括一个或多个另外元素。在一些实施方式中,包括N的沉积膜用于使相变材料诸如Ge-Sb-Te与氮掺杂。在一些实施方式中,包括N的前体可结合本文公开的Ge、Sb和Te沉积循环用于沉积循环,以沉积掺杂氮的包括Ge-Sb-Te的薄膜。
在一些实施方式中,当沉积包括N的薄膜时,基底温度从大约20℃至大约500℃。在一些实施方式中,该温度从大约50℃至大约400℃。在一些实施方式中,该温度从大约50℃至大约300℃。
当沉积包括N的薄膜时,每循环生长速度可基于所使用的前体和反应器工艺条件而变化。如本文所用的,每循环生长速度指的是每循环平均生长速度,所述循环包括提供两个不同反应物的一个脉冲。在一些实施方式中,每循环平均生长速度从大约
Figure BDA00001810053600244
/循环至大约/循环。在一些实施方式中,每循环平均生长速度从大约/循环至大约
Figure BDA00001810053600247
/循环。在一些实施方式中,每循环平均生长速度从大约
Figure BDA00001810053600248
/循环至大约/循环。
在一些实施方式中,含N薄膜用一种或多种掺杂剂掺杂,所述掺杂剂选自但不限于来自O、N、C、Si、Sn、Ag、Al、Ga、P、Fe、Ge、In、Ag、Se、Te或Bi的那些。
包括Sn的VA族前体
在一些实施方式中,包含VA族元素的前体包括结合至三个锡(Sn)原子的VA族元素。例如包含VA族元素的前体可具有通式L(SnR1R2R3)3,其中L为Sb、As、Bi或P,和R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,R1、R2和/或R3可为氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3可为包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R1、R2、R3可为卤素原子。在一些实施方式中,包含VA族元素的前体具有通式L(SnR1R2R3)3,其中L为Sb、As、Bi或P,并且其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,VA前体具有式L(SnMe2 tBu)3。在其他实施方式中,该前体为L(SnEt3)3或L(SnMe3)3
Sb的原子层沉积
在一些实施方式中,元素锑(Sb)膜通过ALD进行沉积,优选不使用等离子体。
沉积元素锑的ALD沉积循环可用于各种应用。例如,锑可用于很多应用,包括光存储材料、半导体混合物(化合物、三元和四元混合物)和非易失相变存储器。
发现用于不用等离子体的ALD方法的合适Sb前体允许沉积元素锑。在一些实施方式中,元素锑可用作相变材料。在一些实施方式中,Sb沉积循环也可结合其他材料的沉积循环而使用。可选择循环比率以控制沉积膜中的化学计量,包括Sb含量,获得具有所需组成和结构的膜。例如,可沉积包括Sb-Te、Ge-Sb-Te和Ge-Sb的相变存储器膜。
图1是一般性说明根据一个实施方式形成Sb薄膜的方法10的流程图。根据一些实施方式,在反应室中,在基底上通过包括多个Sb沉积循环的ALD类型方法形成元素Sb薄膜,每个沉积循环包括:
11提供包括第一Sb前体的第一气相反应物脉冲进入反应室,以便在基底上形成只有大约一个单分子层的Sb前体;
13从反应室清除过量的第一反应物;
15提供包括第二Sb前体的第二气相反应物脉冲至反应室,以便第二Sb前体与在基底上的第一Sb前体反应,以形成Sb;和
17如果有的话,从反应室清除过量的第二反应物和反应副产物。
这可被称为Sb沉积循环。每个Sb沉积循环通常形成至多大约一个单层的Sb。19重复Sb沉积循环直到形成所需厚度的膜。在一些实施方式中,形成从大约
Figure BDA00001810053600261
至大约
Figure BDA00001810053600262
的Sb膜,优选从大约
Figure BDA00001810053600263
至大约
Figure BDA00001810053600264
尽管所述的Sb沉积循环以提供第一Sb前体开始,但在其他实施方式中,该沉积循环以提供第二Sb前体开始。技术人员将理解,在ALD循环中,第一Sb前体和第二Sb前体的提供是可交换的。
在一些实施方式中,反应物和反应副产物可通过停止Sb前体的流动动同时继续惰性载气诸如氮气或氩气的流动而从反应室清除。
在优选的实施方式中,第一Sb前体具有式SbX3,其中X为卤素元素。更优选地,Sb源为SbCl3、SbBr3或SbI3
在一些实施方式中,结合本文描述的Sb(SiR1R2R3)3前体使用的另一种反应物不是氧源。术语“氧源”指的是包括氧的反应物,诸如水、臭氧、醇、氧原子、氧等离子体和氧自由基,它们通常用于沉积金属氧化物的ALD中。在优选的实施方式中,另一种前体不是水、臭氧或醇。
在一些实施方式中,结合本文公开的Sb(SiR1R2R3)3前体使用的另一种反应物不是氨基锗前体或有机碲前体,诸如四氨基锗。在一些实施方式中,结合本文公开的Sb(SiR1R2R3)3前体使用的另一种反应物不是硫属化物前体。在一些实施方式中,结合本文公开的Sb(SiR1R2R3)3前体使用的另一种反应物不包含等离子体或受激发种类。在一些实施方式中,结合本文公开的Sb(SiR1R2R3)3前体使用的另一种反应物不包含氮。在一些实施方式中,结合本文公开的Sb(SiR1R2R3)3前体使用的另一种反应物不是烷氧基取代的前体。在一些实施方式中,结合本文公开的Sb(SiR1R2R3)3前体使用的另一种反应物不是氨基取代的前体。在一些实施方式中,结合本文公开的Sb(SiR1R2R3)3前体使用的另一种反应物不是烷基取代的前体。在一些实施方式中,结合本文公开的Sb(SiR1R2R3)3前体使用的另一种反应物不包含直接Sb-C键。
本文公开的Sb、As、Bi、N和P前体的Sb、As、Bi、N和P中心原子可被结合至Si、Ge或Sn原子。与Si、Ge或Sn相比,Sb、As、Bi、N和P是更负电性的,其在键中产生极性,并因此在本文公开的Sb、As、Bi、N和P前体的Sb、As、Bi、N和P中心原子上产生部分负电荷。在一些实施方式中,Sb、As、Bi、N和P中心原子可具有负氧化态。尽管不被任何理论所限制,但认为与另一种前体中心原子的部分正电荷例如GaCl3中Ga或SbCl3中Sb的部分正电荷结合的本文公开的前体中心原子轻微部分负电荷例如As(SiEt3)3中As或Sb(SiEt3)3中Sb的轻微部分负电荷,使成功的前体结合和膜沉积例如Ga-As或Sb膜沉积成为可能。
在一些实施方式中,结合本文公开的Sb(SiR1R2R3)3前体使用的另一种反应物不是还原剂,诸如氢、H2/等离子体、胺、亚胺、肼、硅烷、甲硅烷基硫属化物、锗烷、氨、烷烃、烯烃或炔烃。如本文所用的,还原剂指的是能够还原另一种反应物的原子的化合物,通常所述原子将在ALD方法中被沉积在膜上,并且有时被沉积为元素形式。同时,该还原剂可被氧化。可注意,如果将被沉积的原子的形式上氧化态在另一种前体中是负的,利用氧化化学,例如利用氧化剂,也可能产生元素膜。在一些实施方式中,本文公开的Sb(SiR1R2R3)3前体在ALD方法中起到还原剂的作用。
在一些实施方式中,结合本文公开的Sb(SiR1R2R3)3前体使用的另一种反应物为氧化前体,诸如SbCl3。优选地,氧化前体不是水、醇或臭氧。如本文所用的,氧化前体为这样的前体:其在分子的中心原子上具有部分正电荷,所述中心原子诸如在SbCl3的情况为Sb或在GaCl3的情况为Ga,并且因此中心原子可被认为具有正氧化态。氧化前体的部分正电荷将在沉积膜中减少,即分子的中心原子可被认为在某种程度上被还原,尽管真实的氧化态增加没有发生。在一些实施方式中,锑沉积循环仅使用两种反应性化合物。
优选地,第二反应物为具有式Sb(SiR1R2R3)3的Sb前体,其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等进行选择。
在一些实施方式中,第一Sb前体为SbCl3,并且第二Sb前体为Sb(SiEt3)3
在形成Sb薄膜沉积期间的基底温度优选小于250℃,并且更优选小于200℃,甚至更优选在150℃以下。
反应器的压力可根据用于沉积的反应器而变化大。通常反应器压力在正常周围压力以下。
技术人员可基于所选择前体的性质确定适宜的反应物蒸发温度。可由本文描述的方法合成的第二Sb前体诸如Sb(SiEt3)3的蒸发温度通常为大约85℃。第一Sb前体诸如SbCl3的蒸发温度通常为大约30℃至35℃。
技术人员可通过基于所选择前体的性质和被沉积Sb薄膜的期望性质,通过常规实验确定适宜反应物脉冲时间。优选地,第一和第二Sb反应物脉冲大约0.05至10秒,更优选大约0.2至4秒,并且最优选大约1至2秒。如果有的话,清除过量的反应物和反应副产物的吹扫步骤优选长度在大约0.05至10秒,更优选大约0.2-4秒,并且最优选1至2秒。
元素Sb薄膜的生长速度将根据反应条件而变化。如以下描述的,在起始实验中,生长速度在大约0.3和大约
Figure BDA00001810053600281
/循环之间变化。
在一些实施方式中,Sb薄膜被沉积在基底上,并在PCM电池中形成活性材料。在一些实施方式中,Sb薄膜被沉积在基底上,并用于super-RENS设备。Sb薄膜优选具有大约
Figure BDA00001810053600282
至大约
Figure BDA00001810053600283
的厚度。
在一些实施方式中,选自但不限于O、N、C、Si、Sn、Ag、Al、Ga、P、Fe、Ge、In、Ag、Se、Te或Bi的一种或多种掺杂剂被引入膜。包括掺杂剂的前体优选地但不是必须地与本文描述的Sb前体反应。
实施例1
使用SbCl3和Sb(SiEt3)3的交替和顺序脉冲形成元素Sb薄膜。使用1000个循环。元素Sb薄膜形成在具有天然氧化物的钠钙玻璃和硅基底上。Sb(SiEt3)3在室温下为液体,并在85℃的温度下从敞口舟皿蒸发。在沉积期间的基底温度为95℃。SbCl3前体的脉冲和吹扫长度分别为1秒和2秒。Sb(SiEt3)3的脉冲长度在0.5和2.0秒之间变化,吹扫长度为2秒。图3显示在(Et3Si)3Sb的0.5、1.0和2.0秒的第二脉冲长度下沉积的Sb膜的每循环平均生长速度。图3显示大约0.45A/循环的最大生长速度。当被沉积时,锑膜清澈并像镜子一样。
图4显示利用1秒(Et3Si)3Sb脉冲长度,如关于图3所述沉积的Sb膜的掠入射XRD(GIXRD)图。
GIXRD图显示多晶Sb膜,所有反射被识别为菱形Sb(铁黑,PDF00-035-0732)。也通过能量分散x射线(EDX)测量研究沉积膜。EDX测量证实膜由锑组成,沉积膜中不存在氯。膜厚度为大约45nm。
比较实施例1
使用(Et3Si)3Sb+CH3OH的沉积实验在100、200和300℃下进行。(Et3Si)3Sb和CH3OH的脉冲/吹扫时间分别为2.0/2.0s和1.0/2.0s。当在F-120TM反应器中使用1000个循环时,没有膜被沉积在碱石灰或天然氧化物SiO2/Si基底上。也使用装备有石英晶体微量天平和质谱仪的原位ALD反应器研究该方法。这些研究的结果说明在F-120TM反应器中不能实现使用(Et3Si)3Sb+CH3OH的ALD类型膜生长。
使用(Et3Si)3Sb+H2O的沉积实验在150℃下进行。在2000个沉积循环后,在碱石灰或天然氧化物SiO2/Si上没有发生膜生长。使用(Et3Si)2Te+H2O的类似实验也没有成功。
尽管(Et3Si)3Sb可与水和醇在常压下大量反应,但ALD工艺条件明显不适于通过这些反应类型进行生长。Xiao的美国专利公布2009-0191330号公开了各种甲硅烷基锑前体的合成。然而,实验仅显示使三(三甲基甲硅烷基)锑与甲醇在烧瓶中反应。我们的结果显示在流气式反应器中,在ALD工艺条件下,使用(Et3Si)3Sb和CH3OH不形成膜。
ALD用前体结合本文公开的Sb、As、Bi和P前体
结合本文公开的Sb、As、Bi和P前体的用于ALD的前体包括将在表面上与吸附的本文公开的Sb、As、Bi和P前体反应的前体。多组分膜,诸如Ge-Sb-Te膜,可包括用于不同二元材料的多个沉积循环,诸如在GST的情况,使用Ge-Te循环、Sb-Te循环和任选地使用Sb沉积循环。这种多组分材料可包含除本文所述前体之外的其他前体,其不适合在相同的循环中与本文公开的Sb、As、Bi和P前体一起直接使用,但适合在其他循环中用于多组分材料工艺。
在一些实施方式中,本文公开的Sb、As、Bi和P前体与不是水、醇或臭氧的其他反应物一起用于ALD反应。在一些实施方式中,结合本文公开的Sb、As、Bi和P前体的用于ALD的反应物不是氨基锗前体或有机碲前体,诸如四氨基锗。在一些实施方式中,结合本文公开的Sb、As、Bi和P前体使用的另一种反应物不是硫属化物前体。在一些实施方式中,结合本文公开的Sb、As、Bi和P前体的用于ALD的前体不包含等离子体。在一些实施方式中,结合本文公开的Sb、As、Bi和P前体的用于ALD的前体不包含氮。在一些实施方式中,结合本文公开的Sb、As、Bi和P前体使用的另一种反应物不是烷氧基取代的前体。在一些实施方式中,结合本文公开的Sb、As、Bi和P前体使用的另一种反应物不是氨基取代的前体。在一些实施方式中,结合本文公开的Sb、As、Bi和P前体使用的另一种反应物不是烷基取代的前体。在一些实施方式中,结合本文公开的Sb、As、Bi和P前体的用于ALD的前体不是还原前体,诸如氢、H2/等离子体、胺、亚胺、肼、硅烷、甲硅烷基硫属化物、锗烷、氨、烷烃、烯烃或炔烃。在一些实施方式中,本文公开的Sb、As、Bi和P前体在ALD方法中起到还原剂的作用。在一些实施方式中,结合本文公开的Sb、As、Bi和P前体的用于ALD的前体是氧化前体,诸如SbCl3。在一些实施方式中,氧化前体不包含氧。在优选的实施方式中,氧化前体不是醇、水或臭氧。
任何以下的金属前体可用于本文公开的各种ALD方法。
在一些实施方式中,金属前体为金属有机或有机金属前体。在一些实施方式中,金属前体为金属有机或有机金属前体,其不包含氮。在一些实施方式中,金属前体为卤化物前体。在一些实施方式中,金属前体为卤化物前体,并且不包含任何有机基团作为配体。在一些实施方式中,金属前体仅包含氟化物或氯化物,优选氯化物,作为配体。在一些实施方式中,金属前体为加合物前体。加合物不被看作配体,并且加合的前体可以是作为加合物的有机基团,不含有有机基团作为配体,例如,这里认为GeCl2-二
Figure BDA00001810053600311
烷不具有任何有机基团作为配体。配体为直接与中心原子结合的基团或原子。
在一些实施方式中,选择反应物,以便在反应物之间发生脱卤甲硅烷基化反应。在一些实施方式中,选择金属前体,以便在ALD方法中,脱卤甲硅烷基化反应发生在金属反应物和本文公开的Sb、As、Bi或P前体之间。在一些实施方式中,选择金属前体,以便在ALD方法中,反歧化反应(comproportionation reaction)发生在金属反应物和本文公开的Sb、As、Bi或P前体之间。
优选的前体包括但不限于金属卤化物、烷基金属、烷氧基金属、金属酰胺、金属甲硅烷基酰胺、脒基金属(metal amidinate)、环戊二烯基金属、羧酸金属、β-二酮金属(metal betadiketonate)和β-二酮亚胺金属(metal betadiketoimine)。
金属前体中优选的金属包括但不限于Ga、Al、Ge、Bi、Zn、Cu、In、Ag、Au、Pb、Cd、Hg、Sn、Co、Ni、Si。在一些情况下,优选的金属可能为稀土或碱性稀土金属。
更优选的Sb前体包括任何的本文描述的Sb前体和Sb卤化物,诸如SbCl3、SbBr3和SbI3,烷氧基Sb诸如Sb(OEt)3,和Sb酰胺。
更优选的Ge前体包括Ge卤化物,诸如GeCl2和GeBr2,GeCl2、GeF2和GeBr2的加合衍生物,诸如GeCl2-二
Figure BDA00001810053600312
烷。优选地Ge的氧化态为+II。
更优选的Al前体包括Al卤化物,诸如AlCl3,和烷基Al,诸如三甲基铝(TMA)。
更优选的Bi前体包括Bi卤化物,诸如BiCl3
更优选的Ga前体包括Ga卤化物诸如GaCl3,和烷基Ga,诸如三甲基镓(TMG)。
更优选的Zn前体包括元素Zn、Zn卤化物,诸如ZnCl2,和烷基锌化合物,诸如Zn(Et)2或Zn(Me)2
更优选的Cu化合物包括羧酸Cu,诸如新戊酸Cu(II),Cu卤化物,诸如CuCl或CuCl2,β-二酮Cu,诸如Cu(acac)2或Cu(thd)2和脒基Cu。
更优选的In化合物,包括In卤化物,诸如InCl3,和In烷基化合物,诸如In(CH3)3
更优选的Pb化合物包括烷基Pb,诸如四苯基铅Ph4Pb或四乙基铅Et4Pb。
更优选的Si前体包括Si卤化物,诸如SiCl4,和氨基硅烷。
更优选的Sn前体包括Sn卤化物,诸如SnCl4
更优选的Ni前体包括金属有机Ni化合物,诸如Ni(acac)2或Ni(Cp)2
更优选的Co前体包括金属有机Co化合物,诸如Co(acac)2或Co(thd)2
ALD用前体结合本文公开的N前体
金属前体可与本文公开的包括氮的前体一起使用,以沉积包括氮的薄膜。
在一些实施方式中,金属前体不包括过渡金属,即选自根据IUPAC的第3族至第12族的金属。在一些实施方式中,金属前体不包括Si或Ge。在一些实施方式中,金属前体包括Al、B、Ga、In、Sn或Pb。
在一些实施方式中,金属前体为金属有机或有机金属前体。在一些实施方式中,金属前体为卤化物前体。在一些实施方式中,金属前体为卤化物前体,并且不包含任何有机基团作为配体。在一些实施方式中,金属前体仅包含氟化物或氯化物,优选氯化物,作为配体。在一些实施方式中,金属前体为加合物前体。
优选的前体包括但不限于金属卤化物、烷基金属、烷氧基金属、金属酰胺、金属甲硅烷基酰胺、脒基金属、环戊二烯基金属、羧酸金属、β-二酮金属和β-二酮亚胺金属,其中所述金属不是过渡金属。
更优选的Al前体包括Al卤化物,诸如AlCl3,和烷基Al,诸如三甲基铝(TMA)。
更优选的Ga前体包括Ga卤化物,诸如GaCl3,和烷基Ga,诸如三甲基镓(TMG)。
更优选的In化合物,包括In卤化物,诸如InCl3,和In烷基化合物,诸如In(CH3)3
更优选的Pb化合物包括烷基Pb,诸如四苯基铅Ph4Pb或四乙基铅Et4Pb。
更优选的Sn前体包括Sn卤化物,诸如SnCl4
用于原子层沉积的Te和Se前体
Te和Se前体在2009年4月23日提交的名称为“Synthesis and Useof Precursors for ALD of Tellurium and Selenium Thin Films”的美国申请序列号12/429,133中公开。其公开内容在本文通过引用全文并入。
任何以下的前体可用于本文公开的各种ALD方法。具体地,公开了包括Te和Se的前体。
在一些实施方式中,Te或Se前体具有结合至两个硅原子的Te或Se。例如其可具有通式A(SiR1R2R3)2,其中A为Te或Se,和R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。在一些实施方式中,R1、R2和/或R3可为氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3可为包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R1、R2、R3可为卤素原子。在一些实施方式中,Te前体为Te(SiMe2 tBu)2,Se前体为Se(SiMe2 tBu)2。在其他实施方式中,该前体为Te(SiEt3)2、Te(SiMe3)2、Se(SiEt3)2或Se(SiMe3)2。在更优选的实施方式中,该前体具有Te-Si或Se-Si键,并且最优选Si-Te-Si或Si-Se-Si键结构。
在一些实施方式中,Te或Se前体具有通式[R1R2R3X1]3-Si-A-Si-[X2R4R5R6]3,其中A为Te或Se;并且其中R1、R2、R3、R4、R5和R6可被独立地选择为烷基、氢、烯基、炔基或芳基基团。在一些实施方式中,R1、R2、R3、R4、R5和R6可为也包含杂原子诸如N、O、F、Si、P、S、Cl、Br或I的任何有机基团。在一些实施方式中,R1、R2、R3、R4、R5和R6可为卤素原子。在一些实施方式中,X1和X2可为Si、N或O。在一些实施方式中,X1和X2是不同的元素。在实施方式中,当X为Si时则Si将被结合至三个R基团,例如[R1R2R3Si]3-Si-A-Si-[SiR4R5R6]3。在实施方式中,当X为N时则氮气将仅被结合至两个R基团([R1R2N]3-Si-A-Si-[NR3R4]3)。在实施方式中,当X为O时则氧将仅被结合至一个R基团,例如[R1-O]3-Si-A-Si-[O-R2]3。R1、R2、R3、R4、R5和R6基团可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等,在每个配体中相互独立地选择。
在一些实施方式中,Te或Se前体选自:
R1R2R3Si-Si-A-Si-SiR4R5R6;R1R2N-Si-A-Si-NR3R4
R1-O-Si-A-Si-O-R2;或R1R2Si-A-SiR3R4,双键在硅和R基团之一之间。在其他实施方式中,Te或Se前体包括:包括Te或Se原子和多个Si原子的环或环状构造;或包括多于一个的Te原子或多于一个的Se原子。在这些实施方式中,A为Te或Se,和R1、R2、R3、R4、R5和R6选自烷基、氢、烯基、炔基或芳基基团。在一些实施方式中,Te或Se前体不是A(SiR1R2R3)2
在一些实施方式中,Te或Se前体具有类似于上述式的化学式,然而Si原子具有结合至配体中R基团之一的双键(例如A-Si=),其中A为Te或Se。例如,以下表示前体化学式的局部结构:
Figure BDA00001810053600341
在一些实施方式中,前体包含Si和Te或Se的多个原子。例如,以下表示一个实施方式中的前体化学式的局部结构,其中A为Te或Se:
Figure BDA00001810053600342
以上图示的局部化学式中的Si原子也可被结合至一个或多个R基团。在一些实施方式中,可使用本文描述的任何R基团。
在一些实施方式中,前体包含环状或环结构的Si-Te-Si或Si-Se-Si键结构。例如,以下表示一个实施方式中的前体的局部结构,其中A为Te或Se。
Figure BDA00001810053600351
R基团可包括烷基、烯基、炔基、烷基甲硅烷基、烷基胺或烷氧基基团。在一些实施方式中,R基团是取代或分支的。在一些实施方式中,R基团不是取代和/或不是分支的。以上图示的局部化学式中的Si原子也可被结合至一个或多个R基团。在一些实施方式中,可使用本文描述的任何R基团。
Sb-Te的原子层沉积
使用Te前体形成Sb-Te薄膜的方法在2009年4月23日提交的名称为“Synthesis and Use of Precursors for ALD of Tellurium and SeleniumThin Films”的美国申请序列号12/429,133中公开。其公开内容在本文中通过引用全文并入。
在一些实施方式中,通过ALD沉积Sb-Te膜,优选Sb2Te3膜,优选不使用等离子体,然而在一些情况下,如果需要,可使用等离子体。例如,如果元素Te膜或富Te膜是期望的,可使用等离子体,诸如氢等离子体、氢自由基或原子氢。等离子体的另一应用是掺杂膜,例如使用等离子体可进行利用O、N或Si的掺杂。
根据一些实施方式,Sb2Te3薄膜通过包括多个Sb-Te沉积循环的ALD类型方法在反应室中形成在基底上,每个沉积循环包括:
提供包括Sb前体的第一气相反应物脉冲进入反应室,以便在基底上形成只有大约一个单分子层的Sb前体;
从反应室清除过量的第一反应物;
提供包括Te前体的第二气相反应物脉冲至反应室,以便Te前体与基底上的Sb前体反应,以形成Sb2Te3;和
如果有的话,从反应室清除过量的第二反应物和反应副产物。
这可被称为Sb-Te沉积循环。每个Sb-Te沉积循环通常形成至多大约一个单层的Sb2Te3。在一些实施方式中,重复Sb-Te沉积循环,直到形成所需厚度的膜。
尽管所说明的Sb-Te沉积循环以提供Sb前体开始,但在其他实施方式中,沉积循环以提供Te前体开始。
在一些实施方式中,可通过停止Te或Sb前体的流动同时继续惰性载气诸如氮气或氩气的流动,从反应室清除反应物和反应副产物。
在一些实施方式中,Sb源为SbX3,其中X为卤素元素。更优选Sb源为SbCl3或SbI3
优选地,Te前体具有式Te(SiR1R2R3)2,其中R1、R2和R3为包括一个或多个碳原子的烷基。可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等选择R1、R2和R3烷基。在一些实施方式中,Te前体为Te(SiMe2Bu)2。在其他实施方式中,该前体为Te(SiEt3)2或Te(SiMe3)2。在一些实施方式中,Te前体为Te(SiEt3)2和Sb前体为SbCl3
在一些实施方式中,选自但不限于O、N、C、Si、Sn、Ag、Al、Ga、P、Fe、Ge、In、Ag、Se、Te或Bi的一种或多种掺杂剂被引入膜。包括掺杂剂的前体优选但不是必须与本文描述的Te前体反应。
具体的工艺条件可由技术人员基于所选择前体的性质和被沉积膜的期望性质进行选择。
使用Sb和Sb-Te循环的Sb-Te原子层沉积
在一些实施方式中,可使用Sb和Sb-Te循环,沉积具有期望组成的SbxTey膜。
可改变Sb-Te与循环总数例如Sb循环数和Sb-Te循环数的比率,以便SbxTey膜以期望组成沉积。变量x和y可被表达为每分子原子比率、原子百分比或原子组成。技术人员应清楚x和y的表示单位。
在一些实施方式中,Sb循环与Sb-Te循环的比率在大约1:100和100:1之间。优选地,选择Sb-Te循环与Sb和Sb-Te循环的比率,以沉积具有期望组成的SbxTey薄膜。在一些实施方式中,Sb2Te3被沉积。
在一些实施方式中,x优选在大约30%和大约50%之间。在一些实施方式中,x优选在大约35%和45%之间。
在一些实施方式中,y优选在大约50%和70%之间。在一些实施方式中,y优选在大约55%和65%之间。
在一些实施方式中,x优选为大约2。在一些实施方式中,y在0和大约3之间。在一些实施方式中,x为2,y为1。在一些实施方式中,Sb膜用Te进行掺杂,并且因此分别地,y为从大约1%至大约10%,x为从大约99%至90%。
在一些实施方式中,当沉积时,Sb-Te薄膜为结晶的。在一些实施方式中,当沉积时,Sb-Te薄膜可为非晶态的。在一些实施方式中,薄膜可被退火,以便将非晶态薄膜转变为结晶薄膜。
在一些实施方式中,可使用本文描述的任何工艺条件。例如,本文描述的反应物、反应物流速和浓度、温度等可用于Sb或Sb-Te沉积循环。
在一些实施方式中,选自但不限于O、N、C、Si、Sn、Ag、Al、Ga、P、Fe、Ge、In、Ag、Se、Te或Bi的一种或多种掺杂剂被引入Sb-Te膜。在一些实施方式中,Sb-Te膜用Ag和In进行掺杂,并具有近似Ag0.055In0.065Sb0.59Te0.29的组成。
实施例2
使用Sb沉积循环和Sb2Te3沉积循环生长SbxTey膜。在沉积循环期间,基底温度为大约95℃。使用包括以下的沉积循环,用SbCl3和Te(SiEt3)2沉积Sb2Te3
1秒SbCl3脉冲;
2秒吹扫;
1秒Te(SiEt3)2脉冲;和
2秒吹扫。
通过Sb沉积循环用SbCl3和Sb(SiEt3)3沉积Sb,该循环包括:
1秒SbCl3脉冲;
2秒吹扫;
2秒Sb(SiEt3)3脉冲;和
2秒吹扫。
当改变Sb与Sb2Te3的比率时不同组成的膜被沉积。数据在图5-7中说明。
图5显示沉积膜的组成对Sb2Te3循环与Sb和Sb2Te3循环的总数的比率。图5中指出了纯Sb和Sb2Te3的组成,用于参考。图5显示具有不同斜率的两个线性区域。第一区域具有从0至大约0.66的比率的第一斜率。对于第二线性区域,斜率改变,循环比率为从大约0.66至1。图5中的数据表明沉积的Sb-Te膜的组成可基于Sb循环与Sb2Te3循环的比率进行调整。
图6说明每循环平均生长速度对Sb2Te3循环与Sb和Sb2Te3循环总数的比率。Sb沉积循环的平均生长速度为大约
Figure BDA00001810053600381
/循环。Sb2Te3沉积循环的平均生长速度为大约/循环。图6说明每循环平均生长速度接近对于循环比率大约为0.66的每循环Sb2Te3生长速度。
也通过GIXRD测量结晶度。图7说明不同组成包括Sb70Te30、Sb83Te17和Sb86Te14的Sb-Te膜的GIXRD数据。图7中说明的所有三个膜都是结晶的。通过沉积膜的EDX测量没有检测到氯。
Ge-Sb的原子层沉积
在一些实施方式中,通过ALD形成GexSby薄膜,而不使用等离子体。图2是一般性说明根据一个实施方式形成Ge-Sb薄膜的方法20的流程图。Ge-Sb薄膜通过包括多个Ge-Sb沉积循环的ALD类型方法形成在基底上,每个沉积循环包括:
21提供包括Ge前体的第一气相反应物脉冲进入反应室,以便在基底上形成只有大约一个单分子层的Ge前体;
23从反应室清除过量的第一反应物;
25提供包括Sb前体的第二气相反应物脉冲至反应室,以便Sb前体与基底上的Ge前体反应;和
27如果有的话,从反应室清除过量的第二反应物和反应副产物。
这可被称为Ge-Sb沉积循环。每个Ge-Sb沉积循环通常形成至多大约一个单层的Ge-Sb。29重复Ge-Sb沉积循环直到形成所需厚度的膜。在一些实施方式中,形成从大约
Figure BDA00001810053600391
至大约
Figure BDA00001810053600392
的Ge-Sb膜。
x和y值以及GexSby膜的组成可变化。在一些实施方式中,x和y小于1。在一些实施方式中,x和y的总和等于大约1,或者,如果x和y值被表达为百分比,则x和y的总和等于大约100。
尽管说明的Ge-Sb沉积循环以提供Ge前体开始,但在其他实施方式中,沉积循环以提供Sb前体开始。
在一些实施方式中,反应物和反应副产物可通过停止Ge或Sb前体的流动同时继续惰性载气诸如氮气或氩气的流动从反应室清除。
优选地,Ge源为GeX2或GeX4,其中X为卤素元素。优选Ge的氧化态为+II。在一些实施方式中,Ge源为GeBr2。在一些实施方式中,Ge源为具有配位配体诸如二
Figure BDA00001810053600393
烷配体的卤化锗。优选地具有配位配体的Ge源为二卤化锗络合物,更优选二氯化锗二
Figure BDA00001810053600394
烷络合物GeCl2·C4H8O2
Sb前体可为本文描述的任何Sb前体。优选地,第二Sb前体具有式Sb(SiR1R2R3)2,其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等进行选择。
在形成Ge-Sb薄膜期间的基底温度优选小于250℃,更优选小于200℃,并且甚至更优选100℃以下。如果非晶态薄膜是期望的,则温度可甚至进一步降低至大约90℃或以下。在一些实施方式中,沉积温度可在大约80℃以下,大约70℃以下或甚至大约60℃以下。
技术人员可基于所选择前体的性质确定适宜的反应物蒸发温度。
技术人员可通过基于所选择前体的性质和被沉积Ge-Sb薄膜的期望性质,通过常规实验确定适宜的反应物脉冲时间。
优选地Ge和Sb反应物脉冲大约0.05至10秒,更优选大约0.2至4秒和最优选大约1至4秒。如果有的话,清除过量的反应物和反应副产物的吹扫步骤优选为大约0.05至10秒,更优选大约0.2-4秒。在一些情况下,如在分批ALD反应器中,脉冲和吹扫时间可变化更大,并且可使用更长的甚至分钟量级的脉冲时间。
在一些实施方式中,沉积的Ge-Sb薄膜是非晶态的。在一些实施方式中,沉积的Ge-Sb薄膜是结晶的。
在一些实施方式中,沉积的Ge-Sb膜可被退火。
在一些实施方式中,选自但不限于O、N、C、Si、Sn、Ag、Al、Ga、P、Fe、Ge、In、Ag、Se、Te或Bi的一种或多种掺杂剂被引入Ge-Sb膜。
实施例3
Ge-Sb膜在大约95℃下被沉积在基底上,使用Sb(SiEt3)3作为Sb源,GeCl2·C4H8O2作为Ge源,采用包括以下的沉积循环:
4秒GeCl2·C4H8O2脉冲;
6秒吹扫;
2秒Sb(SiEt3)3脉冲;和
2秒吹扫。
在大约
Figure BDA00001810053600401
/循环下计算每循环生长速度。X射线衍射图结果表明沉积膜是非晶态的。能量分散x射线(EDX)分析显示,沉积膜为Ge0.82Sb0.18(82at%Ge和18at%Sb)。
使用Sb和Ge-Sb沉积循环的Ge-Sb原子层沉积
在一些实施方式中,可使用Sb和Ge-Sb循环沉积具有期望组成的GexSby膜。
可改变Ge-Sb与循环总数例如Sb循环和Ge-Sb循环数的比率,以便GexSby膜以期望组成沉积。变量x和y可被表达为每分子原子比率、原子百分比或原子组成。技术人员应清楚x和y的表示单位。
在一些实施方式中,Sb与Ge-Sb循环的比率在大约1:100和100:1之间。优选地,选择Sb与Sb和Ge-Sb的比率,以沉积具有期望组成的Ge-Sb薄膜。
在一些实施方式中,x优选在大约5%和大约20%之间。在一些实施方式中,y优选在大约80%和95%之间。在一个实施方式中,具有低共熔组成Ge15Sb85的膜被沉积。在一些实施方式中,Sb膜用Ge进行掺杂,并且因此分别地y从大约1%至大约10%,x从大约99%至90%。
在一些实施方式中,当被沉积时,Ge-Sb薄膜为结晶的。在一些实施方式中,当被沉积时,Ge-Sb薄膜可为非晶态的。在一些实施方式中,薄膜可被退火,以便将非晶态薄膜转变为结晶薄膜。
在一些实施方式中,可使用本文描述的任何工艺条件。例如,本文描述的反应物、反应物流速和浓度、温度等可用于Sb或Ge-Sb沉积循环。
在一些实施方式中,选自但不限于O、N、C、Si、Sn、Ag、Al、Ga、P、Fe、Ge、In、Ag、Se、Te或Bi的一种或多种掺杂剂被引入膜。
实施例4
使用Sb沉积循环和Ge-Sb沉积循环,沉积各种组成的Ge-Sb膜。通过使用SbCl3和Sb(SiEt3)3的Sb沉积循环,沉积Sb,该循环包括:
1秒SbCl3脉冲;
2秒吹扫;
2秒Sb(SiEt3)3脉冲;和
2秒吹扫。
用Sb(SiEt3)3作为Sb来源,用GeCl2-C4H8O2作为Ge源,沉积Ge-Sb膜,使用包括以下的沉积循环:
4秒GeCl2·C4H8O2脉冲;
6秒吹扫;
2秒Sb(SiEt3)3脉冲;和
2秒吹扫。
图8和9说明被沉积的Ge-Sb膜的组成和生长速度对Ge-Sb循环与循环总数的比率。
图8说明在Ge-Sb循环与循环总数的比率对被沉积膜的组成之间的线性关系。图9也说明在每循环平均生长速度和Ge-Sb循环与总循环的比率之间的线性关系。
Ge0.23Sb0.77和Ge0.82Sb0.18膜通过EDX分析表征。当被沉积时,Ge0.23Sb0.77和Ge0.82Sb0.18膜是非晶态的。EDX分析也发现一些氯存在于被沉积的Ge-Sb膜中。氯的量随存在于沉积膜中的Ge量增加。有可能氯来自GeCl2-C4H8O2前体。对于利用具有相对低Ge含量的Ge-Sb膜(例如Ge0.15Sb0.85)的PCM应用,来自GeCl2-C4H8O2前体的氯不会不利地影响设备性能。
使用Sb、Sb-Te、Ge-Te和Ge-Sb沉积循环的Ge-Sb-Te原子层沉
根据一些实施方式,GexSbyTez(GST)薄膜通过包括多个沉积循环的ALD类型方法形成在基底上。
在一些实施方式中,提供Sb、Te和Ge沉积循环,以沉积具有期望化学计量和期望厚度的GST薄膜。可选择具体的比率和循环顺序,以获得具有期望组成的薄膜。
在一些实施方式中,提供Sb、Sb-Te、Ge-Te沉积循环,以沉积具有期望化学计量和期望厚度的GST薄膜。可选择具体的比率和循环顺序,以获得期望组成。
在一些实施方式中,提供Sb、Sb-Te、Ge-Te和Ge-Sb沉积循环,以沉积具有期望化学计量和期望厚度的GST薄膜。可选择具体的比率和循环顺序,以获得期望组成。
在一些实施方式中,沉积具有式Ge2Sb2Te5的GST薄膜。在一些实施方式中,沉积富Sb的GST膜,诸如Ge3Sb6Te5。在一些实施方式中,沉积具有式GeSb2Te4的薄膜。在一些实施方式中,沉积具有式GeSb4Te7的薄膜。
技术人员将理解,可以任何顺序进行Sb、Sb-Te、Ge-Te和Ge-Sb沉积循环。在一些实施方式中,GST沉积过程以Sb沉积循环开始;在其他实施方式中,GST沉积过程以Sb-Te沉积循环、Ge-Te或Ge-Sb循环开始。
技术人员将也理解,在Sb-Te或Ge-Sb循环之前可连续进行多个Sb沉积循环,在随后的Sb或Ge-Sb沉积循环之前可连续进行多个Sb-Te沉积循环,以及在随后的Sb、Ge-Te或Sb-Te沉积循环之前可连续进行多个Ge-Sb沉积循环。
在一些实施方式中,可使用本文描述的任何工艺条件。例如,对于Sb、Ge-Sb、Sb-Te、Ge-Te沉积循环,可使用本文描述的反应物、反应物流速和浓度、温度等。
在一些实施方式中,当被沉积时,GST薄膜可为结晶的。在其他实施方式中,非晶态的GST薄膜被沉积。在一些实施方式中,非晶态薄膜可在惰性气体诸如氮气的存在下退火。基底和薄膜也可在退火步骤期间,在沉积温度以上的温度下被加热。优选地,在退火步骤期间的基底温度为大约130℃以上。更优选地,在退火步骤期间的基底温度为大约250℃以上。最优选地,在退火步骤期间的温度为300℃以上。退火步骤可改变薄膜的结晶度。在一些实施方式中,非晶态薄膜可在退火步骤期间结晶。在一些实施方式中,结晶GST薄膜的结晶度可在退火步骤期间改变。
使用Sb、Sb-Se、Ge-Se和Ge-Sb沉积循环的Ge-Sb-Se原子层沉
根据一些实施方式,GexSbySez薄膜通过包括多个沉积循环的ALD类型方法形成在基底上。
在一些实施方式中,提供Sb、Sb-Se、Ge-Se和Ge-Sb沉积循环,以沉积具有期望化学计量和期望厚度的薄膜。可选择具体的比率和循环顺序,以获得期望组成。
技术人员将理解,可以任何顺序进行Sb、Sb-Se、Ge-Se和Ge-Sb沉积循环。在一些实施方式中,沉积过程以Sb沉积循环开始;在其他实施方式中,沉积过程以Sb-Se沉积循环、Ge-Se或Ge-Sb循环开始。
技术人员也将理解,在Sb-Se或Ge-Sb循环之前可连续进行多个Sb沉积循环,在随后的Sb或Ge-Sb沉积循环之前可连续进行多个Sb-Se沉积循环,并且在随后的Sb、Ge-Se或Sb-Se沉积循环之前可连续进行多个Ge-Sb沉积循环。
在一些实施方式中,可使用本文描述的任何工艺条件。例如,对于Sb、Ge-Sb、Sb-Se和Ge-Se沉积循环,使用本文描述的反应物、反应物流速和浓度、温度等。
在一些实施方式中,当被沉积时,薄膜可为结晶的。在其他实施方式中,非晶态薄膜被沉积。在一些实施方式中,非晶态薄膜可在惰性气体诸如氮气的存在下被退火。基底和薄膜也可在退火步骤期间,在沉积温度以上的温度下被加热。优选地,在退火步骤期间的基底温度为大约130℃以上。更优选地,在退火步骤期间的基底温度为大约250℃以上。最优选地,在退火步骤期间的温度为300℃以上。退火步骤可改变薄膜的结晶度。在一些实施方式中,非晶态薄膜可在退火步骤期间结晶。在一些实施方式中,可在退火步骤期间改变结晶薄膜的结晶度。
Al-Sb的原子层沉积
在一些实施方式中,AlxSby薄膜由ALD形成,而不使用等离子体。Al-Sb薄膜通过包括多个Al-Sb沉积循环的ALD类型方法形成在基底上,每个沉积循环包括:
提供包括Al前体的第一气相反应物脉冲进入反应室,以便在基底上形成只有大约一个单分子层的Al前体;
从反应室清除过量的第一反应物;
提供包括Sb前体的第二气相反应物脉冲至反应室,以便Sb前体与在基底上的Al前体反应;和
如果有的话,从反应室清除过量的第二反应物和反应副产物。
这可被称为Al-Sb沉积循环。每个Al-Sb沉积循环通常形成至多大约一个单层的Al-Sb。重复Al-Sb沉积循环,直到形成期望厚度的膜。在一些实施方式中,形成大约
Figure BDA00001810053600441
至大约
Figure BDA00001810053600442
的Al-Sb膜。
x和y值以及AlxSby膜的组成可变化。在一些实施方式中,x和y小于1。在一些实施方式中,x和y的总和等于大约1,或者,如果x和y值被表达为百分比,则x和y的总和等于大约100。
尽管说明的Al-Sb沉积循环以提供Al前体开始,但在其他实施方式中,沉积循环以提供Sb前体开始。
在一些实施方式中,可通过停止Al或Sb前体的流动同时继续惰性载气诸如氮气或氩气的流动,从反应室清除反应物和反应副产物。
优选地,Al源为AlX3,其中X为卤素元素。在一些实施方式中,Al源为AlCl3。在一些实施方式中,Al源为烷基铝化合物,诸如三甲基铝(TMA)。
Sb前体可为本文描述的任何Sb前体。优选地,第二Sb前体具有式Sb(SiR1R2R3)3,其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等进行选择。
在形成Al-Sb薄膜期间的基底温度优选小于500℃,更优选小于350℃,并且甚至更优选在200℃以下。如果非晶态薄膜是期望的,则温度可甚至进一步降低至大约90℃或以下。在一些实施方式中,沉积温度可在大约80℃以下,大约70℃以下或甚至大约60℃以下。
技术人员可基于所选择前体的性质确定适宜的反应物蒸发温度。
技术人员可通过基于所选择前体的性质和被沉积Al-Sb薄膜的期望性质,通过常规实验确定适宜的反应物脉冲时间。
优选地,Al和Sb反应物脉冲大约0.05至10秒,更优选大约0.2至4秒。如果有的话,清除过量的反应物和反应副产物的吹扫步骤优选为大约0.05至10秒,更优选大约0.2-4秒。在一些情况下,如在分批ALD反应器中,脉冲和吹扫时间可变化更大,并且可使用更长的甚至分钟量级的脉冲时间。
在一些实施方式中,被沉积Al-Sb薄膜是非晶态的。在一些实施方式中,被沉积Al-Sb薄膜是结晶的。
在一些实施方式中,被沉积Al-Sb膜可被退火。
In-Sb的原子层沉积
在一些实施方式中,通过ALD形成InxSby薄膜,而不使用等离子体。In-Sb薄膜通过包括多个In-Sb沉积循环的ALD类型方法形成在基底上,每个沉积循环包括:
提供包括In前体的第一气相反应物脉冲进入反应室,以便在基底上形成只有大约一个单分子层的In前体;
从反应室清除过量的第一反应物;
提供包括Sb前体的第二气相反应物脉冲至反应室,以便Sb前体与在基底上的In前体反应;和
如果有的话,从反应室清除过量的第二反应物和反应副产物。
这可被称为In-Sb沉积循环。每个In-Sb沉积循环通常形成至多大约一个单层的In-Sb。重复In-Sb沉积循环,直到形成所需厚度的膜。在一些实施方式中,形成从大约
Figure BDA00001810053600451
至大约
Figure BDA00001810053600452
的In-Sb膜。
x和y值以及InxSby膜的组成可变化。在一些实施方式中,x和y小于1。在一些实施方式中,x和y的总和等于大约1,或者,如果x和y值被表达为百分比,则x和y的总和等于大约100。
尽管说明的In-Sb沉积循环以提供In前体开始,但在其他实施方式中,沉积循环以提供Sb前体开始。
在一些实施方式中,反应物和反应副产物可通过停止In或Sb前体的流动同时继续惰性载气诸如氮气或氩气的流动从反应室清除。
优选地,In源为InX3,其中X为卤素元素。在一些实施方式中,In源为InCl3。在一些实施方式中,In源为烷基铟化合物,诸如三甲基铟(TMI)。在一些实施方式中,In源为β-二酮铟,诸如乙酰丙酮铟In(acac)3。在一些实施方式中,In源为InCp或其取代的Cp-衍生物。
Sb前体可为本文描述的任何Sb前体。优选地,第二Sb前体具有式Sb(SiR1R2R3)3,其中R1、R和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等进行选择。
在形成In-Sb薄膜期间的基底温度优选小于500℃,更优选小于350℃,并且甚至更优选为200℃以下。如果非晶态薄膜是期望的,则温度可甚至进一步降低至大约90℃或以下。在一些实施方式中,沉积温度可在大约80℃以下,大约70℃以下或甚至大约60℃以下。
技术人员可基于所选择前体的性质确定适宜的反应物蒸发温度。
技术人员可通过基于所选择前体的性质和被沉积In-Sb薄膜的期望性质,通过常规实验确定适宜的反应物脉冲时间。
优选地In和Sb反应物脉冲大约0.05至10秒,更优选大约0.2至4秒。如果有的话,清除过量的反应物和反应副产物的吹扫步骤优选为大约0.05至10秒,更优选大约0.2-4秒。在一些情况下,如在分批ALD反应器中,脉冲和吹扫时间可变化更大,并且可使用更长的甚至分钟量级的脉冲时间。
在一些实施方式中,被沉积In-Sb薄膜是非晶态的。在一些实施方式中,被沉积In-Sb薄膜是结晶的。
在一些实施方式中,被沉积In-Sb膜可被退火。
Ga-Sb的原子层沉积
在一些实施方式中,通过ALD形成GaxSby薄膜,而不使用等离子体。Ga-Sb薄膜通过包括多个Ga-Sb沉积循环的ALD类型方法形成在基底上,每个沉积循环包括:
提供包括Ga前体的第一气相反应物脉冲进入反应室,以便在基底上形成只有大约一个单分子层的Ga前体;
从反应室清除过量的第一反应物;
提供包括Sb前体的第二气相反应物脉冲至反应室,以便Sb前体与在基底上的Ga前体反应;和
如果有的话,从反应室清除过量的第二反应物和反应副产物。
这可被称为Ga-Sb沉积循环。每个Ga-Sb沉积循环通常形成至多大约一个单层的Ga-Sb。重复Ga-Sb沉积循环,直到形成所需厚度的膜。在一些实施方式中,形成从大约至大约
Figure BDA00001810053600472
的Ga-Sb膜。
x和y值以及GaxSby膜的组成可变化。在一些实施方式中,x和y小于1。在一些实施方式中,x和y的总和等于大约1,或者,如果x和y值被表达为百分比,则x和y的总和等于大约100。
尽管说明的Ga-Sb沉积循环以提供Ga前体开始,但在其他实施方式中,沉积循环以提供Sb前体开始。
在一些实施方式中,反应物和反应副产物可通过停止Ga或Sb前体的流动同时继续惰性载气诸如氮气或氩气的流动从反应室清除。
优选地,Ga源为GaX3,其中X为卤素元素。在一些实施方式中,Ga源为GaCl3。在一些实施方式中,Ga源为烷基镓化合物,诸如三甲基镓(TMG)。在一些实施方式中,Ga源为β-二酮镓。
Sb前体可为本文描述的任何Sb前体。优选地,第二Sb前体具有式Sb(SiR1R2R3)3,其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等进行选择。
在形成Ga-Sb薄膜期间的基底温度优选小于500℃,更优选小于350℃,并且甚至更优选为200℃以下。如果非晶态薄膜是期望的,则温度可甚至进一步降低至大约90℃或以下。在一些实施方式中,沉积温度可在大约80℃以下,大约70℃以下或甚至大约60℃以下。
技术人员可基于所选择前体的性质确定适宜的反应物蒸发温度。
技术人员可通过基于所选择前体的性质和被沉积Ga-Sb薄膜的期望性质,通过常规实验确定适宜的反应物脉冲时间。
优选地Ga和Sb反应物脉冲大约0.05至10秒,更优选大约0.2至4秒。如果有的话,清除过量的反应物和反应副产物的吹扫步骤优选为大约0.05至10秒,更优选大约0.2-4秒。在一些情况下,如在分批ALD反应器中,脉冲和吹扫时间可变化更大,并且可使用更长的甚至分钟量级的脉冲时间。
在一些实施方式中,被沉积Ga-Sb薄膜是非晶态的。在一些实施方式中,被沉积Ga-Sb薄膜是结晶的。
在一些实施方式中,被沉积Ga-Sb膜可被退火。
使用Sb前体的沉积包括Sb和Co的材料的ALD方法
已经研究了方钴矿诸如CoSb3、CeFe4-xCoxSb12和LaFe4-xCoxSb12作为热电材料的潜在用途。
在一些实施方式中,包括Co和Sb的薄膜通过ALD形成,而不使用等离子体。包括Co和Sb的薄膜通过包括多个沉积循环的ALD类型方法形成在基底上,每个沉积循环包括:
提供包括Co前体的第一气相反应物脉冲进入反应室,以便在基底上形成只有大约一个单分子层的Co前体;
从反应室清除过量的第一反应物;
提供包括Sb前体的第二气相反应物脉冲至反应室,以便Sb前体与在基底上的Co前体反应;和
如果有的话,从反应室清除过量的第二反应物和反应副产物。
这可被称为Co-Sb沉积循环。每个Co-Sb沉积循环通常形成至多大约一个单层的Co-Sb。重复Co-Sb沉积循环,直到形成所需厚度的膜。在一些实施方式中,形成从大约
Figure BDA00001810053600481
至大约
Figure BDA00001810053600482
的Co-Sb膜。
x和y值以及CoxSby膜的组成可变化。在一些实施方式中,x和y小于1。在一些实施方式中,x和y的总和等于大约1,或者,如果x和y值被表达为百分比,则x和y的总和等于大约100。
尽管说明的Co-Sb沉积循环以提供Co前体开始,但在其他实施方式中,沉积循环以提供Sb前体开始。
在一些实施方式中,反应物和反应副产物可通过停止Sb或Co前体的流动同时继续惰性载气诸如氮气或氩气的流动从反应室清除。
优选地,Co源为脒基Co或β-二酮Co,诸如Co(acac)2、Co(acac)3、Co(thd)2或Co(thd)3
Sb前体可为本文描述的任何Sb前体。优选地,第二Sb前体具有式Sb(SiR1R2R3)3,其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等进行选择。
可包括在Co-Sb沉积循环中的其他材料或掺杂剂包括过渡金属诸如Fe、La或Ce。选自O、N、C、Si、Sn、Ag、Al、Ga、P、Fe、Ge、In、Ag、Se、Te或Bi的其他掺杂剂,但不限于这些,也可被引入膜。
在形成Co-Sb薄膜期间的基底温度优选小于500℃,更优选小于350℃,并且甚至更优选在200℃以下。如果非晶态薄膜是期望的,则温度可甚至进一步降低至大约90℃或以下。在一些实施方式中,沉积温度可在大约80℃以下,大约70℃以下或甚至大约60℃以下。
技术人员可基于所选择前体的性质确定适宜的反应物蒸发温度。
技术人员可通过基于所选择前体的性质和被沉积Co-Sb薄膜的期望性质,通过常规实验确定适宜的反应物脉冲时间。
优选地Co和Sb反应物脉冲大约0.05至10秒,更优选大约0.2至4秒。如果有的话,清除过量的反应物和反应副产物的吹扫步骤优选为大约0.05至10秒,更优选大约0.2-4秒。在一些情况下,如在分批ALD反应器中,脉冲和吹扫时间可变化更大,并且可使用更长的甚至分钟量级的脉冲时间。
在一些实施方式中,被沉积Co-Sb薄膜是非晶态的。在一些实施方式中,被沉积Co-Sb薄膜是结晶的。
在一些实施方式中,被沉积Co-Sb膜可被退火。
使用Sb前体的沉积Zn-Sb的ALD方法
也研究了ZnSb作为热电材料的潜在用途。在一些实施方式中,包括Zn-Sb的薄膜通过ALD形成,而不使用等离子体。Zn-Sb薄膜通过包括多个Zn-Sb沉积循环的ALD类型方法形成在基底上,每个沉积循环包括:
提供包括Zn前体的第一气相反应物脉冲进入反应室,以便在基底上形成只有大约一个单分子层的Zn前体;
从反应室清除过量的第一反应物;
提供包括Sb前体的第二气相反应物脉冲至反应室,以便Sb前体与在基底上的Zn前体反应;和
如果有的话,从反应室清除过量的第二反应物和反应副产物。
这可被称为Zn-Sb沉积循环。每个Zn-Sb沉积循环通常形成至多大约一个单层的Zn-Sb。重复Zn-Sb沉积循环,直到形成所需厚度的膜。在一些实施方式中,形成从大约
Figure BDA00001810053600501
至大约
Figure BDA00001810053600502
的Zn-Sb膜。
x和y值以及ZnxSby膜的组成可变化。在一些实施方式中,x和y小于1。在一些实施方式中,x和y的总和等于大约1,或者,如果x和y值被表达为百分比,则x和y的总和等于大约100。
尽管说明的Zn-Sb沉积循环以提供Zn前体开始,在其他实施方式中,沉积循环以提供Sb前体开始。
在一些实施方式中,反应物和反应副产物可通过停止Zn或Sb前体的流动同时继续惰性载气诸如氮气或氩气的流动从反应室清除。
优选地,Zn源为ZnX2,其中X为卤素元素。在一些实施方式中,Zn源为ZnCl2。在一些实施方式中,Zn源为烷基锌化合物,诸如二甲基锌或二乙基锌。
Sb前体可为本文描述的任何Sb前体。优选地,第二Sb前体具有式Sb(SiR1R2R3)3,其中R1、R2和R3为包括一个或多个碳原子的烷基。R1、R2和R3烷基可基于前体的期望物理性质诸如挥发性、蒸气压、毒性等进行选择。
在形成Zn-Sb薄膜期间的基底温度优选小于500℃,更优选小于350℃,并且甚至更优选在200℃以下。如果非晶态薄膜是期望的,则温度可甚至进一步降低至大约90℃或以下。在一些实施方式中,沉积温度可在大约80℃以下,大约70℃以下或甚至大约60℃以下。
技术人员可基于所选择前体的性质确定适宜的反应物蒸发温度。
技术人员可通过基于所选择前体的性质和被沉积Zn-Sb薄膜的期望性质,通过常规实验确定适宜的反应物脉冲时间。
优选地Zn和Sb反应物脉冲大约0.05至10秒,更优选大约0.2至4秒。如果有的话,清除过量的反应物和反应副产物的吹扫步骤优选为大约0.05至10秒,更优选大约0.2-4秒。在一些情况下,如在分批ALD反应器中,脉冲和吹扫时间可变化更大,并且可使用更长的甚至分钟量级的脉冲时间。
在一些实施方式中,被沉积Zn-Sb薄膜是非晶态的。在一些实施方式中,被沉积Zn-Sb薄膜是结晶的。
在一些实施方式中,被沉积Zn-Sb膜可被退火。
使用包括As、Bi和P的VA族前体的ALD方法
在一些实施方式中,包括As、Bi或P的前体可取代本文描述的任何Sb前体。在一些实施方式中,As前体为As(SiMe2 tBu)3、As(SiEt3)3或As(SiMe3)3。在一些实施方式中,Bi前体为Bi(SiMe2 tBu)3、Bi(SiEt3)3或Bi(SiMe3)3。在一些实施方式中,P前体为P(SiMe2 tBu)3、P(SiEt3)3或P(SiMe3)3
在一些实施方式中,可制备包括As的薄膜,其包括:As-Te、As-Se、In-As、Ga-As、In-Ga-As、As-S和Al-As。本文描述的任何前体和化合物都可与As前体一起使用。在一些优选的实施方式中,As前体为As(SiMe2 tBu)3、As(SiEt3)3或As(SiMe3)3
在一些实施方式中,可制备包括Bi的薄膜可,其包括:元素Bi、Bi-Te、Bi-Se、In-Bi、Sb-Bi、Ga-Bi和Al-Bi。本文描述的任何前体和化合物都可与Bi前体一起使用。在一些优选的实施方式中,Bi前体为Bi(SiMe2 tBu)3、Bi(SiEt3)3或Bi(SiMe3)3
在一些实施方式中,可制备包括P的薄膜,其包括:P-Te、P-Se、In-P、Ga-P、Cu-P和Al-P。本文描述的任何前体和化合物都可与P前体一起使用。在一些优选的实施方式中,P前体为P(SiMe2 tBu)3、P(SiEt3)3或P(SiMe3)3
在一些实施方式中,使用GaCl3和As(SiH3)3作为前体沉积Ga-As膜。
在一些实施方式中,沉积包括III-V族化合物半导体膜的膜,其具有式(M1,M2,M3)(A1,A2,A3)即M1 xM2 yM3 zA1 pA2 kA3 l,其中M可选自Al、Ga或In,A可选自P、As、Sb。在一些实施方式中,III-V族化合物半导体膜为三元化合物,诸如(Ga,Al)As、Ga(As,Sb)或(Ga,In)As膜。在一些实施方式中,III-V族化合物半导体膜为四元化合物膜,诸如(Ga,In)(As,P)膜或(Al,In,Ga)P膜。
实施例5
使用GaCl3和As(SiEt3)3作为前体沉积Ga-As膜。500个Ga-As的循环用于在具有天然氧化物的硅基底上沉积Ga-As。反应温度为100℃和120℃。As(SiEt3)3源温度从大约50℃至大约70℃。GaCl3被保持在室温。每个Ga-As循环为:
0.5秒GaCl3脉冲;
2秒吹扫;
1秒As(SiEt3)3脉冲;和
2秒吹扫。
Ga-As膜通过EDX分析表特征为Ga0.58As0.42。Ga0.58As0.42膜的生长速度大概从大约
Figure BDA00001810053600521
/循环至大约
Figure BDA00001810053600522
/循环。EDX分析也发现一些氯和氧存在于沉积的Ga-As膜中。注意,这些过程未优化,因此膜中一些杂质不是异常的。
使用包括N的前体的ALD方法
在一些实施方式中,包括N的前体可代替本文描述的Sb前体并用于ALD循环。在一些实施方式中,包括N的前体为N(SiMe2 tBu)3、N(SiH3)3、N(SiEt3)3或N(SiMe3)3。在一些实施方式中,包括N的前体为N(SiMe3)H。在一些实施方式中,本文描述的包括N的前体不是N(SiH3)3
在一些实施方式中,包括N的前体可与包括B、Al、Ga或In的前体一起用于ALD循环,以沉积包括B-N、Al-N、Ga-N和In-N的薄膜。
在一些实施方式中,沉积包括III-V族化合物半导体膜的膜,其具有式(M1,M2,M3)N,即M1 xM2 yM3 zN,其中M可选自Al、Ga或In。在一些实施方式中,III-V族化合物半导体膜包括三元化合物,诸如(Ga,In)N、Ga(N,P)、(Ga,Al)N或(Al,In)N膜。在一些实施方式中,III-V族化合物半导体膜包括四元化合物,诸如(Ga,In)(N,P)、(Ga,In)(As,N)、(Al,In)(N,P)或(Al,In,Ga)N。
纳米层压材料
控制具有三种或更多种元素(三元或更高)的材料的组成可能困难。然而,较容易控制通过ALD沉积的二元材料的组成。
在一些实施方式中,多个ALD循环可用于沉积第一膜,随后通过多个ALD循环形成具有不同于第一膜的组成的第二膜。在一些实施方式中,两个或更多个循环用于沉积第一膜。在一些实施方式中,两个或更多个循环用于沉积第二膜。
所得膜的化学计量可通过改变第二循环的比率进行精确地控制。每个沉积层的厚度可通过选择ALD循环数进行控制。
在一些实施方式中,多个ALD循环可用于沉积大约一纳米或更多的第一或第二膜。在一些实施方式中,优选沉积大约1至6nm的材料。
在一些实施方式中,形成多个第一膜和第二膜。例如,第一和第二循环可交替,以形成第一膜和第二膜的交替薄膜。
在一些实施方式中,可使用具有不同组成的三个或更多个膜,以形成具有期望组成、晶体结构和其他物理性质的膜。
第一和第二膜可为本文描述的任何材料。优选地,被沉积膜可包括以下中的一个或多个:Sb、Sb-Te、GeTe、Ge-Sb-Te、Sb2Te3、Sb2Te、Ge-Sb、Ge2Sb2Se5、Bi-Te、Bi-Se、Zn-Te、ZnSe、CuInSe2和Cu(In,Ga)Se2。在一些实施方式中,选自但不限于O、N、C、Si、Sn、Ag、Al、Ga、P、Fe、Ge、In、Ag、Se、Te或Bi的一种或多种掺杂剂被引入膜。
在一些实施方式中,薄膜可包括介电材料。在一些实施方式中,介电材料可包括SiO2、TiO2、Al2O3、ZrO2和HfO2。在一些实施方式中,可结合本文公开的相变材料形成介电材料。例如,在一个实施方式中,SiO2可与Ge-Sb-Te薄膜一起被沉积。使用具有相变材料的介电层可改变沉积膜的结晶温度、电阻率、稳定性和其他特性。
本领域已知的其他沉积方法也可用于沉积纳米层压材料,例如CVD、RTCVD等。
在一些实施方式中,Sb-Te和Ge-Te循环用于沉积Sb2Te3和Ge-Te的交替层。所得膜的化学计量可通过改变Sb-Te和Ge-Te循环的比率进行精确地控制。每个被沉积层的厚度可通过选择Sb-Te和Ge-Te循环数进行控制。
在一些实施方式中,Sb、Sb-Te和Ge-Te循环用于沉积Sb、Sb2Te3和Ge-Te的交替层。
在一些实施方式中,Sb-Se和Ge-Se循环用于沉积Sb2Se3和Ge-Se的交替层。
在一些实施方式中,Sb、Sb-Se和Ge-Se循环用于沉积Sb、Sb2Se3和Ge-Se的交替层。
在一些实施方式中,当被沉积时,薄膜是结晶的。在一些实施方式中,当被沉积时,薄膜是非晶态的。
在一些实施方式中,薄膜可在惰性气体诸如氮气的存在下被退火。在一些实施方式中,退火可改变沉积薄膜的晶体结构。
纳米层压薄膜可具有比体相膜优越的性质。例如,与具有相同总厚度的体相GST膜相比,具有2-6nm厚各个层的纳米层压可显示更低的设计电流(programming current)和更快的工作时间。
实施例6
在80℃下使用1000个总应用循环,制备GeTe/Sb2Te3(GT/ST)纳米层压样品。使用以下的循环顺序:(A)250×(2GT+2ST)、(B)100×(5GT+5ST)、(C)50×(10GT+10ST)、(D)10×(50GT+50ST)、(E)5×(100GT+100ST)和(F)2×(250GT+250ST)。也制备使用顺序500×(GT+ST)的参照GST膜。被沉积膜D、E和F是结晶的,具有立方体结构。所有其他膜是非晶态的。通过EDX研究组成。图11显示作为所应用亚循环的函数的膜组成。可见,当亚循环量增加时,Sb含量降低,并且Ge含量增加。
在N2流下,在不同温度下退火后测量纳米层压样品以及GeTe、Sb2Te3和GST样品的电阻率(图12)。结晶样品如预期的处于高电导性状态。非晶态纳米层压样品在125–150℃之间结晶,使它们的电阻率降低4-5数量级。GeTe样品的结晶温度在150和175℃之间。
对样品A-E进行N2下405℃高温XRD(HTXRD)测量。在测量期间保持样品D和E的立方体结构。开始,具有较少量亚循环的非晶态样品A-C在150℃下结晶成立方体相并随后在350℃下结晶成稳定的六方体相(图13a和13b)
综上所述,通过使用纳米层压材料,可调整膜组成、晶体结构和电阻率。
样品D(图13a)和C(图13b)的从室温至405℃的HTXRD测量。扫描数(scan number)1-20代表从室温加热至405℃,21-30代表冷却回室温。
VA族前体合成
也提供用于本文描述的ALD方法的某些VA族前体的制备方法。在一些实施方式中,VA族元素为As、Sb、Bi或P。在一些实施方式中,合成具有式L(SiR1R2R3)3的前体,其中L为As、Sb、Bi或P,R1、R2和R3为优选具有一个或多个碳原子的烷基。在一些实施方式中,被合成的VA族前体具有式L(SiMe3)3,在其他实施方式中具有式L(SiEt3)3,L为As、Sb、Bi或P。
具体地,可合成具有式Sb(SiR1R2R3)3的Sb前体,其中R1、R2和R3优选为具有一个或多个碳原子的烷基。在一些实施方式中,被合成的Sb前体为Sb(SiMe3)3,在其他实施方式中为Sb(SiEt3)3
图10为一般性说明形成Sb前体的方法的流程图。在一些实施方式中,用于制备Sb前体的方法包括:
通过使IA族金属与包括Sb的化合物、优选元素Sb反应形成第一产物;和
随后添加包括R1R2R3SiX的第二反应物至第一产物,其中R1、R2和R3为具有一个或多个碳原子的烷基和X为卤素原子,从而形成Sb(SiR1R2R3)3
在一些实施方式中,IA族元素金属,诸如Li、Na、K等与元素Sb结合。优选地,IA族元素作为粉末或小片提供,并且元素Sb作为金属粉末提供。
在一些实施方式中,具有合适沸点的溶剂,优选烃——芳香族或非芳香族化合物,诸如四氢呋喃(THF,(CH2)4O)或二甲氧基乙烷(DME,CH3OCH2CH2OCH3)被添加至IA族金属和Sb。优选地,萘(C10H8)被添加至混合物,例如其可促进IA金属的溶解性,并因此也帮助还原Sb。在一些实施方式中,氨可代替萘用于催化反应。在一些实施方式中,溶剂为甲苯或二甲苯。在一些实施方式中,溶剂为具有合适沸点的s醚。
在一些实施方式中,混合物被加热,并且回流冷凝器用于在惰性气体诸如氩气下回流溶液,直到完成反应。被加热至期望温度的压力容器也可用于代替回流冷凝器。在形成所需的中间产物后,溶液可被冷却。
在一些实施方式中,含硅化合物随后被添加至混合物。优选地,含硅化合物具有式R1R2R3SiX,其中R1、R2和R3为优选具有一个或多个碳原子的烷基,并且X优选为卤素原子。R1、R2和R3可基于最终产物的期望前体性质,包括蒸气压、熔点等进行选择。在一些实施方式中,R1、R2和R3可为相同的基团。在其他实施方式中,R1、R2和R3可为不同的基团。在一些实施方式中,R1、R2和R3都为乙基(Et)。在一些实施方式中,R1、R2和R3都为甲基(Me)。在其他实施方式中,R1和R2为甲基,并且R3为叔丁基(Me2 tBu)。在一些实施方式中,X为Cl。在某些优选的实施方式中,含硅化合物具有式Et3SiCl或Me3SiCl。
混合物被连续搅拌直到反应完成。在一些实施方式中,混合物在惰性气体下被回流或被加热直到完成反应。在反应基本完成后,最终产物与任何溶剂、副产物、过量的反应物或最终产物中不期望的任何其他化合物分离和隔离出来。产物在标准温度和压力下可为固体或液体。
其他方法也可用于产生Sb前体。在一些实施方式中,可通过使R3SiH与SbR3化合物反应,产生(R3Si)3Sb化合物。在一些实施方式中,可通过使R3SiLi与SbCl3化合物反应,产生(SiR3)3Sb化合物。
实施例7
通过以下过程产生Sb(SiMe3)3。首先,2.02g钠被添加至200ml无水THF中。在350ml Schlenk瓶中,3.56g Sb粉末和0.1g(5.47mmol)萘被添加至钠和THF的混合物。产生的混合物被搅拌并回流48小时。混合物随后被冷却至室温。
接下来,9.55g Me3SiCl被添加至混合物。混合物被搅拌并回流48小时。使用真空以清除未反应的Me3SiCl和溶剂。100ml甲苯被添加至混合物,以便于过滤混合物。甲苯溶液随后被过滤。使用真空移除包括产物溶剂和易挥发杂质的滤液。
回收产物重约2.5g,产生大约25%的计算的反应效率。产物的组成通过核磁共振(NMR)和质谱(MS)被证实为Sb(SiMe3)3。所产生的Sb(SiMe3)2在2Torr的压力下具有大约88℃的沸点。
实施例8
通过类似于实施例7中描述的过程产生Sb(SiEt3)3。首先,在350mlSchlenk瓶中,0.45g锂与2.60g Sb粉末和0.1g萘一起添加至300ml无水的THF中。所得的混合物被搅拌并回流48小时。混合物随后被冷却至室温。
接下来,9.68g Et3SiCl被添加至混合物。混合物被回流48小时。使用真空以清除未反应的Et3SiCl和未反应的溶剂。100ml甲苯被添加至混合物,以促进混合物的过滤。甲苯溶液随后被过滤。使用真空,移除包括产物溶剂和易挥发杂质的滤液。
回收产物重约0.5g,产生计算的大约5%的反应效率。产物的组成通过核磁共振(NMR)和质谱(MS)被证实为Sb(SiEt3)2。所产生的Sb(SiEt3)2在1托的压力下具有大约148-153℃的沸点。
实施例9
通过类似于实施例7中描述的过程产生Sb(SiEt3)3。首先,在600mlSchlenk瓶中,4.2g钠与7.4g Sb粉末和0.4g萘一起添加至200ml无水的DME(二甲氧基乙烷,CH3OCH2CH2OCH3)中。所得的混合物被搅拌并回流大约70小时。混合物随后被冷却至室温。
接下来,30.0g Et3SiCl被添加至混合物。混合物被回流96小时。使用真空,清除未反应的Et3SiCl和溶剂。100ml正己烷被添加至混合物,以促进混合物的过滤。正己烷溶液随后被过滤。包括产物的滤液随后被蒸发至干燥。
回收产物重约21.3g,提供了77.5%的产率。产物的组成通过核磁共振(NMR)和质谱(MS)被证实为Sb(SiEt3)3。所产生的Sb(SiEt3)3在1托的压力下具有大约150℃的沸点。
实施例10
通过类似于实施例7中描述的过程产生As(SiEt3)3。首先,在350mlSchlenk瓶中,0.86g钠与0.89g As粉末和0.1g萘一起添加至150ml无水的DME(二甲氧基乙烷,CH3OCH2CH2OCH3)中。所得的混合物被搅拌并回流大约24小时。混合物随后被冷却至-10℃。
接下来,6.0g Et3SiCl被添加至混合物。混合物被回流24小时。使用真空,清除未反应的Et3SiCl和溶剂。100ml正己烷被添加至混合物,以促进混合物的过滤。正己烷溶液随后被过滤。使用真空移除包括产物溶剂和易挥发杂质的滤液。
回收产物重约2.8g,提供了56%的产率。产物的组成通过核磁共振(NMR)和质谱(MS)被证实为As(SiEt3)3
实施例11
通过类似于实施例7中描述的过程产生Bi(SiEt3)3。首先,在350mlSchlenk瓶中,0.76g钠与2.31g Bi粉末和0.1g萘一起添加至100ml无水的DME(二甲氧基乙烷,CH3OCH2CH2OCH3)中。所得的混合物在室温下被搅拌并回流大约24小时加4天。混合物随后被冷却至-10℃。
接下来,5.25g Et3SiCl被添加至混合物。混合物被回流24小时。使用真空清除未反应的Et3SiCl和溶剂。100ml正己烷被添加至混合物,以促进混合物的过滤。正己烷溶液随后被过滤。使用真空移除包括产物溶剂和易挥发杂质的滤液。
回收产物重约3.2g,提供了52%的产率。产物的组成通过核磁共振(NMR)和质谱(MS)被证实为Bi(SiEt3)3
包括VA族元素的前体和合成
也可使用与在此针对Sb描述的那些方法相似的方法合成包括As、Bi和P的前体,诸如(R3Si)3As、(R3Si)3P和(R3Si)3Bi。
包括与Sn结合的N的前体的合成方法可从例如Sisido等Journal ofOrganic Chemistry(1964),29(4),907-9和Lehn等Journal of theAmerican Chemical Society(1964),86(2),305中找到。
本领域技术人员将理解,可进行多种修改和改变而不脱离本发明的范围。类似的其他修改和改变意欲落入本发明的范围,如通过所附权利要求所限定的。

Claims (99)

1.原子层沉积(ALD)方法,用于在反应室中在基底上形成含Sb的薄膜,其包括多个Sb沉积循环,每个循环包括:
提供第一气相反应物的脉冲进入所述反应室,以便在所述基底上形成只有大约一个单分子层的所述反应物;
从所述反应室清除过量的第一反应物;
提供第二气相反应物的脉冲至所述反应室,以便所述第二气相反应物与在所述基底上的所述第一反应物反应,以形成含Sb薄膜,其中所述第二气相反应物包括Sb(SiR1R2R3)3,其中R1、R2和R3为具有一个或多个碳原子的烷基;和
如果有的话,从所述反应室清除过量的第二反应物和反应副产物。
2.根据权利要求1所述的方法,其中所述第一气相反应物包括锑。
3.根据权利要求1所述的方法,其中所述第一气相反应物为卤化锑。
4.根据权利要求1所述的方法,其中所述第一气相反应物不是醇、水或臭氧。
5.根据权利要求1所述的方法,其中所述第一气相反应物不是等离子体。
6.根据权利要求1所述的方法,其中所述第一气相反应物不是还原反应物。
7.根据权利要求1所述的方法,其中所述第二气相反应物为Sb(SiEt3)3
8.根据权利要求1所述的方法,其中所述第二气相反应物为Sb(SiMe3)3
9.根据权利要求1所述的方法,其中在所述提供步骤期间所述基底的温度在大约150℃以下。
10.根据权利要求1所述的方法,进一步包括Sb-Te沉积循环,其包括:
提供包括Sb前体的第一气相反应物脉冲进入所述反应室,以形成只有大约一个单分子层的所述Sb前体;
从所述反应室清除过量的第一反应物;
提供包括Te前体的第二气相反应物脉冲至所述反应室,以便所述Te前体与所述基底上的所述Sb前体反应,其中所述Te前体具有式Te(SiR1R2R3)2,其中R1、R2和R3为烷基;和
如果有的话,从所述反应室清除过量的第二反应物和反应副产物。
11.根据权利要求10所述的方法,其中选择Sb沉积循环与Sb-Te沉积循环的比率,以沉积具有期望组成的包括Sb-Te的薄膜。
12.根据权利要求11所述的方法,其中沉积的Sb-Te薄膜具有式SbxTey,其中x为大约2,并且y小于3。
13.根据权利要求10所述的方法,其中所述Te前体为Te(SiEt3)2
14.根据权利要求10所述的方法,其中在所述Sb-Te沉积循环中所述Sb前体为SbCl3
15.根据权利要求1所述的方法,进一步包括Ge-Sb沉积循环,其包括:
提供包括Ge前体的第一气相反应物脉冲进入所述反应室,以便在所述基底上形成只有大约一个单分子层的所述Ge前体;
从所述反应室清除过量的第一反应物;
提供包括Sb前体的第二气相反应物脉冲至所述反应室,以便所述Sb前体与所述基底上的所述Ge前体反应;和
如果有的话,从所述反应室清除过量的第二反应物和反应副产物。
16.根据权利要求15所述的方法,其中选择Sb沉积循环与Ge-Sb沉积循环的比率,以沉积具有期望组成的Ge-Sb薄膜。
17.根据权利要求16所述的方法,其中沉积的Ge-Sb薄膜具有式GexSby,其中x在大约5和大约20之间,并且y在大约80和大约95之间。
18.根据权利要求15所述的方法,其中所述Ge前体为GeBr2
19.根据权利要求15所述的方法,其中所述Ge前体为GeCl2-C4H8O2
20.根据权利要求15所述的方法,其中在所述Ge-Sb沉积循环中的所述Sb前体包括Sb(SiR1R2R3)3,其中R1、R2和R3为具有一个或多个碳原子的烷基。
21.根据权利要求10所述的方法,进一步包括Ge-Sb循环,其包括Ge前体和Sb前体的交替和顺序脉冲,所述Sb前体包括Sb(SiR1R2R3)3,其中R1、R2和R3为具有一个或多个碳原子的烷基。
22.根据权利要求21所述的方法,其中所述薄膜包括Ge-Sb-Te。
23.根据权利要求22所述的方法,其中选择所述Sb沉积循环、Ge-Sb沉积循环和Sb-Te沉积循环之间的比率,以沉积具有期望组成的包括Ge-Sb-Te的薄膜。
24.根据权利要求22所述的方法,其中所述膜包括Ge3Sb6Te5
25.根据权利要求22所述的方法,其中所述膜包括Ge2Sb2Te5
26.在反应室中通过ALD工艺在基底上形成Ge-Sb-Te薄膜的方法,其包括:
多个Sb沉积循环,每个循环包括第一前体和包括Sb(SiR1R2R3)3的第二Sb前体的交替和顺序脉冲,其中R1、R2和R3为具有一个或多个碳原子的烷基;
多个含Te沉积循环,每个循环包括第三前体和包括Te的第四前体的交替和顺序脉冲;和
多个含Ge沉积循环,每个循环包括第五前体和包括Ge的第六前体的交替和顺序脉冲。
27.根据权利要求26所述的方法,其中所述第一前体不是醇、水或臭氧。
28.根据权利要求26所述的方法,其中所述第一气相反应物不是还原反应物。
29.根据权利要求26所述的方法,其中所述第一前体为卤化锑。
30.根据权利要求26所述的方法,其中所述第三前体为卤化锑。
31.根据权利要求26所述的方法,其中所述包括Ge的第六前体为卤化锗。
32.根据权利要求26所述的方法,其中所述包括Te的第四前体包括Te(SiR1R2R3)2,其中R1、R2和R3为具有一个或多个碳原子的烷基。
33.根据权利要求26所述的方法,其中所述第一前体为卤化锑,所述第三前体为卤化锑,所述包括Ge的第六前体为卤化锗,并且所述包括Te的第四前体包括Te(SiR1R2R3)2,其中R1、R2和R3为具有一个或多个碳原子的烷基。
34.根据权利要求26所述的方法,其中所述第一、第二、第三、第四、第五和第六反应物都是不同的化合物。
35.根据权利要求26所述的方法,其中所述包括Sb(SiR1R2R3)3的第二Sb前体为Sb(SiEt3)3
36.根据权利要求26所述的方法,其中包括Sb(SiR1R2R3)3的所述第二Sb前体为Sb(SiMe3)3
37.根据权利要求26所述的方法,其中选择Sb沉积循环、含Te沉积循环和含Ge沉积循环的比率,以沉积具有期望组成的包括GexSbyTez的薄膜。
38.根据权利要求26所述的方法,其中所述第一和第三前体为SbCl3
39.根据权利要求26所述的方法,其中所述包括Te的第四前体为Te(SiEt3)2或Te(SiMe3)2
40.根据权利要求26所述的方法,其中所述包括Ge的第六前体包括GeCl2-C4H8O2
41.根据权利要求26所述的方法,其中包括Ge-Sb-Te的所述薄膜用包括O、N、Si、S、In、Ga、Ag、Sn、Au、As、Bi、Zn、Se、Te、Ge、Sb和Mn的一种或多种掺杂剂掺杂。
42.在反应室中通过ALD工艺在基底上形成Ge-Sb-Se薄膜的方法,其包括:
多个Sb沉积循环,每个循环包括第一前体和包括Sb(SiR1R2R3)3的第二Sb前体的交替和顺序脉冲,其中R1、R2和R3为具有一个或多个碳原子的烷基;
多个含Se沉积循环,每个循环包括第三前体和包括Se的第四前体的交替和顺序脉冲;和
多个含Ge沉积循环,每个循环包括第五前体和包括Ge的第六前体的交替和顺序脉冲。
43.制备Sb前体的方法,其包括:
通过使IA族金属与包括Sb的化合物反应形成第一产物;和
随后使包括R1R2R3SiX的第二反应物与所述第一产物结合,其中R1、R2和R3为具有一个或多个碳原子的烷基,X为卤素原子,从而形成具有所述式Sb(SiR1R2R3)3的化合物。
44.根据权利要求43所述的方法,其中DME、THF、甲苯和/或二甲苯在形成所述第一产物的反应中用作溶剂。
45.根据权利要求43所述的方法,其中形成第一产物包括使用DME或THF作为溶剂和萘作为催化剂。
46.根据权利要求43所述的方法,其中所述第二反应物包括Et3SiCl,并且形成Sb(SiEt3)3
47.根据权利要求43所述的方法,其中所述第二反应物包括Me3SiCl,并且形成Sb(SiMe3)3
48.根据权利要求43所述的方法,其中所述IA族金属为Na、Li或K。
49.制备包括VA族元素的前体的方法,所述方法包括:
通过使IA族金属与包括VA族元素的化合物反应形成第一产物;和
随后使包括R1R2R3SiX的第二反应物与所述第一产物结合,其中R1、R2和R3为具有一个或多个碳原子的烷基,X为卤素原子,从而形成具有式L(SiR1R2R3)3的化合物,其中L为所述VA族元素,其中所述VA族元素为As、Sb、Bi、N或P。
50.根据权利要求49所述的方法,其中所述VA族元素为As、Sb、Bi或P。
51.根据权利要求49所述的方法,其中所述第二反应物包括Et3SiCl,并且形成L(SiEt3)3
52.根据权利要求49所述的方法,其中所述第二反应物包括Me3SiCl,并且形成L(SiMe3)3
53.根据权利要求49所述的方法,其中DME、THF、甲苯和/或二甲苯在形成所述第一产物的反应中用作溶剂。
54.制备包括VA族元素的前体的方法,其包括:
通过使IA族金属与包括VA族元素的化合物反应形成第一产物;和
随后使包括R1R2R3AX的第二反应物与所述第一产物结合,其中R1、R2和R3为具有一个或多个碳原子的烷基,A为Si、Sn或Ge,X为卤素原子,从而形成具有式L(AR1R2R3)3的包含VA族元素的化合物,其中L为所述VA族元素,其中所述VA族元素为As、Sb、Bi或P。
55.根据权利要求54所述的方法,其中所述第二反应物包括Et3ACl,并且形成L(AEt3)3
56.根据权利要求54所述的方法,其中所述第二反应物包括Me3ACl,并且形成L(AMe3)3
57.根据权利要求54所述的方法,其中所述VA族元素为As。
58.根据权利要求54所述的方法,其中DME、THF、甲苯和/或二甲苯在形成所述第一产物的反应中用作溶剂。
59.在反应室中在基底上形成含VA族元素的薄膜的原子层沉积(ALD)方法,其包括多个VA族元素沉积循环,每个循环包括:
提供第一气相反应物的脉冲进入所述反应室,以便在所述基底上形成只有大约一个单分子层的所述反应物;
从所述反应室清除过量的第一反应物;
提供第二气相反应物的脉冲至所述反应室,以便所述第二气相反应物与所述基底上的所述第一反应物反应,以形成含VA族元素的薄膜,其中所述第二气相反应物包括X(SiR1R2R3)3,其中R1、R2和R3为具有一个或多个碳原子的烷基,并且X为VA族元素(Sb、As、Bi、P);和
如果有的话,从所述反应室清除过量的第二反应物和反应副产物。
60.根据权利要求59所述的方法,其中所述第一气相反应物为包含卤化物的反应物。
61.根据权利要求59所述的方法,其中所述VA族元素为As,并且所述第一气相反应物为包含卤化物的反应物。
62.根据权利要求59所述的方法,其中所述VA族元素为Bi,并且所述第一气相反应物为包含卤化物的反应物。
63.根据权利要求59所述的方法,其中所述VA族元素为P,并且所述第一气相反应物为包含卤化物的反应物。
64.根据权利要求59所述的方法,其中所述含VA族元素的薄膜包括III-V族化合物半导体膜。
65.根据权利要求59所述的方法,其中所述含VA族元素的薄膜包括三元III-V族化合物。
66.根据权利要求65所述的方法,其中所述三元III-V族化合物包括(Ga,Al)As、(Ga,In)As或(Ga,In)(As,P)中的一种。
67.根据权利要求59所述的方法,其中所述含VA族元素的薄膜包括AlSb、GaSb或InSb。
68.根据权利要求59所述的方法,其中所述含VA族元素的薄膜包括AlAs、GaAs或InAs。
69.根据权利要求59所述的方法,其中所述含VA族元素的薄膜包括AlP、GaP或InP。
70.根据权利要求59所述的方法,其中所述含VA族元素的薄膜包括Ga2Te3Sb5
71.根据权利要求59所述的方法,其中所述第一气相反应物不是醇、水或臭氧。
72.根据权利要求59所述的方法,其中所述含VA族元素的薄膜包括III-V族化合物半导体膜。
73.在反应室中在基底上形成含As薄膜的原子层沉积(ALD)方法,其包括多个As沉积循环,每个循环包括:
提供第一气相反应物的脉冲进入所述反应室,以便在所述基底上形成只有大约一个单分子层的所述反应物;
从所述反应室清除过量的第一反应物;
提供第二气相反应物的脉冲至所述反应室,以便所述第二气相反应物与在所述基底上的所述第一反应物反应,以形成含As的薄膜,其中所述第二气相反应物包括As(SiR1R2R3)3,并且其中R1、R2和R3为具有一个或多个碳原子的烷基;和
如果有的话,从所述反应室清除过量的第二反应物和反应副产物。
74.根据权利要求73所述的方法,其中所述第一气相反应物为包含卤化物的反应物。
75.根据权利要求73所述的方法,其中所述第一气相反应物为GaCl3。
76.在反应室中在基底上形成含Sb薄膜的原子层沉积(ALD)方法,其包括多个Sb沉积循环,每个循环包括:
提供第一气相反应物的脉冲进入所述反应室,以便在所述基底上形成只有大约一个单分子层的所述反应物;
从所述反应室清除过量的第一反应物;
提供第二气相反应物的脉冲至所述反应室,以便所述第二气相反应物与在所述基底上的所述第一反应物反应,以形成含Sb的薄膜,其中所述第二气相反应物包括Sb(GeR1R2R3)3,其中R1、R2和R3为具有一个或多个碳原子的烷基;和
如果有的话,从所述反应室清除过量的第二反应物和反应副产物。
77.在反应室中在基底上形成含VA族元素的薄膜的原子层沉积(ALD)方法,其包括多个VA族元素沉积循环,每个循环包括:
提供第一气相反应物的脉冲进入所述反应室,以便在所述基底上形成只有大约一个单分子层的所述反应物;
从所述反应室清除过量的第一反应物;
提供第二气相反应物的脉冲至所述反应室,以便所述第二气相反应物与在所述基底上的所述第一反应物反应,以形成含VA族元素的薄膜,其中所述第二气相反应物包括结合至Si、Ge或Sn的一个或多个上的VA族原子,并且其中所述VA族元素为Sb、As、Bi或P;和
如果有的话,从所述反应室清除过量的第二反应物和反应副产物。
78.根据权利要求77所述的方法,其中所述第一气相反应物不是醇、水或臭氧。
79.根据权利要求77所述的方法,其中所述第一气相反应物不是还原反应物。
80.根据权利要求77所述的方法,其中所述第一反应物包括锑。
81.根据权利要求77所述的方法,其中所述第二气相反应物具有包括L(AR1R2R3)xR3-x的化学式,其中x从1至3,其中L为Sb、As、Bi或P,其中A为Si、Sn或Ge,并且R、R1、R2和R3为包括一个或多个碳原子的烷基。
82.在反应室中在基底上形成包括VA族元素的薄膜的原子层沉积(ALD)方法,所述方法包括多个VA族元素沉积循环,每个循环包括:
提供第一气相反应物的脉冲进入所述反应室,以便在所述基底上形成只有大约一个单分子层的所述反应物;
从所述反应室清除过量的第一反应物;
提供第二气相反应物的脉冲至所述反应室,以便所述第二气相反应物与在所述基底上的所述第一反应物反应,以形成包括VA族元素的薄膜,其中所述第二气相反应物包括结合至Si、Ge或Sn的一个或多个上的VA族原子,其中所述VA族元素为Sb、As、Bi、N或P,并且其中当所述第二气相反应物中的所述VA族原子为N时,所述第一气相反应物不包括过渡金属、Si或Ge;和
如果有的话,从所述反应室清除过量的第二反应物和反应副产物。
83.根据权利要求82所述的方法,其中所述第一气相反应物不是氨基锗或有机碲前体。
84.根据权利要求82所述的方法,其中所述方法不包括醇、水或臭氧。
85.根据权利要求82所述的方法,其中所述第一气相反应物不是醇、水或臭氧。
86.根据权利要求82所述的方法,其中所述第一气相反应物不是还原反应物。
87.根据权利要求82所述的方法,其中所述第一气相反应物为卤化物并且不包含任何有机基团作为配体。
88.根据权利要求82所述的方法,其中所述第一气相反应物仅具有氯化物作为配体。
89.在反应室中在基底上形成含氮薄膜的原子层沉积(ALD)方法,其包括多个沉积循环,每个循环包括:
提供第一气相反应物的脉冲进入所述反应室,以便在所述基底上形成只有大约一个单分子层的所述反应物,其中所述第一气相反应物不包括过渡金属;
从所述反应室清除过量的第一反应物;
提供第二气相反应物的脉冲至所述反应室,以便所述第二气相反应物与在所述基底上的所述第一反应物反应,以形成含氮的薄膜,其中所述第二气相反应物包括N(AR1R2R3)xR3-x,并且其中x从1至3,A为Si、Ge或Sn,R、R1、R2和R3可被独立地选择为线性、环状、分支或取代的烷基、氢或芳基基团;和
如果有的话,从所述反应室清除过量的第二反应物和反应副产物。
90.根据权利要求89所述的方法,其中x为2,A为Si,R为氢。
91.根据权利要求89所述的方法,其中所述第二气相反应物具有式N(SiR1R2R3)xR3-x
92.根据权利要求89所述的方法,其中所述第二气相反应物为N(SiH3)3
93.根据权利要求89所述的方法,其中所述第二气相反应物不是N(SiH3)3
94.根据权利要求89所述的方法,其中含氮的薄膜不是SiN。
95.根据权利要求89所述的方法,其中含氮的薄膜为BN、AlN、GaN或InN。
96.根据权利要求89所述的方法,其中所述第一气相反应物为GaCl3或InCl3
97.通过原子层沉积(ALD)工艺沉积纳米层压薄膜的方法,其包括:
第一沉积循环,其包括第一前体和第二前体的交替和顺序脉冲,所述第二前体包括A(SiR1R2R3)x,其中R1、R2和R3为具有一个或多个碳原子的烷基,并且A为Sb、Te或Se,其中当A为Sb时,x为3;当A为Te或Se时,x为2;和
第二沉积循环,其包括第三前体和第四前体的交替和顺序脉冲,所述第四前体包括A(SiR1R2R3)x,其中R1、R2和R3为具有一个或多个碳原子的烷基,并且A为Sb、Te或Se,其中当A为Sb时,x为3;当A为Te或Se时,x为2。
98.根据权利要求97所述的方法,其中所述第一沉积循环和第二沉积循环沉积选自以下的材料:Sb、Sb-Te、GeTe、Ge-Sb-Te、Sb2Te3、Sb2Te、Ge-Sb、Ge2Sb2Se5、Bi-Te、Bi-Se、Zn-Te、ZnSe、CuInSe2和Cu(In,Ga)Se2
99.根据权利要求97所述的方法,其中所述纳米层压膜进一步包括选自O、N、C、Si、Sn、Ag、Al、Ga、P、Fe、Ge、In、Ag、Se、Te或Bi的一种或多种掺杂剂。
CN201080059497.4A 2009-10-26 2010-10-25 用于含va族元素的薄膜ald的前体的合成和使用 Active CN102687243B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US25505509P 2009-10-26 2009-10-26
US61/255,055 2009-10-26
US30879310P 2010-02-26 2010-02-26
US61/308,793 2010-02-26
US38314310P 2010-09-15 2010-09-15
US61/383,143 2010-09-15
PCT/US2010/053982 WO2011056519A2 (en) 2009-10-26 2010-10-25 Synthesis and use of precursors for ald of group va element containing thin films

Publications (2)

Publication Number Publication Date
CN102687243A true CN102687243A (zh) 2012-09-19
CN102687243B CN102687243B (zh) 2016-05-11

Family

ID=43970655

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080059497.4A Active CN102687243B (zh) 2009-10-26 2010-10-25 用于含va族元素的薄膜ald的前体的合成和使用

Country Status (7)

Country Link
US (7) US9315896B2 (zh)
EP (1) EP2494587B1 (zh)
JP (1) JP5731519B2 (zh)
KR (1) KR101829380B1 (zh)
CN (1) CN102687243B (zh)
TW (2) TWI516632B (zh)
WO (1) WO2011056519A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103855302A (zh) * 2012-12-05 2014-06-11 中国科学院上海微系统与信息技术研究所 用于相变存储器的Al-Sb-Se材料及制备方法
CN103898474A (zh) * 2012-12-27 2014-07-02 中国科学院上海微系统与信息技术研究所 钨-锑-碲相变材料沉积方法及相变存储单元制备方法
CN105408516A (zh) * 2013-07-31 2016-03-16 建国大学校产学协力团 MoS2薄膜及其制造方法
CN109652785A (zh) * 2017-10-10 2019-04-19 Asm Ip控股有限公司 通过循环沉积在衬底上沉积金属硫族化物的方法
CN109689666A (zh) * 2016-09-08 2019-04-26 Up化学株式会社 第五主族金属化合物、其制备方法、包含其的膜沉积前体组合物及使用其的膜沉积方法
CN109920861A (zh) * 2019-03-15 2019-06-21 诺迪克(余姚)光电产业研究院有限公司 铟砷氮铋半导体材料、使用该材料的激光器和探测器及制备方法
CN110592554A (zh) * 2013-06-26 2019-12-20 应用材料公司 沉积金属合金膜的方法

Families Citing this family (319)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130210217A1 (en) * 2008-01-28 2013-08-15 Air Products And Chemicals, Inc. Precursors for GST Films in ALD/CVD Processes
EP2279285B1 (en) 2008-04-25 2015-02-11 ASM International N.V. Synthesis and use of precursors for ald of tellurium and selenium thin films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2494587B1 (en) 2009-10-26 2020-07-15 ASM International N.V. Atomic layer deposition of antimony containing thin films
JP5649894B2 (ja) * 2010-09-29 2015-01-07 東京エレクトロン株式会社 Ge−Sb−Te膜の成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103843144B (zh) * 2011-09-29 2018-06-19 英特尔公司 用于半导体应用的含正电性金属的层
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI586828B (zh) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 原子層沈積之摻雜方法
JP5905858B2 (ja) * 2012-08-13 2016-04-20 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Ald/cvdプロセスにおけるgst膜のための前駆体
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9428842B2 (en) * 2012-12-20 2016-08-30 Asm Ip Holding B.V. Methods for increasing growth rate during atomic layer deposition of thin films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR101767855B1 (ko) 2013-07-02 2017-08-11 울트라테크 인크. 격자 전위들을 제거하기 위한 급속 열적 프로세싱에 의한 헤테로에피택셜 층들의 형성
KR101767020B1 (ko) * 2013-09-23 2017-08-09 울트라테크 인크. 실리콘 기판들 상에 디바이스 품질 갈륨 질화물층들을 형성하기 위한 방법 및 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
EP3049499B1 (en) 2013-09-27 2020-07-22 L'air Liquide, Société Anonyme Pour L'Étude Et L'exploitation Des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9543144B2 (en) 2014-12-31 2017-01-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vapor deposition of chalcogenide-containing films
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN107475688B (zh) * 2015-11-11 2019-05-03 南通大学 用于制备BiGaO3薄膜的真空反应腔
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) * 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US20200013629A1 (en) * 2016-12-15 2020-01-09 Asm Ip Holding B.V. Semiconductor processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10950427B2 (en) * 2018-06-14 2021-03-16 Samsung Electronics Co., Ltd. Quantum dots and production method thereof
US11581501B2 (en) 2018-06-20 2023-02-14 Samsung Electronics Co., Ltd. Electronic device and production method thereof
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) * 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11268210B2 (en) * 2020-07-10 2022-03-08 Korea Advanced Institute Of Science And Technology Method for manufacturing transition metal chalcogenide and transition metal chalcogenide prepared thereby
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023059603A (ja) * 2021-10-15 2023-04-27 国立研究開発法人産業技術総合研究所 結晶化積層構造体の製造方法
FR3131332A1 (fr) * 2021-12-23 2023-06-30 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Nouveaux dérivés de silyle et polysilyle inorganiques d’éléments du groupe v et procédés de synthèse de ceux-ci et procédés d’utilisation de ceux-ci pour un dépôt
WO2023121973A1 (en) * 2021-12-23 2023-06-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New inorganic silyl and polysilyl derivatives of group v elements and methods of synthesizing the same and methods of using the same for deposition

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030024471A1 (en) * 2001-08-06 2003-02-06 Motorola, Inc. Fabrication of semiconductor structures and devices forms by utilizing laser assisted deposition
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
KR20070066114A (ko) * 2005-12-21 2007-06-27 주성엔지니어링(주) 박막 형성 방법
CN101165911A (zh) * 2006-10-18 2008-04-23 三星电子株式会社 相变存储器件及其制造方法
CN101213322A (zh) * 2005-06-29 2008-07-02 乔治洛德方法研究和开发液化空气有限公司 三元膜的沉积方法
US20090085175A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US20090137100A1 (en) * 2007-11-27 2009-05-28 Air Products And Chemicals, Inc. Tellurium Precursors for GST Films in an ALD or CVD Process
EP2078102A2 (en) * 2006-11-02 2009-07-15 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for cvd/ald of metal thin films
CN101497999A (zh) * 2008-01-28 2009-08-05 气体产品与化学公司 在ald/cvd工艺中用于gst膜的锑前驱体

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8529651D0 (en) 1985-12-02 1986-01-08 Drilex Ltd Directional drilling
DE69103198T2 (de) 1990-05-16 1994-12-08 Firmenich & Cie Optisch aktive aliphatische Alkohole und deren Anwendung als Riechstoffbestandteile.
DE4214281A1 (de) * 1992-04-30 1993-11-04 Consortium Elektrochem Ind Verfahren zur herstellung von germaniumdihalogenid-ether-addukten
JP3361922B2 (ja) * 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3007971B1 (ja) 1999-03-01 2000-02-14 東京大学長 単結晶薄膜の形成方法
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
AU2001277001A1 (en) * 2000-07-24 2002-02-05 Motorola, Inc. Heterojunction tunneling diodes and process for fabricating same
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
JP2002322181A (ja) 2001-04-23 2002-11-08 Sankyo Co Ltd キノリン誘導体の製造方法
AU2003296878A1 (en) 2002-05-20 2004-12-13 Kosan Biosciences, Inc. Methods to administer epothilone d
US7115304B2 (en) * 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7670758B2 (en) 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR100688532B1 (ko) 2005-02-14 2007-03-02 삼성전자주식회사 텔루르 전구체, 이를 이용하여 제조된 Te-함유 칼코게나이드(chalcogenide) 박막, 상기 박막의 제조방법 및 상변화 메모리 소자
JP4994599B2 (ja) * 2005-03-23 2012-08-08 Hoya株式会社 InP微粒子の製造方法およびその方法で得られたInP微粒子分散液
DE102006038885B4 (de) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
KR100962623B1 (ko) 2005-09-03 2010-06-11 삼성전자주식회사 상변화 물질층 형성 방법, 이를 이용한 상변화 메모리 유닛및 상변화 메모리 장치의 제조 방법
KR100695168B1 (ko) 2006-01-10 2007-03-14 삼성전자주식회사 상변화 물질 박막의 형성방법, 이를 이용한 상변화 메모리소자의 제조방법
US20070249086A1 (en) 2006-04-19 2007-10-25 Philipp Jan B Phase change memory
DE102006020404A1 (de) 2006-05-03 2007-11-08 Cognis Ip Management Gmbh Verdickungsmittel
SG171683A1 (en) 2006-05-12 2011-06-29 Advanced Tech Materials Low temperature deposition of phase change memory materials
KR100782482B1 (ko) 2006-05-19 2007-12-05 삼성전자주식회사 GeBiTe막을 상변화 물질막으로 채택하는 상변화 기억 셀, 이를 구비하는 상변화 기억소자, 이를 구비하는 전자 장치 및 그 제조방법
KR100807223B1 (ko) 2006-07-12 2008-02-28 삼성전자주식회사 상변화 물질층, 상변화 물질층 형성 방법 및 이를 이용한상변화 메모리 장치의 제조 방법
US7531458B2 (en) 2006-07-31 2009-05-12 Rohm And Haas Electronics Materials Llp Organometallic compounds
KR101263822B1 (ko) 2006-10-20 2013-05-13 삼성전자주식회사 상변화 메모리 소자의 제조 방법 및 이에 적용된상변화층의 형성방법
KR100829602B1 (ko) 2006-10-20 2008-05-14 삼성전자주식회사 상변화 물질층 형성 방법 및 상변화 메모리 장치의 제조방법
US8377341B2 (en) 2007-04-24 2013-02-19 Air Products And Chemicals, Inc. Tellurium (Te) precursors for making phase change memory materials
KR20100084157A (ko) * 2007-09-17 2010-07-23 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Gst 필름 증착용 텔루륨 전구체
SG178736A1 (en) 2007-10-31 2012-03-29 Advanced Tech Materials Amorphous ge/te deposition process
US20130210217A1 (en) 2008-01-28 2013-08-15 Air Products And Chemicals, Inc. Precursors for GST Films in ALD/CVD Processes
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
EP2279285B1 (en) 2008-04-25 2015-02-11 ASM International N.V. Synthesis and use of precursors for ald of tellurium and selenium thin films
US8765223B2 (en) 2008-05-08 2014-07-01 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8507040B2 (en) 2008-05-08 2013-08-13 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR101489327B1 (ko) * 2008-05-15 2015-02-03 삼성전자주식회사 물질막의 형성 방법 및 메모리 장치의 제조 방법
US8802194B2 (en) 2008-05-29 2014-08-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8674142B2 (en) * 2009-03-12 2014-03-18 Pmc Organometallix, Inc. Naphthenic hydrocarbon additives for diaryl phosphide salt formation
EP2494587B1 (en) * 2009-10-26 2020-07-15 ASM International N.V. Atomic layer deposition of antimony containing thin films
US8148197B2 (en) 2010-07-27 2012-04-03 Micron Technology, Inc. Methods of forming germanium-antimony-tellurium materials and a method of forming a semiconductor device structure including the same
US9496491B2 (en) 2012-05-21 2016-11-15 Micron Technology, Inc. Methods of forming a metal chalcogenide material and related methods of forming a memory cell
US9543144B2 (en) 2014-12-31 2017-01-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vapor deposition of chalcogenide-containing films
US9711396B2 (en) 2015-06-16 2017-07-18 Asm Ip Holding B.V. Method for forming metal chalcogenide thin films on a semiconductor device
DE112016004512T5 (de) 2015-09-30 2018-07-12 Apple Inc. Koordinierte steuerung der medienwiedergabe
US20190006586A1 (en) 2017-06-29 2019-01-03 Asm Ip Holding B.V. Chalcogenide films for selector devices
CN110780746B (zh) 2019-10-09 2021-08-24 维沃移动通信有限公司 一种按键结构、按键的控制方法及电子设备

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030024471A1 (en) * 2001-08-06 2003-02-06 Motorola, Inc. Fabrication of semiconductor structures and devices forms by utilizing laser assisted deposition
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
CN101213322A (zh) * 2005-06-29 2008-07-02 乔治洛德方法研究和开发液化空气有限公司 三元膜的沉积方法
KR20070066114A (ko) * 2005-12-21 2007-06-27 주성엔지니어링(주) 박막 형성 방법
CN101165911A (zh) * 2006-10-18 2008-04-23 三星电子株式会社 相变存储器件及其制造方法
EP2078102A2 (en) * 2006-11-02 2009-07-15 Advanced Technology Materials, Inc. Antimony and germanium complexes useful for cvd/ald of metal thin films
CN101495672A (zh) * 2006-11-02 2009-07-29 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
US20090085175A1 (en) * 2007-09-28 2009-04-02 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US20090137100A1 (en) * 2007-11-27 2009-05-28 Air Products And Chemicals, Inc. Tellurium Precursors for GST Films in an ALD or CVD Process
CN101497999A (zh) * 2008-01-28 2009-08-05 气体产品与化学公司 在ald/cvd工艺中用于gst膜的锑前驱体

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103855302A (zh) * 2012-12-05 2014-06-11 中国科学院上海微系统与信息技术研究所 用于相变存储器的Al-Sb-Se材料及制备方法
CN103898474A (zh) * 2012-12-27 2014-07-02 中国科学院上海微系统与信息技术研究所 钨-锑-碲相变材料沉积方法及相变存储单元制备方法
CN103898474B (zh) * 2012-12-27 2016-02-17 中国科学院上海微系统与信息技术研究所 钨-锑-碲相变材料沉积方法及相变存储单元制备方法
CN110592554A (zh) * 2013-06-26 2019-12-20 应用材料公司 沉积金属合金膜的方法
CN105408516A (zh) * 2013-07-31 2016-03-16 建国大学校产学协力团 MoS2薄膜及其制造方法
CN105408516B (zh) * 2013-07-31 2018-05-25 建国大学校产学协力团 MoS2薄膜及其制造方法
CN109689666A (zh) * 2016-09-08 2019-04-26 Up化学株式会社 第五主族金属化合物、其制备方法、包含其的膜沉积前体组合物及使用其的膜沉积方法
CN109652785A (zh) * 2017-10-10 2019-04-19 Asm Ip控股有限公司 通过循环沉积在衬底上沉积金属硫族化物的方法
CN109920861A (zh) * 2019-03-15 2019-06-21 诺迪克(余姚)光电产业研究院有限公司 铟砷氮铋半导体材料、使用该材料的激光器和探测器及制备方法

Also Published As

Publication number Publication date
WO2011056519A3 (en) 2011-08-25
TW201126013A (en) 2011-08-01
EP2494587A4 (en) 2016-03-02
JP2013508555A (ja) 2013-03-07
WO2011056519A2 (en) 2011-05-12
US10619244B2 (en) 2020-04-14
TWI565828B (zh) 2017-01-11
TW201610219A (zh) 2016-03-16
KR20120102641A (ko) 2012-09-18
KR101829380B1 (ko) 2018-02-19
US20230093384A1 (en) 2023-03-23
US20210164101A1 (en) 2021-06-03
CN102687243B (zh) 2016-05-11
JP5731519B2 (ja) 2015-06-10
EP2494587A2 (en) 2012-09-05
TWI516632B (zh) 2016-01-11
US11542600B2 (en) 2023-01-03
US9315896B2 (en) 2016-04-19
US20160222515A1 (en) 2016-08-04
US20120329208A1 (en) 2012-12-27
US10208379B2 (en) 2019-02-19
US10941487B2 (en) 2021-03-09
EP2494587B1 (en) 2020-07-15
US9828674B2 (en) 2017-11-28
US20190177843A1 (en) 2019-06-13
US20180087154A1 (en) 2018-03-29
US20200291518A1 (en) 2020-09-17

Similar Documents

Publication Publication Date Title
CN102687243B (zh) 用于含va族元素的薄膜ald的前体的合成和使用
US11072622B2 (en) Synthesis and use of precursors for ALD of tellurium and selenium thin films
US20120171378A1 (en) Binary and Ternary Metal Chalcogenide Materials and Method of Making and Using Same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant