CN103843144B - 用于半导体应用的含正电性金属的层 - Google Patents

用于半导体应用的含正电性金属的层 Download PDF

Info

Publication number
CN103843144B
CN103843144B CN201180073845.8A CN201180073845A CN103843144B CN 103843144 B CN103843144 B CN 103843144B CN 201180073845 A CN201180073845 A CN 201180073845A CN 103843144 B CN103843144 B CN 103843144B
Authority
CN
China
Prior art keywords
metal
layer
substrate
precursor molecule
atoms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180073845.8A
Other languages
English (en)
Other versions
CN103843144A (zh
Inventor
P·E·罗梅罗
S·B·克伦德宁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN103843144A publication Critical patent/CN103843144A/zh
Application granted granted Critical
Publication of CN103843144B publication Critical patent/CN103843144B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7836Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a significant overlap between the lightly doped extension and the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明实施方案提供了用于通过ALD(原子层沉积)和/或CVD(化学气相沉积)工艺形成包含正电性金属的层、包含一种或多种正电性金属的层、以及包括含有一种或多种正电性金属的层的半导体器件的方法。在本发明实施方案中,所述层是薄或超薄的(小于厚的膜)和/或是共形膜。另外提供了包括金属层的晶体管器件、金属互连件和计算装置,所述金属层包含一种或多种正电性金属。

Description

用于半导体应用的含正电性金属的层
技术领域
本发明的实施方案一般涉及集成电路装置、半导体器件、金属互连件、晶体管、共形膜(conformal film)、原子层沉积工艺、化学气相沉积工艺和化学沉积工艺。
背景技术
对越来越小、集成度更高的集成电路(IC)和其它半导体器件的推动产生了对用于构造所述装置/器件的技术和材料的巨大需求。通常,集成电路管芯又称为微芯片、硅片或芯片。IC芯片常见于各种常用装置,例如计算机中的微处理器、汽车、电视、CD播放机和蜂窝式电话。多个IC芯片典型地构建在硅晶片(一种薄的硅圆片,具有例如300mm的直径)上,加工之后将晶片切开,产生单独的芯片。特征尺寸是约90nm的1cm2的IC芯片可以包括上亿个组件。现有技术推动特征尺寸甚至小于45nm。IC芯片的组件例如包括诸如CMOS(互补金属氧化物半导体)器件的晶体管、电容结构、电阻结构、以及在组件和外部设备之间提供电联接的金属线。其它的半导体器件包括例如各种二极管、激光器、光探测器和磁场传感器。
附图说明
图1A-B示出了可用于在基底表面上形成包含正电性金属的薄共形膜的方法。
图2A-F示出了可用于本发明实施方案的一些前体分子。
图3A-I示出了可用于本发明实施方案的其它示例性前体分子。
图4是示出三栅极晶体管器件的示意图。
图5A-C是示出堆叠的纳米线晶体管器件的示意图。
图6示出了金属互连结构。
图7是根据本发明实施方案构建的计算装置。
具体实施方式
正电性金属和高度正电性金属的沉积归因于不利因素的组合对包含这些金属元素的半导体器件的制造提出了挑战。所述不利因素包括为还原正电性金属所需要的非常高的能量、以及大多数正电性金属对碳、氮和氧的高亲合性。使用CVD(化学气相沉积)或ALD(原子层沉积)工艺的正电性金属沉积可导致不理想的非化学计量的二元相和三元相,例如金属氧化物、金属氮化物、金属碳化物或这些物类的组合的沉积。碳、氮和/或氧往往是不理想的组分,其可降低理想的由一种或多种正电性金属构成的膜的性能。
本发明的实施方案提供了使用ALD和/或CVD工艺用于形成包含正电性金属的层的方法,所述层包含一种或多种正电性金属,以及用于形成包含一种或多种正电性金属的层的半导体器件的方法。在本发明实施方案中,该膜是薄或超薄的(小于厚的膜)和/或是共形膜。有利地是,根据本发明实施方案的膜不包含大量的碳、氮和氧。在本发明的一个实施方案中,包含正电性金属的膜具有总量小于15原子%的碳、氮和氧的杂质。
通常原子层沉积(ALD)是半导体加工工业中典型地用于沉积超薄共形膜的技术。在典型的ALD工艺中,待涂布的膜的基底表面连续暴露于气相反应物(前体)中。表面重复暴露于反应物而在表面上连续产生薄的共形产物层。在表面暴露于各气相反应物之后,将反应气体和反应副产物从反应腔室吹扫出。
通常,化学气相沉积(CVD)是用以在基底表面上产生膜层的工艺。在CVD工艺中,基底表面暴露于挥发性反应物前体中,反应物前体在基底表面上进行反应,形成理想的膜材料。ALD和CVD可用于以各种形式沉积材料,包括例如单晶的、多晶的、无定形的和外延的膜。CVD和ALD工艺典型地在真空室中实施,真空室是专业设备的一部分。
图1A描述了用于在基底上形成包含一种或多种正电性金属的层的ALD方法。根据本发明实施方案的膜沉积工艺能够在基底上沉积微米级和纳米级特征的薄共形膜,并且微米级和纳米级特征具有高纵横比。在图1A中,将在其上沉积薄共形膜的基底表面任选地在膜沉积工艺过程中被加热。在本发明实施方案中,基底在沉积过程中被加热至至少高于室温。即使沉积只需要少量热能,加热可允许保持一致的沉积条件。膜沉积工艺可以发生在相对较低的温度下,例如沉积可发生在30-500℃的温度下。
所述基底表面暴露于包含正电性金属的第一前体分子。在本发明实施方案中,所述正电性金属是选自元素周期表第2-7族的金属和/或Al。在本发明的一个实施方案中,所述正电性金属是例如Zr、Be、Mg、Ca、Sr、Al、Sc、Y、Ti、Hf、V、Nb、Ta、Cr、Mo、W和Mn的金属。所述第一前体分子包含直接的金属硅键和/或直接的金属锗键。在本发明实施方案中,所述第一前体分子包含1或2个金属原子,并且所述金属原子是全部相同的金属原子或两种不同的金属原子。包含直接的金属硅键和/或直接的金属锗键的第一前体分子的金属中心可以任选地具有通过N、P、O或S原子配位的配位路易斯碱。通过例如用惰性气体,例如氮气、氦气、氖气、氩气、氪气或氙气吹扫正发生沉积的真空室来去除任何不在表面上的前体分子(和可能存在的任何其它气态物类)。然后使基底表面暴露于第二前体分子(共反应物)。所述第二前体分子是挥发性MXn化合物,其中X是卤素或含氧配体,n是在2-6之间且包括2和6的数。使用包含直接的金属硅键和/或直接的金属锗键的正电性金属来沉积膜的反应图解如式(1)所示。
在式(1)中,m和n是在2-6之间且包括2和6的数,R1、R2和R3是相同或不同的,并且是烃基或包含一个或多个杂原子的烃基,所述杂原子例如是卤素、O、N、S、P、Si和/或Ge,X是卤素或醇盐(-OR,其中R是烃或含杂原子的烃,例如烷基或含杂原子的烷基),E是硅和/或锗,M1和M2是选自元素周期表第2-7族的正电性金属和/或Al,并且p是1或2,并且当p是2时,包含分子(M1)p-(ER1R2R3)m的正电性金属可以是相同或不同的。烃包括例如支化和非支化的烷基、芳基、环烷基、烯基、炔基、环状基团和多环基团。金属M1和M2可以是相同的金属或不同的金属。在本发明的一个实施方案中,M1和M2是例如Zr、Be、Mg、Ca、Sr、Al、Sc、Y、Ti、Hf、V、Nb、Ta、Cr、Mo、W和Mn的金属。可用的卤素包括氟、氯、溴和碘。在生长的膜中的金属-金属键的形成和挥发性产物(R1-3)3EX的形成是驱动反应前行的因素。还可以以气态反应物与惰性气体,例如氮气、氦气、氖气、氩气、氪气或氙气的混合物向腔室供应反应物和/或共反应物。
然后例如通过用诸如氩气或氮气的惰性气体吹扫腔室而从腔室去除任何剩余的气态共反应物和气态反应产物。将基底表面暴露于第一反应物,去除未附着于基底表面的任何剩余的气态反应物,将基底暴露于共反应物,以及从腔室去除任何气态共反应物(和气态反应产物)的要素(element)重复多次。这些要素重复的次数由基底表面上所得的正电性金属层的理想厚度来决定。这些要素可仅进行一次或多次。
图1B描述了在基底上形成包含正电性金属的层的另外方法。根据本发明实施方案的膜沉积工艺能够在基底上沉积具有微米级和纳米级特征的薄共形膜,并且微米级和纳米级特征具有高纵横比。在图1B中,将在其上沉积薄共形膜的基底表面任选地在膜沉积工艺过程中被加热。在本发明实施方案中,基底在沉积过程中被加热至至少高于室温。即使沉积只需要少量热能,加热可允许保持一致的沉积条件。膜沉积工艺可以发生在相对较低的温度下,例如,沉积可以发生在30-500℃的温度下。
所述基底表面暴露于包含正电性金属的第一前体分子。在本发明实施方案中,所述正电性金属是选自元件周期表第2-7族的金属和/或Al。在本发明实施方案中,所述正电性金属是例如Zr、Be、Mg、Ca、Sr、Al、Sc、Y、Ti、Hf、V、Nb、Ta、Cr、Mo、W和Mn的金属。所述第一前体分子包含直接的金属硅键和/或直接的金属锗键。在本发明实施方案中,所述第一前体分子包含1-2个金属原子,并且金属原子是全部相同的金属原子或不同的金属原子的混合。包含直接的金属硅键和/或直接的金属锗键的第一前体分子的金属中心可以任选地具有通过N、P、O或S原子配位的配位路易斯碱。通过例如用惰性气体吹扫发生沉积的真空室来去除任何剩余的气态前体分子(和可能存在的任何其它气态物种)。然后使基底表面暴露于第二前体分子(共反应物)。第二反应物是氢。使用包含直接的金属硅键和/或直接的金属锗键的正电性金属沉积膜的反应图解如式(2)所示。
在式(2)中,m是在2-6之间且包括2和6的数,E是硅和/或锗,R1、R2和R3是相同或不同的,并且是烃基或包含一个或多个杂原子的烃基,所述杂原子例如是卤素、O、N、S、P、Si和/或Ge,M是选自元素周期表第2-7族的正电性金属和/或Al,并且p是1或2,并且当p是2或3时,包含分子(M)p-(ER1R2R3)m的正电性金属可以是相同或不同的。烃包括例如支化和非支化的烷基、芳基、环烷基、烯基、炔基、环状基团和多环基团。在本发明的一个实施方案中,Mp是一种或多种金属,例如Zr、Be、Mg、Ca、Sr、Al、Sc、Y、Ti、Hf、V、Nb、Ta、Cr、Mo、W和Mn。任何常见形式的氢,例如使用或未使用等离子体活化的分子氢,并且在另外的惰性气体,例如氮气、氦气、氖气、氩气、氪气或氙气的存在下或不存在下可用于膜沉积。活性金属氢化物键在基底表面上形成。在ALD工艺的热条件下,金属氢化物分解成分子氢和金属。从表面吹扫反应产物,留下超薄的金属层。
然后例如通过用如氩气或氮气的惰性气体吹扫腔室而从腔室去除任何剩余的气态共反应物和气态反应产物。将基底表面暴露于第一反应物,去除未附着于基底表面的任何剩余的气态反应物,将基底暴露于共反应物,以及从腔室去除任何气态共反应物(和气态反应产物)的要素重复多次。这些要素重复的次数由基底表面上所得的正电性金属层的理想厚度来决定。这些要素可以仅实施一次。
在图1A和1B的可选实施方案中,实施更类似于CVD的技术,并且同时向基底表面一起提供气态反应物和共反应物(若有)以形成正电性金属的层。在其他可选实施方案中,从腔室去除剩余的气态反应物和共反应物以及气态产物,多次重复向基底表面供应气态反应物和共反应物的工艺,以产生具有理想厚度的层。
在本发明实施方案中,包含正电性金属的膜在暴露于任何可能的氧化物,例如暴露于空气之前具有处于单质金属(零氧化态)的正电性金属。如果该膜受保护而避免氧化剂,则正电性金属保持在零氧化态。
图2A-F示出了可用于本发明实施方案的前体分子。包含正电性金属、1-2个金属原子、直接的金属硅键和/或直接的金属锗键和键合至Si和/或Ge的其他官能团的其它前体分子也是可能的。在图2A-F中,在官能团-ER1R2R3中,R1、R2和R3是相同或不同的,并且是烷基、芳基、在E和主族元素,例如Si、Ge、N、P、O或S之间包含直接键的基团或杂环基团,并且E是Si或Ge。在图2A中,M1是正电性金属,例如Be、Mg或Ca。在图2B-E中,M2是正电性金属,例如是Ti、Sc或Y,E是硅或锗。在图2F中,M3是正电性金属,例如Ti、Zr或Hf,E是硅或锗。在本发明的一个实施方案中,R是甲基。在本发明的另一个实施方案中,-ER1R2R3包含两个甲基和苯甲基作为R官能团。在本发明的又一个实施方案中,前体分子是((CH3)3Si)3Al:N(CH3)2(CH2CH3)、((CH3)2ArSi)3Al:N(CH3)2(CH2CH3)、((CH3)3Si)3Al(奎宁环)、((CH3)3Ge)3Al(奎宁环)、((C4H9)3Si)2Mn、((C4H9)3Si)2Mn·N(CH3)3、((CH3)3Ge)2Mn(tmeda)和((C4H9)3Si)2Ti N(CH3)3,其中Ar是任选包含一个或多个杂原子的芳基或烃基,并且tmeda是四甲基乙二胺。
根据本发明实施方案的工艺可用于产生超薄的金属层,其用于例如栅极电极和平坦、三栅极和堆叠的纳米线晶体管器件的源极/漏极接触应用、以及作为势垒层、种晶层、衬垫、帽(cap)、和/或作为主要的导电互连金属的共形层的互连件(过孔(via)和线)。根据本发明实施方案的方法可以用于例如沉积NMOS功函数金属、NMOS金属扩散势垒层、PMOS功函数金属和/或粘合层/衬垫层。由于使用包含直接的金属硅键和/或直接的金属锗键的前体来形成膜,根据本发明实施方案的金属层存在低水平的Si和/或Ge。根据本发明实施方案的膜中存在的Si和/或Ge的水平是0.5-10.0原子%。在另外的实施方案中,膜中存在的Si和/或Ge的水平是2-15原子%、或2和8原子%。
在本发明另外的实施方案中,所产生的包含正电性金属的金属层在层中具有更高的Si和/或Ge的水平。典型地,CVD工艺用来产生具有更高Si和/或Ge含量的金属硅化物膜和/或金属锗化物膜。例如,TiSi是用于根据本发明实施方案产生的半导体制造的导电材料。根据本发明另外的实施方案的正电性金属膜中存在的Si和/或Ge的水平是10.0-67原子%。
图3A-I示出了可用于本发明实施方案的另外的前体分子。包含第2-7族金属的不同组合和/或Al、包含1-2个金属原子、以及键合至Si和/或Ge的不同官能团的其它前体分子也是可能的。
图4提供了简化的三栅极晶体管结构。在图4中,绝缘基底405包括栅极电极410和源极415和漏极420的区域。绝缘基底405由例如具有其上形成的绝缘材料,例如SiO2的半导体基底构成。沟道区430在三个侧面具有栅极介电区425和栅极电极区410。栅极电极区410由根据本发明实施方案的金属层构成。电极区410至少部分地例如使用在此所述的允许沉积薄共形层的方法形成。这些金属层存在的Si或Ge的水平低,其水平是0.5-10.0原子%的Si或Ge(或者2-15原子%、或2和8原子%),并且前体分子中存在的一种或多种第2-7族金属或Al的水平是99.5-75.0原子%(或98-70原子%、或98-72原子%)。在共形层已经沉积之后,电极区可以任选地使用不同的沉积工艺,例如使用不同前体的ALD或CVD工艺而用导电材料填充。任选地,粘合层和/或势垒层(未显示)处于栅极介电区425和栅极电极区410之间。源极415区和漏极420的区域例如由导电的P型或N型半导体材料形成。栅极介电层425是绝缘材料,例如二氧化硅(SiO2)、氮氧化硅(SiOxNy)、氮化硅(Si3N4)。栅极介电层425还可以是高k栅极的介电材料,例如金属氧化物介电体。沟道区430可以由例如掺杂或未掺杂的硅、单晶硅、硅和锗的混合物或III-V族化合物半导体(包括周期表第III族和第V(或13和15)族元素的化合物),例如砷化铟镓(InGaAs)、磷化铟(InP)和砷化铟铝(InAlAs)构成。通常,三栅晶体管结构具有在三个侧面被栅极介电体和栅极电极包围的沟道区。用于所示特征的其它结构和形状也可用于三栅极晶体管,例如具有不同形状的源极区和漏极区的那些。此外,具有其它相关特征的晶体管为了简要而未画出。例如,栅极电极区典型地由绝缘间隔件限定,晶体管结构可以覆盖在绝缘材料中,并且导电过孔将源极区和漏极区连接至其它器件和容纳晶体管的半导体芯片区域。在本发明的其他实施方案中,导电过孔(未显示)具有衬垫在触点内侧的金属层,该金属层所存在的Si或Ge水平低,其水平是0.5-10.0原子%的Si或Ge(或2-15原子%、或2和8原子%)。在本发明的另一个实施方案中,该膜包含低水平的Si或Ge,其水平是0.5-10.0原子%的Si或Ge(或2-15原子%、或2和8原子%),并且前体分子中存在的一种或多种第2-7族金属和/或Al的水平是99.5-75.0原子%(或98-70原子%、或98-72原子%)。在本发明另外的实施方案中,该膜包含低水平的Si或Ge,其水平是0.5-10.0原子%的Si或Ge(或2-15原子%、或2和8原子%),并且前体分子中存在的一种或多种第2-7族金属和/或Al的水平是99.5-75.0原子%(或98-70原子%、或98-72原子%),并且碳、氮和氧杂质总量低于15原子%。在本发明另外的实施方案中,衬垫触点内侧的层根据在此所述的方法形成。该层可以沉积在源极/漏极区域和触点金属之间的薄扩散势垒层上。
图5A-C示出了堆叠的纳米线晶体管器件。图5A的图显示了半个堆叠纳米线晶体管器件以便于说明。图5B表示以相对图5A的视角旋转45°的透视图中图5A的器件,栅极介电体层和栅极金属现已包括在图5B中。图5C显示了沿着相对图5A的切割平面旋转90°的平面切割,并且器件自身已旋转-45°的堆叠纳米线晶体管器件。在图5A中,基底505容纳绝缘间隔件510和源极/漏极区515。纳米线沟道区520(每个晶体管中示出两个)与源极/漏极区515接触。纳米线沟道区520包含例如硅、硅和锗或III-V族化合物半导体,例如砷化铟镓(InGaAs)、磷化铟(InP)和砷化铟铝(InAlAs)。在图5B-C中,栅极介电体525布置在沟道区520上,栅极电极区530布置在栅极介电体525上。栅极介电体525是绝缘材料,例如二氧化硅(SiO2)、氮氧化硅(SiOxNy)、氮化硅(Si3N4)或高k介电材料。在此所述的工艺可用以在栅极介电体525周围沉积薄的金属共形层535。薄的金属共形层535存在的Si或锗水平低,其水平是0.5-10.0原子%的Si或Ge(或2-15原子%、或2和8原子%)。在本发明的又一个实施方案中,膜包含低水平的Si或Ge,其水平是0.5-10.0原子%的Si或Ge(或2-15原子%、或2和8原子%),并且前体分子中存在的一种或多种第2-7族金属和/或Al的水平是99.5-75.0原子%(或98-70原子%、或98-77原子%)。在本发明另外的实施方案中,膜包含低水平的Si或Ge,其水平是0.5-10.0原子%的Si或Ge(或2-15原子%、或2和8原子%),并且前体分子中存在的一种或多种第2-7族金属和/或Al的水平是99.5-75.0原子%(或98-70原子%、或98-72原子%),并且碳、氮和氧杂质总量低于15原子%。其余的栅极电极区530可以包含相同的金属或不同的导电物质作为薄的金属共形层535,并且可以通过相同或不同的方法沉积。在另外的实施方案中,栅极电极区530可以包含例如Ti、W、Ta、Al及其合金、以及其与稀土元素,例如Er、Dy或如Pt的贵金属的合金,以及氮化物,例如TaN和TiN的材料。任选地,粘合层和/或势垒层(未显示)在栅极介电区525和栅极电极区530之间。用于所述特征的其它结构和形状也可用于堆叠的纳米线晶体管,例如具有不同数量的纳米线晶体管(例如一个、两个或三个或更多个纳米线)以及具有不同形状的源极和漏极区的那些。
通常,高k介电体是介电常数大于SiO2的介电材料。SiO2的介电常数是3.9。示例性的高k介电材料包括二氧化铪(HfO2)、铪硅氧化物、氧化镧、镧铝氧化物、二氧化锆(ZrO2)、锆硅氧化物、二氧化钛(TiO2)、五氧化钽(Ta2O5)、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物、铌锌酸铅、以及半导体领域已知的其它材料。
在本发明另外的实施方案中,根据本发明实施方案的方法被用于沉积BEOL(后段工艺)互连应用的金属。这些应用包括粘合层、衬垫、势垒层、用于减少电迁移的帽、以及互连金属自身。图6示出了金属互连结构,例如沟槽或过孔。在图6中,基底605容纳金属沟槽或过孔610。金属沟槽或过孔610在绝缘层615内,绝缘层例如是层间介电材料(ILD)。介电材料包括低k介电体和二氧化硅。任选的层620是由介电材料,例如氮化硅、氮氧化硅和二氧化硅构成的阻蚀层。势垒层625将金属沟槽或过孔610从介电层615和620隔离。该结构任选地还包括帽层(capping layer)635,其从沉积在金属沟槽或过孔610上的另外的介电层640(例如ILD)隔离金属沟槽或过孔610。金属沟槽或过孔610任选地电联接至下伏的第二金属沟槽或过孔645。金属沟槽或过孔610和645由例如铜、铝、银及其合金构成。进一步任选地,图6的结构包括帽层635,但是包括不同的势垒层625,或者不包括势垒层625。在本发明的一个实施方案中,金属沟槽或过孔610和645由铜构成。在本发明实施方案中,势垒层625可以包含W、Hf和/或Ta,用于减少电迁移的金属帽635可以包含W。薄的共形层W、Hf和/或Ta根据本发明实施方案沉积在层间介电材料(ILD)上(由例如低k材料或SiO2的介电材料构成),然后在电镀之前用ALD Cu膜涂布。帽层可以相对于介电表面选择性地沉积在暴露的金属表面上。介电表面上的原子层沉积可以通过使用薄有机膜的其表面官能化进行抑制,其包括但不限于自组装的单层和氨基(有机)硅烷。如前面所讨论,根据本发明实施方案的正电性金属膜(即沉积之后未改性的)所存在的Si或Ge的水平低,其水平是0.5-10.0原子%的Si或Ge(或2-15原子%、或2和8原子%)。在本发明的其他实施方案中,膜包含低水平的Si或Ge,其水平是0.5-10.0原子%的Si或Ge(或2-15原子%、或2和8原子%),并且前体分子中存在的一种或多种第2-7族金属和/或Al(例如W、Hf和/或Ta)的水平是99.5-75.0原子%(或98-70原子%、或98-72原子%)。在本发明另外的实施方案中,膜包含低水平的Si或Ge,其水平是0.5-10.0原子%的Si或Ge(或2-15原子%、或2和8原子%),并且前体分子中存在的一种或多种第2-7族金属和/或Al的水平是99.5-75.0原子%(或98-70原子%、或98-72原子%),并且碳、氮和氧杂质总量低于15原子%。
用于介电层、特征和/或层间介电材料(ILD)的典型介电材料包括二氧化硅和低k介电材料。可以使用的其他介电材料包括碳掺杂的氧化物(CDO)、氮化硅、有机聚合物,例如全氟环丁烷或聚四氟乙烯、氟硅酸盐玻璃(FSG)和有机硅酸盐,例如倍半硅氧烷、硅氧烷、有机硅酸盐玻璃。该介电层可以包括孔以进一步降低介电常数。
在此显示的器件组件可以包括附加层,例如衬垫层和粘合层,其将包括不同材料的层,例如金属层与绝缘层隔离,为了简要,以一层示出的组件可以包括多个相同或不同材料的层,其例如取决于用于构造器件的制造工艺和理想的器件性能。
本发明的实施方案容纳在基底上,例如半导体基底上。其上可形成本发明实施方案的正电性金属层的基底表面包含例如H封端的硅、二氧化硅、硅、硅锗、第III-V族(或以附加的周期表栏号方案的第13-14族)化合物半导体、主族氧化物、金属和/或二元或混合的金属氧化物。层和包括器件的层还可以被称为制造本发明实施方案的基底或基底的一部分。其上构建半导体器件的基底典型地是被切分得到单独IC芯片的半导体晶片。虽然本发明实施方案并不依赖于所用基底的类型,但是其上构建半芯片的基底典型地是硅晶片。所述基底还可以由锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓、锑化镓和/或其它的第III-V族材料构成,或者单独地或与硅或二氧化硅或其它的绝缘材料组合而构成。
图7示出了根据本发明的一个实施方案的计算装置1000。计算装置1000容纳主板1002。主板1002可以包括一些组件,其包括但不限于处理器1004和至少一个通信芯片1006。处理器1004物理联接且电联接至主板1002。在一些实施方案中,至少一个通信芯片1006也物理联接且电联接至主板1002。
取决于其应用,计算装置1000可以包括其它组件,其可以或可以不物理联接且电联接至主板1002。这些其它组件包括但不限于易失性存储器(如DRAM)、非易失性存储器(如ROM)、图像处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)装置、指南针、加速表、陀螺仪、扬声器、摄像机和大容量存储装置(如硬盘驱动器、压缩光盘(CD)、数字通用光盘(DVD)等)。
通信芯片1006能使往返于计算装置1000的数据传输进行无线通信。术语“无线”及其派生词可以用于描述电路、器件、系统、方法、技术、信道等,其可以通过使用调谐电磁辐射通过非固体介质通信数据。该术语并不暗指相关的器件不具有任何的线,虽然在一个实施方案中其可以不具有。通信芯片1006可以执行一些无线标准或协议的任何一种,其包括但不限于Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、它们的派生物、以及指明是3G、4G、5G及以上的任何其它无线协议。计算装置1000可以包括多个通信芯片1006。例如,第一通信芯片1006可以专用于较短范围的无线通信,例如Wi-Fi和蓝牙,而第二通信芯片1006可以专用于较长范围的无线通信,例如GPS,EDGE、GPRS、CDMA、WiMAX、LTE和Ev-DO等。
计算装置1000的处理器1004包括封装在处理器1004内的集成电路管芯。在本发明一些实施方案中,处理器的集成电路管芯包括一个或多个根据本发明实施方案形成的器件,例如晶体管和/或金属互连件。术语“处理器”可以是指任何装置或装置部分,其处理来自寄存器和/或存贮器的电子数据以将这些电子数据变换成可以存储在寄存器和/或存贮器中的其它电子数据。
通信芯片1006也包括封装在通信芯片1006内的集成电路管芯。根据本发明另一个实施方案,通信芯片的集成电路管芯包括一个或多个根据本发明实施方案形成的器件,例如晶体管和/或金属互连件。
在另一实施方案中,容纳在计算装置1000内的另一个组件可以具有集成电路管芯,其包括一个或多个根据本发明实施方案形成的器件,例如晶体管和/或金属互连件。
在各种实施方案中,计算装置1000可以是膝上型、网络本、笔记本、智能手机、平板电脑、个人数字助理(PDA)、超级移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制器、数码相机、便携式音乐播放器或数字录像机。在其他实施方案中,计算装置1000可以是能处理数据的任何其它电子器件。
在上述说明书中阐述了许多具体细节,例如晶体管、互连件和材料方案的布局,以便为本发明实施方案提供彻底的了解。对于本领域技术人员明显地是,本发明实施方案可以不用这些具体细节进行实施。另外,为了不会不必要地使本发明的实施方案不清楚,没有详细说明众所周知的特征,例如集成电路设计布局。此外,应理解附图中所示的不同实施方案是示意性表示,并不一定按比例描绘。
相关领域的技术人员知道,可以对所显示和说明的各种组件的公开内容、组合和替换进行改进和变化。遍及本说明书所指的“一个实施方案”或“实施方案”是指针对实施方案描述的一种具体的特征、结构、材料或特性包括在本发明的至少一个实施方案中,但是不一定是指它们存在于每个实施方案中。此外,实施方案中所公开的具体特征、结构、材料或性能可以以任何适当的方式在一个或多个实施方案中组合。可以包括各种其它的层和/或结构,和/或所说明的特征可以在其它实施方案中略去。

Claims (14)

1.一种半导体装置,其包括:
具有表面的基底,
布置在基底表面上的介电材料层,
形成在所述介电材料层中的沟槽或过孔,所述沟槽或过孔具有侧壁和底表面,
布置在所述侧壁和底表面上的势垒层,其中所述势垒层包含99.5-75.0原子%的第2-7族金属以及Si或Ge,Si或Ge的存在量是0.5-10.0原子%的Si或Ge,以及
阱内的金属区,其中所述势垒层在金属区与介电材料层之间。
2.根据 权利要求1所述 的装置,其中所述第2-7族金属是W、Hf、Ta或它们的组合。
3.根据 权利要求1所述 的装置,其中所述金属层包含总量小于15原子%的碳、氮和氧。
4.根据 权利要求1所述 的装置,其中所述金属区由银、铜或铝构成。
5.一种半导体装置,其包括:
具有表面的基底,
布置在基底表面上的介电材料层,
形成在介电材料层中的沟槽或过孔,
所述沟槽或过孔内的金属区,其中所述金属区具有表面,以及
布置在金属区表面上的帽层,其中帽层包含99.5-75.0原子%的第2-7族金属以及Si或Ge,Si或Ge的存在量是0.5-10.0原子%的Si或Ge。
6.根据 权利要求5所述 的装置,其中所述第2-7族金属是W。
7.根据 权利要求5所述 的装置,其中所述金属层包含总量小于15原子%的碳、氮和氧。
8.根据 权利要求5所述 的装置,其中所述金属区由银、铜或铝构成。
9.一种用于制造层的方法,其包括:
提供具有表面的基底,
将基底表面暴露于气相第一前体分子,其中所述第一前体分子包含金属M1,其中金属M1是第2-7族金属或Al,并且金属M1与至少两个硅、锗、或硅和锗原子直接键合,
去除任何剩余的气态第一前体分子,
将基底表面暴露于第二气相前体分子M2Xn,其中X是卤素,n是在2-6之间且包括2和6的数,M2是第2-7族金属或Al,并且M1和M2是相同的金属或不同的金属,
去除任何剩余的气态第二前体分子,以及
重复以下要素至少一次,以便在基底表面上产生包含M1和M2的层:将基底暴露于第一前体分子,去除任何气态第一前体分子,将基底暴露于第二前体分子,以及去除任何剩余的气态第二前体分子。
10.根据 权利要求9所述 的方法,其中M1和M2选自:Zr、Be、Mg、Ca、Sr、Al、Sc、Y、Ti、Hf、V、Nb、Ta、Cr、Mo、W和Mn。
11.根据 权利要求9所述 的方法,其中所述第一前体分子包含两个第2-7族金属原子或Al原子。
12.根据 权利要求9所述 的方法,其中所述第一前体分子选自:((CH3)3Si)3Al·N(CH3)2(CH2CH3)、((CH3)2ArSi)3Al·N(CH3)2(CH2CH3)、((CH3)3Si)3Al(奎宁环)、((CH3)3Ge)3Al(奎宁环)、((C4H9)3Si)2Mn、((C4H9)3Si)2Mn·N(CH3)3、((CH3)3Ge)2Mn(四甲基乙二胺)和((C4H9)3Si)2Ti·N(CH3)3
13.根据 权利要求9所述 的方法,其中M1与至少两个官能团键合,所述官能团是-SiR1R2R3、-GeR1R2R3或它们的组合,其中R1、R2和R3是相同或不同的烷基,并选自以下:含杂原子和不含杂原子的烷基、芳基、环烷基、烯基、炔基、环状基团和多环基团,其中杂原子选自:卤素、O、N、S、P、Si和Ge。
14.根据 权利要求9所述 的方法,其中M1是Al、Ti、Sc、Y、Zr或Hf,并且M1与至少三个官能团键合,所述官能团是-SiR1R2R3、-GeR1R2R3或它们的组合,其中R1、R2和R3是相同或不同的烷基,并选自:烷基、芳基、环烷基、烯基、炔基、环状基团和多环基团,其中杂原子选自:卤素、O、N、S、P、Si和Ge。
CN201180073845.8A 2011-09-29 2011-09-29 用于半导体应用的含正电性金属的层 Active CN103843144B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/054051 WO2013048417A1 (en) 2011-09-29 2011-09-29 Electropositive metal containing layers for semiconductor applications

Publications (2)

Publication Number Publication Date
CN103843144A CN103843144A (zh) 2014-06-04
CN103843144B true CN103843144B (zh) 2018-06-19

Family

ID=47996159

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180073845.8A Active CN103843144B (zh) 2011-09-29 2011-09-29 用于半导体应用的含正电性金属的层

Country Status (7)

Country Link
US (2) US8952355B2 (zh)
EP (1) EP2761663B1 (zh)
JP (1) JP6122854B2 (zh)
KR (2) KR101713920B1 (zh)
CN (1) CN103843144B (zh)
TW (2) TWI582997B (zh)
WO (1) WO2013048417A1 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101713920B1 (ko) 2011-09-29 2017-03-09 인텔 코포레이션 반도체 응용을 위한 양전성 금속 포함 층
DE112011105945B4 (de) 2011-12-19 2021-10-28 Google Llc Gruppe III-N Nanodraht-Transistoren und Verfahren zu ihrer Herstellung
JP2016508497A (ja) * 2013-01-31 2016-03-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード マンガン含有化合物、その合成及びマンガン含有膜の堆積へのその使用
US8823060B1 (en) * 2013-02-20 2014-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inducing strain in FinFET channels
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9385033B2 (en) * 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
KR102192084B1 (ko) * 2013-11-25 2020-12-16 삼성전자주식회사 트랜지스터와 그 제조방법 및 트랜지스터를 포함하는 전자소자
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
CN107004707B (zh) 2014-12-19 2021-02-09 英特尔公司 利用半导体器件的牺牲性阻挡层的选择性沉积
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
KR101692240B1 (ko) 2015-03-12 2017-01-05 주식회사 경동나비엔 제습 냉방기
US20160372600A1 (en) * 2015-06-19 2016-12-22 International Business Machines Corporation Contact-first field-effect transistors
EP3353812A4 (en) * 2015-09-25 2019-05-08 Intel Corporation ARCHITECTURES OF NANOFIL TRANSISTOR DEVICES
JP2018532222A (ja) * 2015-10-21 2018-11-01 イメリス グラファイト アンド カーボン スイッツァランド リミティド スノーボール様形態を有する炭素質複合材料
US10886408B2 (en) 2016-09-29 2021-01-05 Intel Corporation Group III-V material transistors employing nitride-based dopant diffusion barrier layer
US10177226B2 (en) 2016-11-03 2019-01-08 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
WO2018118086A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Gallium-based co-reactants for fabricating metal silicide and metal germanide films
WO2019005090A1 (en) * 2017-06-30 2019-01-03 Intel Corporation SOURCE AND DRAIN CONTACTS OF SEMICONDUCTOR OXIDE DEVICE COMPRISING GRADUATED INDIUM LAYERS
US11387366B2 (en) 2017-09-27 2022-07-12 Intel Corporation Encapsulation layers of thin film transistors
US20200279910A1 (en) * 2017-12-15 2020-09-03 Intel Corporation Reducing off-state leakage in semiconductor devices
JP2024022694A (ja) * 2020-12-28 2024-02-20 株式会社Adeka 原子層堆積法用薄膜形成原料、薄膜の製造方法及びアルミニウム化合物
KR102641124B1 (ko) 2021-06-24 2024-02-28 한양대학교 산학협력단 원자층 증착법을 통해 일함수가 조절되는 반도체 소자의 게이트 구조체 및 그 형성방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101299440A (zh) * 2007-05-03 2008-11-05 三星电子株式会社 具有锗纳米棒的场效应晶体管及其制造方法
CN101388344A (zh) * 2007-09-11 2009-03-18 硅绝缘体技术有限公司 多栅极场效应晶体管结构及其制造方法
US7727830B2 (en) * 2007-12-31 2010-06-01 Intel Corporation Fabrication of germanium nanowire transistors

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3523093B2 (ja) * 1997-11-28 2004-04-26 株式会社東芝 半導体装置およびその製造方法
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US6562708B1 (en) * 2000-11-16 2003-05-13 Tokyo Electron Limited Method for incorporating silicon into CVD metal films
JP2002280562A (ja) 2001-03-19 2002-09-27 Seiko Epson Corp Soi構造のmos電界効果トランジスタおよびその製造方法ならびに電子機器
KR100414217B1 (ko) * 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US7186380B2 (en) * 2002-07-01 2007-03-06 Hewlett-Packard Development Company, L.P. Transistor and sensors made from molecular materials with electric dipoles
JP2005533390A (ja) * 2002-07-18 2005-11-04 アヴィザ テクノロジー インコーポレイテッド 混合成分を有する薄膜の分子層蒸着
AU2003277033A1 (en) * 2002-09-30 2004-04-23 Nanosys, Inc. Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
JP3962009B2 (ja) * 2003-12-05 2007-08-22 株式会社東芝 半導体装置の製造方法
JP2005217176A (ja) * 2004-01-29 2005-08-11 Tokyo Electron Ltd 半導体装置および積層膜の形成方法
JP4674061B2 (ja) * 2004-07-14 2011-04-20 株式会社アルバック 薄膜形成方法
JP2006093390A (ja) * 2004-09-24 2006-04-06 Matsushita Electric Ind Co Ltd 半導体素子および半導体回路
US7598516B2 (en) 2005-01-07 2009-10-06 International Business Machines Corporation Self-aligned process for nanotube/nanowire FETs
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
JP2006261235A (ja) * 2005-03-15 2006-09-28 Toshiba Corp 半導体装置
FR2884648B1 (fr) * 2005-04-13 2007-09-07 Commissariat Energie Atomique Structure et procede de realisation d'un dispositif microelectronique dote d'un ou plusieurs fils quantiques aptes a former un canal ou plusieurs canaux de transistors
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US8188551B2 (en) * 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7531452B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US7964490B2 (en) 2008-12-31 2011-06-21 Intel Corporation Methods of forming nickel sulfide film on a semiconductor device
US8120073B2 (en) * 2008-12-31 2012-02-21 Intel Corporation Trigate transistor having extended metal gate electrode
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
EP2443181A4 (en) * 2009-04-16 2012-10-17 Merck Patent Gmbh SYNTHESIS OF SILICON NANOBARRES
EP2494587B1 (en) * 2009-10-26 2020-07-15 ASM International N.V. Atomic layer deposition of antimony containing thin films
KR20110092836A (ko) * 2010-02-10 2011-08-18 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP5179604B2 (ja) 2010-02-16 2013-04-10 株式会社神戸製鋼所 表示装置用Al合金膜
US20120070981A1 (en) 2010-09-17 2012-03-22 Clendenning Scott B Atomic layer deposition of a copper-containing seed layer
US8440556B2 (en) 2010-12-22 2013-05-14 Intel Corporation Forming conformal metallic platinum zinc films for semiconductor devices
KR101713920B1 (ko) 2011-09-29 2017-03-09 인텔 코포레이션 반도체 응용을 위한 양전성 금속 포함 층
WO2013095341A1 (en) 2011-12-19 2013-06-27 Intel Corporation Cmos implementation of germanium and iii-v nanowires and nanoribbons in gate-all-around architecture

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101299440A (zh) * 2007-05-03 2008-11-05 三星电子株式会社 具有锗纳米棒的场效应晶体管及其制造方法
CN101388344A (zh) * 2007-09-11 2009-03-18 硅绝缘体技术有限公司 多栅极场效应晶体管结构及其制造方法
US7727830B2 (en) * 2007-12-31 2010-06-01 Intel Corporation Fabrication of germanium nanowire transistors

Also Published As

Publication number Publication date
EP2761663A1 (en) 2014-08-06
KR20140054372A (ko) 2014-05-08
EP2761663A4 (en) 2015-07-22
JP6122854B2 (ja) 2017-04-26
JP2014535159A (ja) 2014-12-25
TWI517394B (zh) 2016-01-11
EP2761663B1 (en) 2016-09-14
TW201327827A (zh) 2013-07-01
KR101713920B1 (ko) 2017-03-09
KR101605643B1 (ko) 2016-03-22
TW201620139A (zh) 2016-06-01
WO2013048417A1 (en) 2013-04-04
KR20160036070A (ko) 2016-04-01
CN103843144A (zh) 2014-06-04
US8952355B2 (en) 2015-02-10
TWI582997B (zh) 2017-05-11
US20150243508A1 (en) 2015-08-27
US20130270513A1 (en) 2013-10-17
US9390932B2 (en) 2016-07-12

Similar Documents

Publication Publication Date Title
CN103843144B (zh) 用于半导体应用的含正电性金属的层
CN103681285B (zh) 包括无氟钨阻挡层的半导体器件及其制造方法
CN105229793B (zh) 利用硬掩模层的纳米线晶体管制造
US9240478B2 (en) 3D UTB transistor using 2D material channels
CN103988308B (zh) 晶体管中的应变补偿
US10615116B2 (en) Surface nitridation in metal interconnects
WO2017099718A1 (en) Atomic layer etching of transition metals by halogen surface oxidation
CN106847811A (zh) 减小的接触电阻的自对准接触金属化
US10424504B2 (en) Method for forming improved liner layer and semiconductor device including the same
CN112750685A (zh) 氮化硼层、包括其的装置以及制造氮化硼层的方法
JP2005510872A5 (zh)
TW202226605A (zh) 具有介電全環繞電容之閘極全環繞半導體元件及其製備方法
US20170104081A1 (en) Method for preparing titanium-aluminum alloy thin film
TWI793520B (zh) 半導體元件及其製備方法
WO2021150391A1 (en) Electronic devices comprising silicon carbide materials and related methods and systems
US20230009144A1 (en) Dielectric structures in semiconductor devices
US20230009077A1 (en) Contact structures in semiconductor devices
US20230197826A1 (en) Self-aligned gate endcap (sage) architectures with improved cap
WO2018190828A1 (en) Semiconducting oxide device source and drain contacts
CN112992787A (zh) 半导体器件和形成半导体器件的方法
TW202335094A (zh) 用於可靠低接觸電阻之導電氧矽化物

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant