KR20140054372A - 반도체 응용을 위한 양전성 금속 포함 층 - Google Patents

반도체 응용을 위한 양전성 금속 포함 층 Download PDF

Info

Publication number
KR20140054372A
KR20140054372A KR1020147008071A KR20147008071A KR20140054372A KR 20140054372 A KR20140054372 A KR 20140054372A KR 1020147008071 A KR1020147008071 A KR 1020147008071A KR 20147008071 A KR20147008071 A KR 20147008071A KR 20140054372 A KR20140054372 A KR 20140054372A
Authority
KR
South Korea
Prior art keywords
metal
group
substrate
silicon
layer
Prior art date
Application number
KR1020147008071A
Other languages
English (en)
Other versions
KR101605643B1 (ko
Inventor
패트리시오 이. 로메로
스콧 비. 클렌데닝
Original Assignee
인텔 코오퍼레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인텔 코오퍼레이션 filed Critical 인텔 코오퍼레이션
Publication of KR20140054372A publication Critical patent/KR20140054372A/ko
Application granted granted Critical
Publication of KR101605643B1 publication Critical patent/KR101605643B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7836Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a significant overlap between the lightly doped extension and the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Geometry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Abstract

본 발명의 실시형태는 ALD(atomic layer deposition) 및/또는 CVD(chemical vapor deposition) 공정을 통해 양전성 금속을 포함하는 층을 형성하는 방법, 하나 이상의 양전성 금속을 포함하는 층, 및 하나 이상의 양전성 금속을 포함하는 층을 포함하는 반도체 장치를 제공한다. 본 발명의 실시형태에서, 층들은 박막 또는 초박막(두께가 100 Å 미만인 막) 및/또는 등각 막이다. 하나 이상의 양전성 금속을 포함하는 금속 층들을 포함하는, 트랜지스터 장치, 금속 인터커넥트, 및 컴퓨팅 장치가 추가로 제공된다.

Description

반도체 응용을 위한 양전성 금속 포함 층{ELECTROPOSITIVE METAL CONTAINING LAYERS FOR SEMICONDUCTOR APPLICATIONS}
본 발명의 실시형태는 일반적으로 집적 회로 장치, 반도체 장치, 금속 인터커넥트(interconnects), 트랜지스터, 등각 막(conformal films), 원자 층 증착 공정, 화학 기상 증착 공정 및 화학 증착 공정에 관한 것이다.
더 작고 더 고집적의 집적 회로(IC) 및 다른 반도체 장치에 대한 추진은, 장치를 구축하기 위해 사용되는 기술 및 재료에 대해 많은 것을 요구한다. 일반적으로, 집적 회로 칩은 또한 마이크로칩, 실리콘 칩 또는 칩으로도 공지되어 있다. IC 칩은 컴퓨터, 자동차, 텔레비젼, CD 플레이어 및 휴대폰의 마이크로프로세서와 같은 다양한 통상의 장치에서 발견된다. 복수의 IC 칩은 통상적으로 실리콘 웨이퍼(직경이 예를 들어 300 mm인 얇은 실리콘 디스크)상에 구축되며, 가공 후에 웨이퍼를 별개로 다이싱하여(diced) 개별 칩을 제조한다. 피처 크기(feature size)가 약 90 nm 근방인 1 cm2 IC 칩은 수억 개의 구성요소들을 포함할 수 있다. 현재의 기술은 심지어 45 nm 보다 더 작은 피처 크기를 추진하고 있다. IC 칩의 구성요소는, 예를 들어 CMOS(complementary metal-oxide-semiconductor) 장치와 같은 트랜지스터, 용량성 구조체, 저항성 구조체, 및 구성요소들과 외부 장치들 간에 전기적 접속을 제공하는 금속 라인을 포함한다. 다른 반도체 장치는, 예를 들어 각종 다이오드, 레이저, 광검출기 및 자기장 센서를 포함한다.
도 1a-b는 기판 표면상에 양전성 금속을 포함하는 박막 등각 막을 형성하기 위해 유용한 방법을 도식화한다.
도 2a-f는 본 발명의 실시형태에 유용한 일부 전구체 분자를 예시한다.
도 3a-i는 본 발명의 실시형태에 유용한 추가의 예시적 전구체 분자를 예시한다.
도 4는 트리게이트(trigate) 트랜지스터 장치를 예시하는 개략도이다.
도 5a-c는 적층 나노와이어 트랜지스터 장치를 예시하는 개략도이다.
도 6은 금속 인터커넥트 구조를 예시한다.
도 7은 본 발명의 실시형태에 따라 구축된 컴퓨팅 장치이다.
양전성 금속 및 고도의 양전성 금속의 퇴적은 불리한 인자들의 조합으로 인해 이 금속성 원소들을 포함하는 반도체 장치의 제조에 어려움을 야기할 수 있다. 불리한 인자들은 양전성 금속을 환원시키기 위해 필요한 매우 높은 에너지, 및 대부분의 양전성 금속의 탄소, 질소 및 산소에 대한 높은 친화도를 포함한다. CVD(chemical vapor deposition) 또는 ALD(atomic layer deposition) 공정을 이용한 양전성 금속의 퇴적은, 금속-산화물, 금속-질화물, 금속-탄화물 또는 이들 종류들의 조합과 같은 바람직하지 않은 비-화학양론적 이원 및 삼원 상의 퇴적을 초래할 수 있다. 탄소, 질소 및/또는 산소는 종종, 하나 이상의 양전성 금속으로 구성된 소망하는 막의 성능을 저하시킬 수 있는 바람직하지 않은 구성요소이다.
본 발명의 실시형태는 ALD 및/또는 CVD 공정을 이용하여 양전성 금속을 포함하는 층들을 형성하는 방법, 하나 이상의 양전성 금속을 포함하는 층들, 및 하나 이상의 양전성 금속을 포함하는 층들을 포함하는 반도체 장치를 제공한다. 본 발명의 실시형태에서, 막은 박막 또는 초박막(두께가 100 Å 미만인 막) 및/또는 등각 막이다. 유리하게는, 본 발명의 실시형태에 따른 막은 상당량의 탄소, 질소 및 산소를 포함하지 않는다. 본 발명의 실시형태에서, 양전성 금속을 포함하는 막은 총량이 15 원자% 미만인 탄소, 질소 및 산소 불순물을 포함한다.
일반적으로, 원자 층 증착(ALD)은 통상적으로 초박막 등각 막을 퇴적하기 위해 반도체 가공 산업에 사용되는 기술이다. 통상적인 ALD 공정에서, 막으로 코팅될 기판 표면은 순차적으로 기상 반응물(전구체)에 노출된다. 표면을 반응물에 순차적으로 노출시키는 것을 반복함으로써 표면상에 얇은 등각 생성물 층이 생성된다. 표면을 각 기상 반응물에 노출시킨 후 반응물 기체 및 반응 부산물을 반응 챔버에서 퍼지시킨다.
일반적으로, 화학 기상 증착(CVD)은 기판 표면상에 막 층을 생성하기 위해 사용되는 공정이다. CVD 공정에서, 기판 표면은 기판 표면상에서 반응을 겪고 원하는 막 재료를 형성하는 휘발성 반응물 전구체에 노출된다. 예를 들어 단결정, 다결정, 비정질 및 에피택셜 막을 포함하는 다양한 형태의 재료를 퇴적하기 위해 ALD 및 CVD를 사용할 수 있다. CVD 및 ALD 공정은 특수 장치의 일부인 진공 챔버에서 통상적으로 수행된다.
도 1a는 기판상에 하나 이상의 양전성 금속을 포함하는 층을 형성하는 ALD법을 설명한다. 본 발명의 실시형태에 따른 막 퇴적 공정은, 마이크로미터 및 나노미터 크기의 피처 및 고 종횡비를 갖는 마이크로미터 및 나노미터 크기의 피처를 갖는 기판상에 박막 등각 막을 퇴적할 수 있다. 도 1a에서, 박막 등각 막이 위에 퇴적될 기판 표면은 막 퇴적 공정 중에 선택적으로 가열된다. 본 발명의 실시형태에서, 기판은 퇴적 동안 적어도 실온 초과의 온도까지 가열된다. 비록 퇴적에 최소 열 에너지가 요구되기는 하지만, 가열로 인해 일정한 퇴적 조건을 유지하는 것이 가능할 수 있다. 막 퇴적 공정은 비교적 저온에서 일어날 수 있으며, 예를 들어, 퇴적은 30 ℃와 500 ℃ 사이의 온도에서 일어날 수 있다.
기판 표면은 양전성 금속을 포함하는 제1 전구체 분자에 노출된다. 본 발명의 실시형태에서, 양전성 금속은 원소 주기율표의 2-7족 및/또는 Al로부터 선택된 금속이다. 본 발명의 실시형태에서, 양전성 금속은 Zr, Be, Mg, Ca, Sr, Al, Sc, Y, Ti, Hf, V, Nb, Ta, Cr, Mo, W 및 Mn과 같은 금속이다. 제1 전구체 분자는 금속-실리콘 및/또는 금속-게르마늄 직접 결합을 포함한다. 본 발명의 실시형태에서, 제1 전구체 분자는 1 또는 2개의 금속 원자를 포함하며, 금속 원자는 모두 동일한 금속 원자이거나 2개의 상이한 금속 원자이다. 금속-실리콘 및/또는 금속-게르마늄 직접 결합을 포함하는 제1 전구체 분자의 금속 중심(들)은 선택적으로, N, P, O 또는 S 원자를 통해 결찰된(ligated) 배위 루이스 염기를 가질 수 있다. 표면상에 존재하지 않는 임의의 전구체 분자(및 아마도 존재할 수 있는 임의의 다른 기상 종류)는, 예를 들어, 질소, 헬륨, 네온, 아르곤, 크립톤 또는 크세논과 같은 불활성 기체를 이용하여 퇴적이 일어나는 진공 챔버를 퍼지시킴으로써 제거된다. 이어서, 기판 표면을 제2 전구체 분자(공-반응물)에 노출시킨다. 제2 전구체 분자는 휘발성 MXn 화합물이다(상기에서, X는 할로겐 또는 산소-포함 리간드이며, n은 2 이상 6 이하의 수이다). 금속-실리콘 및/또는 금속-게르마늄 직접 결합을 포함하는 양전성 금속을 이용하여 막을 퇴적하는 반응 모델을 식 (1)로 도시한다.
Figure pct00001
(1)
식 (1)에서, m 및 n은 2 이상 6 이하의 수이며, R1, R2 및 R3는 동일하거나 상이하며, 탄화수소 기, 또는 할로겐, O, N, S, P, Si 및/또는 Ge와 같은 하나 이상의 헤테로원자를 포함하는 탄화수소 기이고, X는 할로겐 또는 알콕시드(-OR, 여기서 R은 탄화수소, 또는 헤테로원자 포함 탄화수소이고, 예를 들어, 알킬, 또는 헤테로원자 포함 알킬기임)이고, E는 실리콘 및/또는 게르마늄이고, M1 및 M2는 원소 주기율표의 2-7족 및/또는 Al으로부터 선택된 양전성 금속이고, p는 1 또는 2이며, p가 2일 경우, 분자 (M1)p-(ER1R2R3)m을 포함하는 양전성 금속은 동일하거나 상이할 수 있다. 탄화수소는, 예를 들어, 분지형 및 비-분지형 알킬, 아릴, 시클로알킬, 알켄, 알킨, 시클릭 및 폴리시클릭 기를 포함한다. 금속 M1 및 M2는 동일한 금속 또는 상이한 금속일 수 있다. 본 발명의 실시형태에서, M1 및 M2는 Zr, Be, Mg, Ca, Sr, Al, Sc, Y, Ti, Hf, V, Nb, Ta, Cr, Mo, W 및 Mn과 같은 금속이다. 유용한 할로겐은 불소, 염소, 브롬 및 요오드를 포함한다. 성장하는 막에서 금속-금속 결합의 형성 및 휘발성 생성물(R1-3)3EX의 형성은 정반응을 유도하는 인자이다. 반응물 및/또는 공-반응물을, 예를 들어 질소, 헬륨, 네온, 아르곤, 크립톤 또는 크세논과 같은 불활성 기체와의 기상 반응물의 혼합물로서 챔버에 공급하는 것도 가능하다.
이어서, 예를 들어 아르곤 또는 질소와 같은 불활성 기체로 챔버를 퍼지함으로써, 임의의 잔류 기상 공-반응물 및 기상 반응 생성물을 챔버에서 제거한다. 기판 표면을 제1 반응물에 노출시키고, 기판 표면에 부착되지 않은 임의의 잔류 기상 반응물을 제거하고, 기판을 공-반응물에 노출시키고, 챔버에서 임의의 기상 공-반응물(및 기상 반응 생성물)을 제거하는 단계들을 복수 회 반복한다. 이 단계들은 기판 표면상에 생성된 양전성 금속(들) 층의 원하는 두께에 의해 결정되는 횟수로 반복된다. 이 단계들은 단지 1회 또는 복수 회 수행될 수 있다.
도 1b는 기판상에 양전성 금속(들)을 포함하는 층을 형성하는 추가 방법을 설명한다. 본 발명의 실시형태에 따른 막 퇴적 공정은, 마이크로미터 및 나노미터 크기 피처, 및 고 종횡비를 갖는 마이크로미터 및 나노미터 크기 피처를 갖는 기판상에 박막 등각 막을 퇴적할 수 있다. 도 1b에서, 박막 등각 막이 위에 퇴적될 기판 표면은 막 퇴적 공정 동안 선택적으로 가열된다. 본 발명의 실시형태에서, 기판은 퇴적 동안 적어도 실온 초과의 온도까지 가열된다. 비록 퇴적에는 최소 열 에너지가 요구되기는 하지만, 가열로 인해 일정한 퇴적 조건을 유지하는 것이 가능할 수 있다. 막 퇴적 공정은 비교적 저온에서 일어날 수 있으며, 예를 들어, 퇴적은 30 ℃와 500 ℃ 사이의 온도에서 일어날 수 있다.
기판 표면은 양전성 금속을 포함하는 제1 전구체 분자에 노출된다. 본 발명의 실시형태에서, 양전성 금속은 원소 주기율표의 2-7족 및/또는 Al로부터 선택된 금속이다. 본 발명의 실시형태에서, 양전성 금속은 Zr, Be, Mg, Ca, Sr, Al, Sc, Y, Ti, Hf, V, Nb, Ta, Cr, Mo, W 및 Mn과 같은 금속이다. 제1 전구체 분자는 금속-실리콘 및/또는 금속-게르마늄 직접 결합을 포함한다. 본 발명의 실시형태에서, 제1 전구체 분자는 1 내지 2개의 금속 원자를 포함하며, 금속 원자는 모두 동일한 금속 원자이거나 상이한 금속 원자의 혼합물이다. 금속-실리콘 및/또는 금속-게르마늄 직접 결합을 포함하는 제1 전구체 분자의 금속 중심(들)은 선택적으로, N, P, O 또는 S 원자를 통해 결찰된 배위 루이스 염기를 가질 수 있다. 예를 들어, 불활성 기체를 이용하여 퇴적이 일어나는 진공 챔버를 퍼지시킴으로써, 임의의 잔류 기상 전구체 분자(및 존재할 수 있는 임의의 다른 기상 종류)를 제거한다. 이어서, 기판 표면을 제2 전구체 분자(공-반응물)에 노출시킨다. 제2 반응물은 수소이다. 금속-실리콘 및/또는 금속-게르마늄 직접 결합을 포함하는 양전성 금속을 이용하여 막을 퇴적하는 반응 모델을 식 (2)로 도시한다.
Figure pct00002
(2)
식 (2)에서, m은 2 이상 6 이하의 수이며, E는 실리콘 및/또는 게르마늄이며, R1,R2 및 R3는 동일하거나 상이하며, 탄화수소 기, 또는 할로겐, O, N, S, P, Si 및/또는 Ge와 같은 하나 이상의 헤테로원자를 포함하는 탄화수소 기이고, M은 원소 주기율표의 2-7족 및/또는 Al로부터 선택된 양전성 금속이고, p는 1 또는 2이며, p가 2 또는 3일 경우, 분자 (M)p-(ER1R2R3)m을 포함하는 양전성 금속은 동일하거나 상이할 수 있다. 탄화수소는, 예를 들어, 분지형 및 비-분지형 알킬, 아릴, 시클로알킬, 알켄, 알킨, 시클릭 및 폴리시클릭 기를 포함한다. 본 발명의 실시형태에서, Mp은 Zr, Be, Mg, Ca, Sr, Al, Sc, Y, Ti, Hf, V, Nb, Ta, Cr, Mo, W 및 Mn과 같은 하나 이상의 금속이다. 막 퇴적에는 임의의 통상적인 형태의 수소가 사용될 수 있으며, 예를 들어, 플라즈마 활성화하거나 플라즈마 활성화하지 않고, 및 예를 들어 질소, 헬륨, 네온, 아르곤, 크립톤 또는 크세논과 같은 추가 불활성 기체의 존재와 함께 또는 그의 존재 없이, 분자 수소가 사용될 수 있다. 반응성 금속 수소화물 결합이 기판 표면상에 형성된다. ALD 공정의 열 조건하에서, 금속 수소화물은 분자 수소 및 금속으로 분해된다. 반응 생성물을 표면에서 퍼지하여 초박막 금속 층을 남긴다.
이어서, 예를 들어 아르곤 또는 질소와 같은 불활성 기체로 챔버를 퍼지함으로써, 챔버에서 임의의 잔류 기상 공-반응물 및 기상 반응 생성물을 제거한다. 기판 표면을 제1 반응물에 노출시키고, 기판 표면에 부착되지 않은 임의의 잔류 기상 반응물을 제거하고, 공-반응물에 기판을 노출시키고, 챔버에서 임의의 기상 공-반응물(및 기상 반응 생성물)을 제거하는 단계들을 복수 회 반복한다. 이 단계들은 기판의 표면상에 생성된 양전성 금속(들) 층의 원하는 두께에 의해 결정되는 횟수만큼 반복된다. 이 단계들은 또한 단 1회만 수행될 수 있다.
도 1a 및 1b의 다른 실시형태에서, CVD에 좀더 유사한 기술을 수행하여, 존재할 경우 기상 반응물(들) 및 공-반응물(들)이 함께 동시에 기판 표면에 제공되어 양전성 금속 층을 형성한다. 추가의 다른 실시형태에서, 잔류 기상 반응물(들) 및 공-반응물(들) 및 기상 생성물이 챔버에서 제거되고, 기판 표면에 기상 반응물(들) 및 공-반응물(들)을 공급하는 공정은 원하는 두께를 갖는 층을 생성하는 횟수만큼 반복된다.
본 발명의 실시형태에서, 양전성 금속(들)을 포함하는 막은, 예를 들어 공기에의 노출과 같은 임의의 잠재적 산화제에 노출되기 전에 금속성(0의 산화 상태)인 양전성 금속(들)을 갖는다. 막이 산화제로부터 보호될 경우, 양전성 금속(들)은 0의 산화 상태로 남는다.
도 2a-f는 본 발명의 실시형태에 유용한 전구체 분자를 도시한다. 양전성 금속, 1-2개의 금속 원자, 금속-실리콘 및/또는 금속-게르마늄 직접 결합, 및 Si 및/또는 Ge에 결합된 상이한 작용기를 포함하는 다른 전구체 분자도 또한 가능하다. 도 2a-f에서, 작용기 -ER1R2R3 내에서, R1, R2 R3는 동일하거나 상이하며, 알킬, 아릴, Si, Ge, N, P, O 또는 S와 같은 주요 그룹 원소와 E 사이의 직접 결합을 포함하는 기, 또는 헤테로시클릭 기이며, E는 Si 또는 Ge가다. 도 2a에서, M1은, 예를 들어 Be, Mg 또는 Ca와 같은 양전성 금속이다. 도 2b-e에서, M2는, 예를 들어 Ti, Sc 또는 Y와 같은 양전성 금속이며, E는 실리콘 또는 게르마늄이다. 도 2f에서, M3는, 예를 들어 Ti, Zr 또는 Hf와 같은 양전성 금속이고, E는 실리콘 또는 게르마늄이다. 본 발명의 실시형태에서, R은 메틸기이다. 본 발명의 추가 실시형태에서, -ER1R2R3는 R 작용기로서 2개의 메틸기 및 벤질기를 포함한다. 본 발명의 추가 실시형태에서, 전구체 분자는 ((CH3)3Si)3Al:N(CH3)2(CH2CH3), ((CH3)2ArSi)3Al:N(CH3)2(CH2CH3), ((CH3)3Si)3Al(퀴누클리딘), ((CH3)3Ge)3Al(퀴누클리딘), ((C4H9)3Si)2Mn, ((C4H9)3Si)2MnㆍN(CH3)3, ((CH3)3Ge)2Mn(tmeda) 및 ((C4H9)3Si)2TiN(CH3)3이고, 여기서 Ar은 선택적으로 하나 이상의 헤테로원자를 포함하는 방향족 또는 탄화수소 기이며, tmeda는 테트라메틸에틸렌디아민이다.
본 발명의 실시형태에 따른 공정은, 예를 들어, 배리어, 시드 층, 라이너, 캡(caps)으로서 및/또는 주요 도전성 인터커넥트 금속의 등각 층으로서 평면, 트리게이트 및 적층 나노와이어 트랜지스터 장치 및 인터커넥트(비아 및 도선(wire))의 게이트 전극 및 소스/드레인 콘택 응용에 사용된 초박막 금속 층을 생성하기 위해 사용될 수 있다. 본 발명의 실시형태에 따른 방법은, 예를 들어, NMOS 일 함수 금속, NMOS 금속 확산 배리어, PMOS 일 함수 금속, 및/또는 접합/라이너 층을 퇴적하기 위해 유용하다. 본 발명의 실시형태에 따른 금속 층에는, 막을 형성하기 위해 사용된, 금속-실리콘 및/또는 금속-게르마늄 직접 결합을 포함하는 전구체를 사용한 결과로서 낮은 수준의 Si 및/또는 Ge가 존재한다. 본 발명의 실시형태에 따른 막에 존재하는 Si 및/또는 Ge의 수준은 0.5와 10.0 원자% 사이의 범위이다. 추가 실시형태에서, 막에 존재하는 Si 및/또는 Ge의 수준은 2와 15 원자% 사이, 또는 2와 8 원자% 사이의 범위이다.
본 발명의 추가 실시형태에서, 양전성 금속을 포함하는 금속 층은 층에 Si 및/또는 Ge 수준이 더 높도록 생성된다. 통상적으로, Si 및/또는 Ge 함량이 더 높은 금속 실리사이드 및/또는 금속 게르마나이드 막을 생성하기 위해 CVD 공정이 사용된다. 예를 들어, 본 발명의 실시형태에 따라 생성된 반도체 제조에 사용된 도전성 재료는 TiSi이다. 본 발명의 추가 실시형태에 따른 양전성 금속 막에 존재하는 Si 및/또는 Ge의 수준은 10.0 내지 67 원자% 범위이다.
도 3a-i는 본 발명의 실시형태에 유용한 추가 전구체 분자를 도시한다. 2-7족 금속 및/또는 Al의 상이한 조합, 1 내지 2개의 금속 원자, 및 Si 및/또는 Ge에 부착된 상이한 작용기를 포함하는 다른 전구체 분자가 가능하다.
도 4는 단순화한 트리게이트 트랜지스터 구조를 제공한다. 도 4에서, 절연 기판(405)은 게이트 전극(410) 및 소스(415) 및 드레인(420) 영역을 포함한다. 절연 기판(405)은, 예를 들어, SiO2와 같은 절연 재료 층이 그 위에 형성된 반도체 기판으로 구성된다. 채널 영역(430)은 3개의 측부 상에 게이트 유전체 영역(425) 및 게이트 전극 영역(410)을 갖는다. 게이트 전극 영역(410)은 본 발명의 실시형태에 따른 금속 층으로 구성된다. 전극 영역(410)은, 예를 들어, 박막 등각 층의 퇴적을 가능하게 하는 본 출원에 설명된 방법을 이용하여 적어도 부분적으로 형성된다. 이들 금속 층에는 낮은 수준의 Si 또는 Ge가 존재하며, 그 수준은 Si 또는 Ge가 0.5와 10.0 원자% 사이(또는 2와 15 원자% 사이, 또는 2와 8 원자% 사이) 및 전구체 분자에 존재했던 하나 이상의 2-7족 금속 또는 Al이 99.5-75.0 원자% 사이(또는 98-70 원자% 사이, 또는 98-72 원자% 사이)의 범위이다. 등각 층을 퇴적한 후에, 예를 들어, 상이한 전구체를 사용하는 ALD 또는 CVD 공정과 같은 상이한 퇴적 공정을 이용하여, 전극 영역이 선택적으로 도전성 재료로 충진될 수 있다. 선택적으로, 접합 층 및/또는 배리어 층(미도시)이 게이트 유전체 영역(425)과 게이트 전극 영역(410) 사이에 존재한다. 소스(415) 및 드레인(420) 영역은, 예를 들어, 도전성 P- 또는 N-형 반도체 재료로 형성된다. 게이트 유전체 층(425)은, 예를 들어, 이산화 실리콘(SiO2), 산질화 실리콘(SiOxNy), 질화 실리콘(Si3N4)과 같은 절연 재료이다. 게이트 유전체 층(425)은 또한, 예를 들어 산화 금속 유전체와 같은 고-유전율 게이트 유전체 재료일 수 있다. 채널 영역(430)은, 예를 들어, 도핑 또는 비도핑 실리콘, 단결정 실리콘, 실리콘 및 게르마늄의 혼합물, 또는 예를 들어 비화 인듐 갈륨(InGaAs), 인화 인듐(InP), 및 비화 인듐 알루미늄(InAlAs)과 같은 III-V 화합물 반도체(주기율표의 III 및 V족(또는 13 및 15족)의 원소를 포함하는 화합물)로 구성될 수 있다. 일반적으로, 트리게이트 트랜지스터 구조는 게이트 유전체 및 게이트 전극에 의해 3개의 측부 상이 둘러싸인 채널 영역을 갖는다. 묘사된 피처의 다른 구성 및 형태는 또한, 예를 들어 상이한 형태의 소스 및 드레인 영역을 갖는 트리게이트 트랜지스터에도 가능하다. 또한, 트랜지스터는 간결성을 위해 묘사되지 않은 다른 관련된 피처를 갖는다. 예를 들어, 게이트 전극 영역은 통상적으로 절연 스페이서에 의해 부분적으로 정의되며, 트랜지스터 구조는 절연 재료로 피복될 수 있으며, 도전성 비아는 트랜지스터를 수용하는 반도체 칩의 다른 장치 및 영역에 소스 및 드레인 영역을 접속시킨다. 본 발명의 추가 실시형태에서, 도전성 비아(미도시)는 Si 또는 Ge가 0.5와 10.0 원자% 사이(또는 2와 15 원자% 사이, 또는 2와 8 원자% 사이)의 범위의 수준인 낮은 수준의 Si 또는 Ge가 존재하는, 콘택의 내부를 라이닝(lining)하는 금속 층을 갖는다. 본 발명의 추가 실시형태에서, 막은 낮은 수준의 Si 또는 Ge를 포함하며, 그 수준은 Si 또는 Ge가 0.5와 10.0 원자% 사이(또는 2와 15 원자% 사이, 또는 2와 8 원자% 사이)의 범위 및 전구체 분자에 존재했던 하나 이상의 2-7족 금속 또는 Al이 99.5-75.0 원자% 사이(또는 98-70 원자% 사이, 또는 98-72 원자% 사이)의 범위이다. 본 발명의 추가 실시형태에서, 막은 낮은 수준의 Si 또는 Ge를 포함하며, 그 수준은 Si 또는 Ge가 0.5와 10.0 원자% 사이(또는 2와 15 원자% 사이, 또는 2와 8 원자% 사이)의 범위 및 전구체 분자에 존재했던 하나 이상의 2-7족 금속 및/또는 Al이 99.5-75.0 원자% 사이(또는 98-70 원자% 사이, 또는 98-72 원자% 사이)의 범위이고, 탄소, 질소 및 산소 불순물의 총량은 15 원자% 미만이다. 본 발명의 추가 실시형태에서, 본 출원에 설명된 방법에 따라 콘택의 내부를 라이닝하는 층이 형성된다. 이 층은 소스/드레인 영역과 콘택 금속 사이의 얇은 확산 배리어 층의 상부 상에 퇴적될 수 있다.
도 5a-c는 적층 나노와이어 트랜지스터 장치를 예시한다. 도 5a의 도면은 설명을 용이하게 하기 위해 절반의 적층 나노와이어 트랜지스터 장치를 도시한다. 도 5b는 도 5a의 도면에 대해 45˚회전시킨 투시도로 도 5a의 장치를 나타내며, 이제 게이트 유전체 층 및 게이트 금속이 도 5b에는 포함되었다. 도 5c는 도 5a의 슬라이스 면에 대해 90˚회전시킨 면을 따라 슬라이스된 적층 나노와이어 트랜지스터 장치를 도시하며, 장치 자체가 -45˚회전되었다. 도 5a에서, 기판(505)은 절연 스페이서(510) 및 소스/드레인 영역(515)을 수용한다. 트랜지스터당 2개로 예시된 나노와이어 채널 영역(520)은 소스/드레인 영역(515)과 접한다. 나노와이어 채널 영역(520)은, 예를 들어 실리콘, 실리콘 및 게르마늄, 또는 예를 들어 비화 인듐 갈륨(InGaAs), 인화 인듐(InP), 및 비화 인듐 알루미늄(InAlAs)과 같은 III-V 화합물 반도체를 포함한다. 도 5b-c에서, 게이트 유전체(525)는 채널 영역(520) 상에 배치되며, 게이트 전극 영역(530)은 게이트 유전체(525) 상에 배치된다. 게이트 유전체(525)는, 예를 들어, 이산화 실리콘(SiO2), 산질화 실리콘(SiOxNy), 질화 실리콘(Si3N4), 또는 고-유전율 유전체 재료와 같은 절연 재료이다. 본 출원에 설명된 공정은 게이트 유전체(525) 근방에 금속(535)의 얇은 등각 층을 퇴적하기 위해 사용될 수 있다. 얇은 등각 금속 층(535)에는 Si 또는 Ge가 0.5와 10.0 원자% 사이(또는 2와 15 원자% 사이, 또는 2와 8 원자% 사이)의 범위인 낮은 수준의 Si 또는 Ge가 존재한다. 본 발명의 추가 실시형태에서, 막은 낮은 수준의 Si 또는 Ge를 포함하며, 그 수준은 Si 또는 Ge가 0.5와 10.0 원자% 사이(또는 2와 15 원자% 사이, 또는 2와 8 원자% 사이)의 범위 및 전구체 분자에 존재했던 하나 이상의 2-7족 금속 및/또는 Al이 99.5-75.0 원자% 사이(또는 98-70 원자% 사이, 또는 98-77 원자% 사이)의 범위이다. 본 발명의 추가 실시형태에서, 막은 낮은 수준의 Si 또는 Ge를 포함하며, 그 수준은 Si 또는 Ge가 0.5와 10.0 원자% 사이(또는 2와 15 원자% 사이, 또는 2와 8 원자% 사이)의 범위 및 전구체 분자에 존재했던 하나 이상의 2-7족 금속 및/또는 Al이 99.5-75.0 원자% 사이(또는 98-70 원자% 사이, 또는 98-72 원자% 사이)의 범위이고, 탄소, 질소 및 산소 불순물의 총량은 15 원자% 미만이다. 잔류 게이트 전극 영역(530)은 얇은 등각 금속 층(535)으로서 동일한 금속 또는 상이한 도전성 물질을 포함할 수 있으며, 동일하거나 상이한 방법에 의해 퇴적될 수 있다. 추가 실시형태에서, 게이트 전극 영역(530)은, 예를 들어 Ti, W, Ta, Al 및 그의 합금, 및 Er, Dy, 또는 Pt와 같은 귀금속과 같은 희토류 원소와의 합금, 및 TaN 및 TiN과 같은 질화물과 같은 재료를 포함할 수 있다. 선택적으로, 게이트 유전체 영역(525)과 게이트 전극 영역(530) 사이에 접합 층 및/또는 배리어 층(미도시)이 존재한다. 예를 들어 상이한 수의 나노와이어 트랜지스터(예를 들어, 1개, 2개 또는 3개 이상의 나노와이어) 및 상이한 형태의 소스 및 드레인 영역을 갖는 것들과 같은 적층 나노와이어 트랜지스터에 있어서, 묘사된 특징에 대해 다른 구성 및 형태도 또한 가능하다.
일반적으로, 고-유전율 유전체는 SiO2의 유전상수보다 유전상수가 더 큰 유전체 재료이다. SiO2의 유전 상수는 3.9이다. 예시적 고-유전율 유전체 재료는 이산화 하프늄(HfO2), 산화 하프늄 실리콘, 산화 란타늄, 산화 란타늄 알루미늄, 이산화 지르코늄(ZrO2), 산화 지르코늄 실리콘, 이산화 티타늄(TiO2), 오산화 탄탈륨(Ta2O5), 산화 바륨 스트론튬 티타늄, 산화 바륨 티타늄, 산화 스트론튬 티타늄, 산화 이트륨, 산화 알루미늄, 산화 납 스칸듐 탄탈륨, 니오브산 납 아연, 및 반도체 분야에 공지된 다른 재료를 포함한다.
본 발명의 추가 실시형태에서, 본 발명의 실시형태에 따른 공정은 BEOL(back end of the line) 인터커넥트 응용에서 금속을 퇴적하기 위해 사용된다. 상기 응용은 접착층, 라이너, 배리어, 일렉트로마이그레이션(electromigration) 감소를 위한 캡 및 인터커넥트 금속 자체를 포함한다. 도 6은 트렌치 또는 비아와 같은 금속 인터커넥트 구조를 예시한다. 도 6에서, 기판(605)은 금속 트렌치 또는 비아(610)를 수용한다. 금속 트렌치 또는 비아(610)는, 예를 들어 층간 유전체(ILD)인 절연층(615) 내에 존재한다. 유전체 재료는 저-유전율 유전체 및 이산화 실리콘을 포함한다. 선택적 층(620)은, 예를 들어 질화 실리콘, 산질화 실리콘 및 이산화 실리콘과 같은 유전체 재료로 구성된 식각 저지 층이다. 배리어 층(625)은 금속 트렌치 또는 비아(610)를 유전체 층(615 및 620)으로부터 분리한다. 구조는 또한 선택적으로, 금속 트렌치 또는 비아(610)를 금속 트렌치 또는 비아(610)의 상부 상에 퇴적된 추가 유전체 층(640)(예를 들어, ILD)으로부터 분리시키는 캡핑 층(635)을 포함한다. 금속 트렌치 또는 비아(610)는 선택적으로, 하부의 제2 금속 트렌치 또는 비아(645)에 전기적으로 접속된다. 금속 트렌치 또는 비아(610 및 645)는, 예를 들어 구리, 알루미늄, 은, 또는 그의 합금으로 구성된다. 또한 선택적으로, 도 6의 구조는 캡핑 층(635)을 포함하지만, 상이한 배리어 층(625)을 포함하거나 배리어 층(625)을 포함하지 않는다. 본 발명의 실시형태에서, 금속 트렌치 또는 비아(610 및 645)는 구리로 구성된다. 본 발명의 실시형태에서, 배리어 층(625)은 W, Hf 및/또는 Ta를 포함할 수 있으며, 일렉트로마이그레이션 감소를 위한 금속 캡(635)은 W를 포함할 수 있다. W, Hf 및/또는 Ta의 얇은 등각 층은, 본 발명의 실시형태에 따라 층간 유전체(ILD)(예를 들어, 저-유전율 재료 또는 SiO2와 같은 유전체 재료로 구성됨)의 상부 상에 퇴적된 다음, 전기 도금 전에 ALD Cu 막으로 코팅된다. 캡핑 층은 유전체 표면에 대해 노출된 금속 표면상에 선택적으로 퇴적될 수 있다. 이에 제한되지는 않지만 자기-조립 단분자막 및 아미노(유기)실란을 포함하는 유기 박막을 이용한 표면 기능화(surface functionalization)를 통해 유전체 표면상에서의 원자 층 증착이 억제될 수 있다. 전술한 바와 같이, 퇴적 후에 변형되지 않은 본 발명의 실시형태에 따른 양전성 금속 막에는 낮은 수준의 Si 또는 Ge가 존재하며, 그 수준은 Si 또는 Ge가 0.5와 10.0 원자% 사이(또는 2와 15 원자% 사이, 또는 2와 8 원자% 사이)의 범위이다. 본 발명의 추가 실시형태에서, 막은 낮은 수준의 Si 또는 Ge를 포함하며, 그 수준은 Si 또는 Ge가 0.5와 10.0 원자% 사이(또는 2와 15 원자% 사이, 또는 2와 8 원자% 사이)의 범위 및 전구체 분자에 존재했던 하나 이상의 2-7족 금속 및/또는 Al(예를 들어, W, Hf 및/또는 Ta)이 99.5-75.0 원자% 사이(또는 98-70 원자% 사이, 또는 98-72 원자% 사이) 범위이다. 본 발명의 추가 실시형태에서, 막은 낮은 수준의 Si 또는 Ge를 포함하며, 그 수준은 Si 또는 Ge가 0.5와 10.0 원자% 사이(또는 2와 15 원자% 사이, 또는 2와 8 원자% 사이)의 범위 및 전구체 분자에 존재했던 하나 이상의 2-7족 금속 및/또는 Al이 99.5-75.0 원자% 사이(또는 98-70 원자% 사이, 또는 98-72 원자% 사이)의 범위이고, 탄소, 질소 및 산소 불순물의 총량은 15 원자% 미만이다.
유전체 층, 피처 및/또는 층간 유전체(ILD)에 사용된 통상적인 유전체 재료는 이산화 실리콘 및 저-유전율 유전체 재료를 포함한다. 사용될 수 있는 추가 유전체 재료는 탄소 도핑 산화물(CDO), 질화 실리콘, 퍼플루오로시클로부탄 또는 폴리테트라플루오로에틸렌과 같은 유기 고분자, 플루오로실리케이트 유리(FSG), 및 실세스퀴옥산, 실록산 또는 유기 실리케이트 유리와 같은 유기 실리케이트를 포함한다. 유전체 층은 유전 상수를 더욱 감소시키기 위해 포어(pore)를 포함할 수 있다.
본 출원에 도시된 장치의 구성요소들은, 상이한 재료를 포함하는 층들을 분리하는, 예를 들어 절연 층으로부터 금속 층을 분리하는, 라이너 및 접합 층과 같은 추가 층들을 포함할 수 있으며, 간결성을 위해 한 층으로 예시된 구성요소들은, 예를 들어 장치 구축에 사용된 제조 공정 및 장치의 원하는 특성에 따라 동일하거나 상이한 재료의 복수의 층들을 포함할 수 있다.
본 발명의 구현은 반도체 기판과 같은 기판상에 수용된다. 본 발명의 실시형태에 따른 양전성 금속 층이 위에 형성될 수 있는 기판 표면은, 예를 들어, H-말단 실리콘, 이산화 실리콘, 실리콘, 실리콘 게르마늄, III-V족(또는 추가 주기율표 열 번호화 모델에서는 13-14족) 화합물 반도체, 주 족(main group) 산화물, 금속 및/또는 2원 또는 혼합 금속 산화물을 포함한다. 층들, 및 장치를 포함하는 층들은 또한 본 발명의 실시형태가 위에 제조된 기판 또는 기판의 일부로서 설명될 수 있다. 반도체 장치가 위에 구축된 기판 베이스는 통상적으로, 별개로 다이싱되어 개별 IC 칩을 산출하는 반도체 웨이퍼이다. 칩이 위에 구축되는 베이스 기판은, 비록 본 발명의 실시형태가 사용된 기판의 종류에 의존하지는 않지만 통상적으로 실리콘 웨이퍼이다. 기판은 또한, 게르마늄, 안티몬화 인듐, 텔루르화 납, 비화 인듐, 인화 인듐, 비화 갈륨, 안티몬화 갈륨 및/또는 다른 III-V족 재료로, 단독으로 또는 실리콘 또는 이산화 실리콘 또는 다른 절연 재료와의 조합으로 구성될 수 있다.
도 7은 본 발명의 한 구현에 따른 컴퓨팅 장치(1000)를 예시한다. 컴퓨팅 장치(1000)는 마더보드(1002)를 수용한다. 마더보드(1002)는, 이에 제한되지는 않지만 프로세서(1004) 및 적어도 하나의 통신 칩(1006)을 포함하는 다수의 구성요소들을 포함할 수 있다. 프로세서(1004)는 마더보드(1002)에 물리적으로 및 전기적으로 연결된다. 일부 구현에서, 적어도 하나의 통신 칩(1006)도 또한 마더보드(1002)에 물리적으로 및 전기적으로 연결된다.
응용에 따라, 컴퓨팅 장치(1000)는 마더보드(1002)에 물리적으로 및 전기적으로 연결될 수 있거나 연결되지 않을 수 있는 다른 구성요소들을 포함할 수 있다. 이 다른 구성요소들은, 이에 제한되지는 않지만 휘발성 메모리(예를 들어, DRAM), 비휘발성 메모리(예를 들어, ROM), 그래픽 프로세서, 디지털 신호 프로세서, 암호 프로세서, 칩셋, 안테나, 디스플레이, 터치스크린 디스플레이, 터치스크린 제어기, 배터리, 오디오 코덱, 비디오 코덱, 전력 증폭기, GPS(global positioning system) 장치, 콤파스, 가속도계, 자이로스코프, 스피커, 카메라 및 대용량 저장 장치(예를 들어, 하드 디스크 드라이브, CD(compact disk), DVD(digital versatile disk) 등)를 포함한다.
통신 칩(1006)은 컴퓨팅 장치(1000)로 및 컴퓨팅 장치(1000)로부터의 데이터 전송을 위한 무선 통신을 가능하게 한다. 용어 "무선" 및 그의 파생어는 비-고형 매체를 통해 변조 전자기 방사를 이용함으로써 데이터를 통신할 수 있는, 회로, 장치, 시스템, 방법, 기술, 통신 채널 등을 설명하기 위해 사용될 수 있다. 이 용어는, 비록 일부 실시형태에서는 그렇지 않을 수 있지라도, 관련된 장치가 어떠한 전선도 포함하지 않음을 시사하지는 않는다. 통신 칩(1006)은, 이에 제한되지는 않지만 Wi-Fi(IEEE 802.11 패밀리), WiMAX(IEEE 802.16 패밀리), IEEE 802.20, LTE(long term evolution), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, 블루투스, 그의 파생물을 포함하는 임의의 다수의 무선 표준 또는 프로토콜뿐만 아니라 3G, 4G, 5G 및 그 이상으로 나타내는 임의의 다른 무선 프로토콜을 구현할 수 있다. 컴퓨팅 장치(1000)는 복수의 통신 칩(1006)을 포함할 수 있다. 예를 들어, 제1 통신 칩(1006)은 Wi-Fi 및 블루투스와 같은 근거리 무선 통신 전용일 수 있으며, 제2 통신 칩(1006)은 GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO 및 기타와 같은 원거리 무선 통신 전용일 수 있다.
컴퓨팅 장치(1000)의 프로세서(1004)는 프로세서(1004) 내에 패키징된 집적 회로 다이를 포함한다. 본 발명의 일부 구현에서, 프로세서의 집적 회로 다이는, 본 발명의 구현에 따라 형성된, 트랜지스터 및/또는 금속 인터커넥트와 같은 하나 이상의 장치를 포함한다. 용어 "프로세서"는 레지스터 및/또는 메모리로부터의 전자 데이터를 처리하여, 상기 전자 데이터를 레지스터 및/또는 메모리에 저장될 수 있는 다른 전자 데이터로 변형하는 임의의 장치 또는 장치의 일부를 지칭할 수 있다.
통신 칩(1006)은 또한, 통신 칩(1006) 내에 패키징된 집적 회로 다이를 포함한다. 본 발명의 다른 구현에 따라, 통신 칩의 집적 회로 다이는, 본 발명의 구현에 따라 형성된, 트랜지스터 및/또는 금속 인터커넥트와 같은 하나 이상의 장치를 포함한다.
추가 구현에서, 컴퓨팅 장치(1000) 내에 수용된 다른 구성요소들은, 본 발명의 구현에 따라 형성된, 트랜지스터 또는 금속 인터커넥트와 같은 하나 이상의 장치를 포함하는 집적 회로 다이를 포함할 수 있다.
각종 구현에서, 컴퓨팅 장치(1000)는 랩톱, 넷북, 노트북, 스마트폰, 태블릿, PDA(personal digital assistant), 울트라 모바일 PC, 휴대폰, 데스크톱 컴퓨터, 서버, 프린터, 스캐너, 모니터, 셋톱 박스, 엔터테인먼트 제어 장치, 디지털 카메라, 휴대용 음악 플레이어 또는 디지털 비디오 레코더일 수 있다. 추가 구현에서, 컴퓨팅 장치(1000)는 데이터를 처리하는 임의의 다른 전자 장치일 수 있다.
전술한 설명에서, 본 발명의 실시형태의 철저한 이해를 제공하기 위해, 트랜지스터 레이아웃, 인터커넥트, 및 재료 체제와 같은 다수의 구체적인 상세 내용이 서술된다. 본 발명의 실시형태는 상기 구체적인 상세 내용 없이도 실시할 수 있음이 당업자에게 명백할 것이다. 다른 경우에, 집적 회로 설계 레이아웃과 같은 공지된 특징들은 본 발명의 실시형태를 불필요하게 모호하게 하지 않기 위해 상세히 설명하지 않는다. 또한, 도면에 도시된 각종 실시형태들은 예시적인 표현이며 반드시 일정한 비율로 그려질 필요는 없음이 이해될 것이다.
관련 분야의 당업자는 본 개시 내용의 전반에 걸쳐 변형 및 변경이 가능하며, 도시 및 설명된 각종 구성요소들에 대한 조합 및 대체가 가능함을 이해한다. 본 명세서 전반에 걸쳐 "한 실시형태" 또는 "실시형태"라고 지칭하는 것은 실시형태와 관련하여 설명된 특정한 특징, 구조, 재료 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함됨을 의미하지만, 이들이 반드시 모든 실시형태에 존재함을 나타내지는 않는다. 또한, 실시형태에 개시된 특정한 특징, 구조, 재료 또는 특성은 하나 이상의 실시형태에서 임의의 적절한 방식으로 조합될 수 있다. 각종 추가 층들 및/또는 구조들이 포함될 수 있고/있거나, 설명된 특징들은 다른 실시형태에서 생략될 수 있다.

Claims (38)

  1. 나노와이어 트랜지스터 장치로서, 상기 트랜지스터 장치의 채널 영역을 형성하는 부유형(suspended) 나노와이어, 상기 부유형 나노와이어 상에 배치된 유전체 재료 층, 상기 유전체 재료 층상에 배치된 금속 층을 포함하고, 상기 금속 층은 99.5-75.0 원자%의 2-7족 금속 또는 Al, 및 0.5와 10.0 원자% 사이의 범위의 양으로 존재하는 Si 또는 Ge를 포함하며, 상기 나노와이어는 게이트 전극에서 부유하고, 상기 금속 층은 상기 게이트 전극의 일부를 형성하는 나노와이어 트랜지스터 장치.
  2. 제1항에 있어서, 상기 금속 층은 총량이 15 원자% 미만인 탄소, 질소 및 산소를 포함하는 나노와이어 트랜지스터 장치.
  3. 제1항에 있어서, 상기 유전체 재료 층은 이산화 실리콘(SiO2), 산질화 실리콘(SiOxNy), 질화 실리콘(Si3N4) 또는 하이-k 유전체 재료를 포함하는 나노와이어 트랜지스터 장치.
  4. 제1항에 있어서, 상기 나노와이어는 실리콘, 실리콘과 게르마늄의 혼합물, 또는 III-V 화합물 반도체를 포함하는 나노와이어 트랜지스터 장치.
  5. 제1항에 있어서, 상기 장치는 2개의 부유형 나노와이어를 포함하는 나노와이어 트랜지스터 장치.
  6. 트랜지스터 장치로서, 상부 표면 및 한 쌍의 횡 방향으로 대향하는 측벽을 갖는 채널 구조, 상기 상부 표면 및 상기 한 쌍의 횡 방향으로 대향하는 측벽 상에 배치된 유전체 층, 및 상기 상부 표면 및 상기 한 쌍의 횡 방향으로 대향하는 측벽 상의 상기 유전체 층 상에 배치된 금속 층을 포함하고, 상기 금속 층은 99.5-75.0 원자%의 2-7족 금속 또는 Al, 및 0.5와 10.0 원자% 사이의 범위의 양으로 존재하는 Si 또는 Ge를 포함하는 트랜지스터 장치.
  7. 제6항에 있어서, 상기 금속 층은 총량이 15 원자% 미만인 탄소, 질소 및 산소를 포함하는 트랜지스터 장치.
  8. 제6항에 있어서, 상기 유전체 재료 층은 이산화 실리콘(SiO2), 산질화 실리콘(SiOxNy), 질화 실리콘(Si3N4) 또는 하이-k 유전체 재료를 포함하는 트랜지스터 장치.
  9. 제6항에 있어서, 상기 채널 구조는 단결정 실리콘, 실리콘, 실리콘과 게르마늄의 혼합물, 또는 III-V 화합물 반도체를 포함하는 트랜지스터 장치.
  10. 표면을 갖는 기판,
    상기 기판 표면상에 배치된 유전체 재료 층,
    상기 유전체 재료 층에 형성된 트렌치 또는 비아 - 상기 트렌치 또는 비아는 측벽 및 하부 표면을 가짐 -,
    상기 측벽 및 하부 표면상에 배치된 배리어 층 - 상기 배리어 층은 99.5-75.0 원자%의 2-7족 금속, 및 0.5와 10.0 원자% 사이의 범위의 양으로 존재하는 Si 또는 Ge를 포함함 -, 및
    웰(well) 내의 금속 영역 - 상기 배리어 층은 상기 금속 영역과 상기 유전체 재료 층 사이에 존재함 -
    을 포함하는 장치.
  11. 제10항에 있어서, 상기 2-7족 금속은 W, Hf, Ta 또는 그의 조합들인 장치.
  12. 제10항에 있어서, 상기 금속 층은 총량이 15 원자% 미만인 탄소, 질소 및 산소를 포함하는 장치.
  13. 제10항에 있어서, 상기 금속 영역은 은, 구리 또는 알루미늄을 포함하는 장치.
  14. 표면을 갖는 기판,
    상기 기판 표면상에 배치된 유전체 재료 층,
    상기 유전체 재료 층에 형성된 트렌치 또는 비아,
    상기 트렌치 또는 비아 내의 금속 영역 - 상기 금속 영역은 표면을 가짐 -, 및
    상기 금속 영역의 표면상에 배치된 캡핑 층 - 상기 캡핑 층은 99.5-75.0 원자%의 2-7족 금속, 및 0.5와 10.0 원자% 사이의 범위의 양으로 존재하는 Si 또는 Ge를 포함함 -
    을 포함하는 장치.
  15. 제14항에 있어서, 상기 2-7족 금속은 W인 장치.
  16. 제14항에 있어서, 상기 금속 층은 총량이 15 원자% 미만인 탄소, 질소 및 산소를 포함하는 장치.
  17. 제14항에 있어서, 상기 금속 영역은 은, 구리 또는 알루미늄을 포함하는 장치.
  18. 표면을 갖는 기판을 제공하는 단계,
    상기 기판 표면을 기상 제1 전구체 분자에 노출시키는 단계 - 상기 제1 전구체 분자는 금속 M1을 포함하고, 상기 금속 M1은 2-7족 금속 또는 Al이고, 상기 금속 M1은 적어도 2개의 실리콘, 게르마늄, 또는 실리콘 및 게르마늄 원자에 직접 결합됨 -,
    임의의 잔류 기상 제1 전구체 분자를 제거하는 단계,
    상기 기판 표면을 기상 제2 전구체 분자 M2Xn에 노출시키는 단계 - X는 할로겐이고, n은 2 이상 6 이하의 수이고, M2는 2-7족 금속 또는 Al이고, M1 및 M2는 동일한 금속 또는 상이한 금속임 -,
    임의의 잔류 기상 제2 전구체 분자를 제거하는 단계, 및
    상기 기판을 상기 제1 전구체 분자에 노출시키고, 임의의 기상 제1 전구체 분자를 제거하고, 상기 기판을 상기 제2 전구체 분자에 노출시키고, 임의의 잔류 기상 제2 전구체 분자를 제거하는 단계들을 적어도 1회 반복하여, 상기 기판 표면상에 M1 및 M2를 포함하는 층을 생성하는 단계
    를 포함하는 방법.
  19. 제18항에 있어서, M1 및 M2는 Zr, Be, Mg, Ca, Sr, Al, Sc, Y, Ti, Hf, V, Nb, Ta, Cr, Mo, W 및 Mn으로 구성된 그룹으로부터 선택되는 방법.
  20. 제18항에 있어서, 상기 제1 전구체 분자는 2개의 2-7족 금속 원자 또는 Al을 포함하는 방법.
  21. 제18항에 있어서, 상기 제1 전구체 분자는 ((CH3)3Si)3AlㆍN(CH3)2(CH2CH3), ((CH3)2ArSi)3AlㆍN(CH3)2(CH2CH3), ((CH3)3Si)3Al(퀴누클리딘), ((CH3)3Ge)3Al(퀴누클리딘), ((C4H9)3Si)2Mn, ((C4H9)3Si)2MnㆍN(CH3)3, ((CH3)3Ge)2Mn(테트라메틸에틸렌디아민) 및 ((C4H9)3Si)2TiㆍN(CH3)3로 구성된 그룹으로부터 선택되는 방법.
  22. 제18항에 있어서, M1은 -SiR1R2R3, -GeR1R2R3 또는 그의 조합인 적어도 2개의 작용기에 결합되고, R1, R2 및 R3는, 동일하거나 상이한 알킬기이며, 헤테로원자-포함 및 헤테로원자 비-포함 알킬, 아릴, 시클로알킬, 알켄, 알킨, 시클릭 및 폴리시클릭 기로 구성된 그룹으로부터 선택되고, 헤테로원자는 할로겐, O, N, S, P, Si 및 Ge로 구성된 그룹으로부터 선택되는 방법.
  23. 제18항에 있어서, 상기 M1은 Al, Ti, Sc, Y, Zr 또는 Hf이고, M1은 -SiR1R2R3, -GeR1R2R3 또는 그의 조합인 적어도 3개의 작용기에 결합되고, R1, R2 및 R3는, 동일하거나 상이한 알킬기이며, 알킬, 아릴, 시클로알킬, 알켄, 알킨, 시클릭 및 폴리시클릭 기로 구성된 그룹으로부터 선택되고, 헤테로원자는 할로겐, O, N, S, P, Si 및 Ge로 구성된 그룹으로부터 선택되는 방법.
  24. 표면을 갖는 기판을 제공하는 단계,
    상기 기판 표면을 기상 제1 전구체 분자에 노출시키는 단계 - 상기 제1 전구체 분자는 금속 M1을 포함하고, 상기 금속 M1은 2-7족 금속 또는 Al이며, 상기 금속 M1은 적어도 2개의 실리콘, 게르마늄, 또는 실리콘 및 게르마늄 원자에 직접 결합됨 -
    임의의 잔류 기상 제1 전구체 분자를 제거하는 단계,
    상기 기판 표면을 기상 제2 전구체 분자 M2Xn에 노출시키는 단계 - X는 알콕시드 기이고, n은 2 이상 6 이하의 수이고, M2는 2-7족 금속 또는 Al이고, M1 및 M2는 동일한 금속 또는 상이한 금속임 -
    임의의 잔류 기상 제2 전구체 분자를 제거하는 단계, 및
    상기 기판을 상기 제1 전구체 분자에 노출시키고, 임의의 기상 제1 전구체 분자를 제거하고, 상기 기판을 상기 제2 전구체 분자에 노출시키고, 임의의 잔류 기상 제2 전구체 분자를 제거하는 단계들을 적어도 1회 반복하여, 상기 기판 표면상에 M1 및 M2를 포함하는 층을 생성하는 단계
    를 포함하는 방법.
  25. 제24항에 있어서, M1 및 M2는 Zr, Be, Mg, Ca, Sr, Al, Sc, Y, Ti, Hf, V, Nb, Ta, Cr, Mo, W 및 Mn으로 구성된 그룹으로부터 선택되는 방법.
  26. 제24항에 있어서, 상기 제1 전구체 분자는 2개의 2-7족 금속 원자 또는 Al을 포함하는 방법.
  27. 제24항에 있어서, 상기 제1 전구체 분자는 ((CH3)3Si)3AlㆍN(CH3)2(CH2CH3), ((CH3)2ArSi)3AlㆍN(CH3)2(CH2CH3), ((CH3)3Si)3Al(퀴누클리딘), ((CH3)3Ge)3Al(퀴누클리딘), ((C4H9)3Si)2Mn, ((C4H9)3Si)2MnㆍN(CH3)3, ((CH3)3Ge)2Mn(테트라메틸에틸렌디아민) 및 ((C4H9)3Si)2TiㆍN(CH3)3로 구성된 그룹으로부터 선택되는 방법.
  28. 제24항에 있어서, M1은 -SiR1R2R3, -GeR1R2R3 또는 그의 조합인 적어도 2개의 작용기에 결합되고, R1, R2 및 R3는, 동일하거나 상이한 알킬기이며, 알킬, 아릴, 시클로알킬, 알켄, 알킨 및 폴리시클릭 기로 구성된 그룹으로부터 선택되는 방법.
  29. 제24항에 있어서, 상기 M1은 Al, Ti, Sc, Y, Zr 또는 Hf이고, M1은 -SiR1R2R3, -GeR1R2R3 또는 그의 조합인 적어도 3개의 작용기에 결합되고, R1, R2 및 R3는, 동일하거나 상이한 알킬기이며, 알킬, 아릴, 시클로알킬, 알켄, 알킨 및 폴리시클릭 기로 구성된 그룹으로부터 선택되는 방법.
  30. 표면을 갖는 기판을 제공하는 단계,
    상기 기판 표면을 전구체 분자에 노출시키는 단계 - 상기 전구체 분자는 금속을 포함하고, 상기 금속은 2-7족 금속 또는 Al이며, 상기 금속은 적어도 2개의 실리콘, 게르마늄, 또는 실리콘 및 게르마늄 원자에 직접 결합됨 -,
    임의의 잔류 기상 전구체 분자를 제거하는 단계,
    상기 기판 표면을 수소에 노출시키는 단계,
    임의의 잔류 수소 및 기상 반응 생성물을 제거하는 단계, 및
    상기 기판을 상기 전구체 분자에 노출시키고, 임의의 기상 전구체 분자를 제거하고, 상기 기판을 수소에 노출시키고, 임의의 수소 및 기상 반응 생성물을 제거하는 단계들을 복수 회 반복하여, 상기 기판 표면상에 M을 포함하는 층을 생성하는 단계
    를 포함하는 방법.
  31. 제30항에 있어서, 상기 금속은 Zr, Be, Mg, Ca, Sr, Al, Sc, Y, Ti, Hf, V, Nb, Ta, Cr, Mo, W 및 Mn으로 구성된 그룹으로부터 선택되는 방법.
  32. 제30항에 있어서, 상기 전구체 분자는 2개의 2-7족 또는 Al 금속 원자를 포함하는 방법.
  33. 제30항에 있어서, 수소는 분자 수소 또는 플라즈마-활성화 수소의 형태로 공급되는 방법.
  34. 제30항에 있어서, 상기 전구체 분자는 ((CH3)3Si)3AlㆍN(CH3)2(CH2CH3), ((CH3)2ArSi)3AlㆍN(CH3)2(CH2CH3), ((CH3)3Si)3Al(퀴누클리딘), ((CH3)3Ge)3Al(퀴누클리딘), ((C4H9)3Si)2Mn, ((C4H9)3Si)2MnㆍN(CH3)3, ((CH3)3Ge)2Mn(테트라메틸에틸렌디아민) 및 ((C4H9)3Si)2TiㆍN(CH3)3로 구성된 그룹으로부터 선택되는 방법.
  35. 제30항에 있어서, 상기 금속은 Al, Ti, Sc, Y, Zr 또는 Hf이고, 상기 금속은 -SiR1R2R3, -GeR1R2R3 또는 그의 조합인 적어도 3개의 작용기에 결합되고, R1, R2 및 R3는, 동일하거나 상이한 알킬기이며, 헤테로원자-포함 및 헤테로원자 비-포함 알킬, 아릴, 시클로알킬, 알켄, 알킨, 시클릭 및 폴리시클릭 기로 구성된 그룹으로부터 선택되고, 헤테로원자는 할로겐, O, N, S, P, Si 및 Ge로 구성된 그룹으로부터 선택되는 방법.
  36. 마더보드;
    상기 마더보드 상에 탑재된 통신 칩; 및
    상기 마더보드 상에 탑재된 프로세서
    를 포함하는 컴퓨팅 장치로서,
    상기 프로세서는 나노와이어 트랜지스터 장치를 포함하고,
    상기 나노와이어 트랜지스터 장치는 상기 트랜지스터 장치의 채널 영역을 형성하는 부유형 나노와이어, 상기 부유형 나노와이어 상에 배치된 유전체 재료 층, 상기 유전체 재료 층 상에 배치된 금속 층을 포함하며, 상기 금속 층은 99.5-75.0 원자%의 2-7족 금속 또는 Al, 및 0.5와 10.0 원자% 사이의 범위의 양으로 존재하는 Si 또는 Ge를 포함하며, 상기 나노와이어는 게이트 전극에서 부유하고 상기 금속 층은 상기 게이트 전극의 일부를 형성하는 컴퓨팅 장치.
  37. 마더보드;
    상기 마더보드 상에 탑재된 통신 칩; 및
    상기 마더보드 상에 탑재된 프로세서
    를 포함하는 컴퓨팅 장치로서,
    상기 프로세서는 트랜지스터 장치를 포함하고,
    상기 트랜지스터 장치는 상부 표면 및 한 쌍의 횡 방향으로 대향하는 측벽을 갖는 채널 구조, 상기 상부 표면 및 한 쌍의 횡 방향으로 대향하는 측벽 상에 배치된 유전체 층, 및 상기 상부 표면 및 한 쌍의 횡 방향으로 대향하는 측벽 상의 상기 유전체 층 상에 배치된 금속 층을 포함하며, 상기 금속 층은 99.5-75.0 원자%의 2-7족 금속 또는 Al, 및 0.5와 10.0 원자% 사이의 범위의 양으로 존재하는 Si 또는 Ge를 포함하는 컴퓨팅 장치.
  38. 마더보드;
    상기 마더보드 상에 탑재된 통신 칩; 및
    상기 마더보드 상에 탑재된 프로세서
    를 포함하는 컴퓨팅 장치로서,
    상기 프로세서는,
    표면을 갖는 기판,
    상기 기판 표면상에 배치된 유전체 재료 층,
    상기 유전체 재료 층에 형성된 트렌치 또는 비아 - 상기 트렌치 또는 비아는 측벽 및 하부 표면을 가짐 -,
    상기 측벽 및 하부 표면상에 배치된 배리어 층 - 상기 배리어 층은 99.5-75.0 원자%의 2-7족 금속, 및 0.5와 10.0 원자% 사이의 범위의 양으로 존재하는 Si 또는 Ge를 포함함 -, 및
    웰 내의 금속 영역 - 상기 배리어 층은 상기 금속 영역과 상기 유전체 재료 층 사이에 존재함 -
    을 포함하는 컴퓨팅 장치.
KR1020147008071A 2011-09-29 2011-09-29 반도체 응용을 위한 양전성 금속 포함 층을 포함하는 장치 및 그 제조방법 KR101605643B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/054051 WO2013048417A1 (en) 2011-09-29 2011-09-29 Electropositive metal containing layers for semiconductor applications

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020167006802A Division KR101713920B1 (ko) 2011-09-29 2011-09-29 반도체 응용을 위한 양전성 금속 포함 층

Publications (2)

Publication Number Publication Date
KR20140054372A true KR20140054372A (ko) 2014-05-08
KR101605643B1 KR101605643B1 (ko) 2016-03-22

Family

ID=47996159

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020147008071A KR101605643B1 (ko) 2011-09-29 2011-09-29 반도체 응용을 위한 양전성 금속 포함 층을 포함하는 장치 및 그 제조방법
KR1020167006802A KR101713920B1 (ko) 2011-09-29 2011-09-29 반도체 응용을 위한 양전성 금속 포함 층

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020167006802A KR101713920B1 (ko) 2011-09-29 2011-09-29 반도체 응용을 위한 양전성 금속 포함 층

Country Status (7)

Country Link
US (2) US8952355B2 (ko)
EP (1) EP2761663B1 (ko)
JP (1) JP6122854B2 (ko)
KR (2) KR101605643B1 (ko)
CN (1) CN103843144B (ko)
TW (2) TWI517394B (ko)
WO (1) WO2013048417A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160110770A (ko) 2015-03-12 2016-09-22 주식회사 경동나비엔 제습 냉방기

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2761663B1 (en) 2011-09-29 2016-09-14 Intel Corporation Method of depositing electropositive metal containing layers for semiconductor applications
CN104011868B (zh) 2011-12-19 2017-02-15 英特尔公司 Ⅲ族‑n纳米线晶体管
JP2016508497A (ja) * 2013-01-31 2016-03-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード マンガン含有化合物、その合成及びマンガン含有膜の堆積へのその使用
US8823060B1 (en) * 2013-02-20 2014-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for inducing strain in FinFET channels
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US9385033B2 (en) * 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
KR102192084B1 (ko) * 2013-11-25 2020-12-16 삼성전자주식회사 트랜지스터와 그 제조방법 및 트랜지스터를 포함하는 전자소자
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
EP3235009A4 (en) * 2014-12-19 2018-07-25 INTEL Corporation Selective deposition utilizing sacrificial blocking layers for semiconductor devices
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
US20160372600A1 (en) * 2015-06-19 2016-12-22 International Business Machines Corporation Contact-first field-effect transistors
US10770458B2 (en) 2015-09-25 2020-09-08 Intel Corporation Nanowire transistor device architectures
BR112017028313A2 (pt) * 2015-10-21 2018-09-04 Imerys Graphite & Carbon Switzerland Ltd materiais compósitos carbonáceos com morfologia do tipo bola de neve
US10886408B2 (en) 2016-09-29 2021-01-05 Intel Corporation Group III-V material transistors employing nitride-based dopant diffusion barrier layer
US10177226B2 (en) 2016-11-03 2019-01-08 International Business Machines Corporation Preventing threshold voltage variability in stacked nanosheets
WO2018118086A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Gallium-based co-reactants for fabricating metal silicide and metal germanide films
WO2019005090A1 (en) * 2017-06-30 2019-01-03 Intel Corporation SOURCE AND DRAIN CONTACTS OF SEMICONDUCTOR OXIDE DEVICE COMPRISING GRADUATED INDIUM LAYERS
US11387366B2 (en) 2017-09-27 2022-07-12 Intel Corporation Encapsulation layers of thin film transistors
US20200279910A1 (en) * 2017-12-15 2020-09-03 Intel Corporation Reducing off-state leakage in semiconductor devices
JP2024022694A (ja) * 2020-12-28 2024-02-20 株式会社Adeka 原子層堆積法用薄膜形成原料、薄膜の製造方法及びアルミニウム化合物
KR102641124B1 (ko) 2021-06-24 2024-02-28 한양대학교 산학협력단 원자층 증착법을 통해 일함수가 조절되는 반도체 소자의 게이트 구조체 및 그 형성방법

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3523093B2 (ja) * 1997-11-28 2004-04-26 株式会社東芝 半導体装置およびその製造方法
US20020013487A1 (en) * 2000-04-03 2002-01-31 Norman John Anthony Thomas Volatile precursors for deposition of metals and metal-containing films
US6562708B1 (en) * 2000-11-16 2003-05-13 Tokyo Electron Limited Method for incorporating silicon into CVD metal films
JP2002280562A (ja) 2001-03-19 2002-09-27 Seiko Epson Corp Soi構造のmos電界効果トランジスタおよびその製造方法ならびに電子機器
KR100414217B1 (ko) * 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US6703307B2 (en) 2001-11-26 2004-03-09 Advanced Micro Devices, Inc. Method of implantation after copper seed deposition
US7186380B2 (en) * 2002-07-01 2007-03-06 Hewlett-Packard Development Company, L.P. Transistor and sensors made from molecular materials with electric dipoles
EP1523763A4 (en) * 2002-07-18 2008-12-24 Aviza Tech Inc MOLECULAR LAYER DEPOSITION OF THIN FILMS WITH MIXED COMPONENTS
CA2499950A1 (en) * 2002-09-30 2004-04-15 Nanosys, Inc. Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
JP3962009B2 (ja) * 2003-12-05 2007-08-22 株式会社東芝 半導体装置の製造方法
JP2005217176A (ja) * 2004-01-29 2005-08-11 Tokyo Electron Ltd 半導体装置および積層膜の形成方法
JP4674061B2 (ja) * 2004-07-14 2011-04-20 株式会社アルバック 薄膜形成方法
JP2006093390A (ja) * 2004-09-24 2006-04-06 Matsushita Electric Ind Co Ltd 半導体素子および半導体回路
US7598516B2 (en) * 2005-01-07 2009-10-06 International Business Machines Corporation Self-aligned process for nanotube/nanowire FETs
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
JP2006261235A (ja) * 2005-03-15 2006-09-28 Toshiba Corp 半導体装置
FR2884648B1 (fr) * 2005-04-13 2007-09-07 Commissariat Energie Atomique Structure et procede de realisation d'un dispositif microelectronique dote d'un ou plusieurs fils quantiques aptes a former un canal ou plusieurs canaux de transistors
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
KR101375833B1 (ko) 2007-05-03 2014-03-18 삼성전자주식회사 게르마늄 나노로드를 구비한 전계효과 트랜지스터 및 그제조방법
EP2037492A1 (en) * 2007-09-11 2009-03-18 S.O.I.Tec Silicon Insulator Technologies Multiple gate field effect transistor structure and method for fabricating same
US7727830B2 (en) * 2007-12-31 2010-06-01 Intel Corporation Fabrication of germanium nanowire transistors
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US7964490B2 (en) 2008-12-31 2011-06-21 Intel Corporation Methods of forming nickel sulfide film on a semiconductor device
US8120073B2 (en) * 2008-12-31 2012-02-21 Intel Corporation Trigate transistor having extended metal gate electrode
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
WO2010120849A2 (en) * 2009-04-16 2010-10-21 Pinon Technologies, Inc. Synthesis of silicon nanorods
WO2011056519A2 (en) * 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
KR20110092836A (ko) * 2010-02-10 2011-08-18 삼성전자주식회사 반도체 소자 및 그 제조 방법
JP5179604B2 (ja) 2010-02-16 2013-04-10 株式会社神戸製鋼所 表示装置用Al合金膜
US20120070981A1 (en) 2010-09-17 2012-03-22 Clendenning Scott B Atomic layer deposition of a copper-containing seed layer
US8440556B2 (en) 2010-12-22 2013-05-14 Intel Corporation Forming conformal metallic platinum zinc films for semiconductor devices
EP2761663B1 (en) 2011-09-29 2016-09-14 Intel Corporation Method of depositing electropositive metal containing layers for semiconductor applications
CN106847814B (zh) 2011-12-19 2020-12-08 英特尔公司 在栅绕式架构中的锗和iii-v纳米线及纳米带的cmos实现

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160110770A (ko) 2015-03-12 2016-09-22 주식회사 경동나비엔 제습 냉방기

Also Published As

Publication number Publication date
KR101605643B1 (ko) 2016-03-22
EP2761663A1 (en) 2014-08-06
TWI517394B (zh) 2016-01-11
EP2761663A4 (en) 2015-07-22
TW201327827A (zh) 2013-07-01
US8952355B2 (en) 2015-02-10
WO2013048417A1 (en) 2013-04-04
KR20160036070A (ko) 2016-04-01
TW201620139A (zh) 2016-06-01
US20130270513A1 (en) 2013-10-17
EP2761663B1 (en) 2016-09-14
US20150243508A1 (en) 2015-08-27
TWI582997B (zh) 2017-05-11
KR101713920B1 (ko) 2017-03-09
JP6122854B2 (ja) 2017-04-26
JP2014535159A (ja) 2014-12-25
CN103843144A (zh) 2014-06-04
US9390932B2 (en) 2016-07-12
CN103843144B (zh) 2018-06-19

Similar Documents

Publication Publication Date Title
KR101605643B1 (ko) 반도체 응용을 위한 양전성 금속 포함 층을 포함하는 장치 및 그 제조방법
US9966440B2 (en) Tin doped III-V material contacts
KR102328381B1 (ko) 트랜지스터 게이트 전극들을 위한 일 함수 층들
US10535570B1 (en) Cointegration of III-V channels and germanium channels for vertical field effect transistors
WO2017099718A1 (en) Atomic layer etching of transition metals by halogen surface oxidation
TWI565069B (zh) 使用源極與汲極應力源之應變通道區域電晶體及包括其之系統
TW202008428A (zh) 半導體元件
KR102245667B1 (ko) 집적 회로 디바이스의 금속들 간의 선택적 확산 장벽
US20190214313A1 (en) Local wiring in between stacked devices
US9240552B2 (en) Carbon nanotube semiconductor devices and deterministic nanofabrication methods
TW201834250A (zh) 磊晶製造的異質接面雙極性電晶體
CN107785249B (zh) 制造半导体装置的方法
US11594485B2 (en) Local interconnect with air gap
US9954071B2 (en) Method for preparing titanium-aluminum alloy thin film
TWI793520B (zh) 半導體元件及其製備方法
KR20210076831A (ko) 2d 반데르발스 재료를 사용하여 3d 트랜지스터를 형성하는 방법
US20230197826A1 (en) Self-aligned gate endcap (sage) architectures with improved cap
US20230008496A1 (en) Contact structure for semiconductor device
US20230420510A1 (en) Self-assembled monolayer on a dielectric for transition metal dichalcogenide growth for stacked 2d channels
WO2018190828A1 (en) Semiconducting oxide device source and drain contacts
CN116666205A (zh) 提升氧化铪栅极介质层可靠性的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20200227

Year of fee payment: 5