KR20120102641A - 5a족 원소 함유 박막의 원자 층 증착용 전구체의 합성 및 용도 - Google Patents

5a족 원소 함유 박막의 원자 층 증착용 전구체의 합성 및 용도 Download PDF

Info

Publication number
KR20120102641A
KR20120102641A KR1020127012997A KR20127012997A KR20120102641A KR 20120102641 A KR20120102641 A KR 20120102641A KR 1020127012997 A KR1020127012997 A KR 1020127012997A KR 20127012997 A KR20127012997 A KR 20127012997A KR 20120102641 A KR20120102641 A KR 20120102641A
Authority
KR
South Korea
Prior art keywords
reactant
precursor
group
thin film
reaction chamber
Prior art date
Application number
KR1020127012997A
Other languages
English (en)
Other versions
KR101829380B1 (ko
Inventor
빌자미 포어
띠모 하딴패
미꼬 리딸라
마르꾸 레스?래
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20120102641A publication Critical patent/KR20120102641A/ko
Application granted granted Critical
Publication of KR101829380B1 publication Critical patent/KR101829380B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/305Sulfides, selenides, or tellurides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02543Phosphides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02549Antimonides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/023Formation of switching materials, e.g. deposition of layers by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Switching materials based on at least one element of group IIIA, IVA or VA, e.g. elemental or compound semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Sb, Sb-Te, Ge-Sb 및 Ge-Sb-Te 박막과 같은 5A족 원소 함유 박막을 형성하는 원자 층 증착(ALD) 방법이 제공되며, 또한, 관련된 조성물 및 구조체가 제공된다. Sb(SiR1R2R3)3의 화학식을 갖는 Sb 전구체가 바람직하게 사용되며, 여기서 R1, R2, 및 R3는 알킬기이다. As, Bi 및 P 전구체가 또한 설명된다. 이러한 Sb 전구체를 합성하는 방법 또한 제공된다. 상 변화 메모리 소자에서 Sb 박막을 사용하는 방법 또한 제공된다.

Description

5A족 원소 함유 박막의 원자 층 증착용 전구체의 합성 및 용도{Synthesis and use of precursors for ALD of group VA element containing thin films}
공동 연구 협약의 당사자들
여기서 청구되는 본 발명은 2008년 11월 21일 체결된 헬싱키 대학(the University of Helsinki)과 "ASM Microchemistry" 간의 공동 연구 협약에 의해서, 또는 상기 협약을 위하여, 및/또는 상기 협약과 연계하여 이루어졌다. 상기 협약은 본 청구 발명이 이루어진 날 및 그 이전 날자로 유효하였으며, 본 청구 발명은 상기 협약의 범위 내에서 수행된 활동의 결과로서 만들어졌다.
본 출원은 일반적으로, 원자 층 증착(atomic layer deposition)에 의하여 5A족 원소(Sb, As, Bi, P, N)를 포함하는 박막을 형성하는 방법에 관한 것이다. 그러한 박막은, 예를 들면, 상변화 메모리(phase change memory : PCM) 소자 및 광학 저장 매체에 사용될 수 있다.
5A족 원소를 포함하는 박막은, 예를 들면, 비휘발성 상변화 메모리, 태양전지, 3족-5족 화합물 및 광학 저장 재료를 포함하는 여러 다양한 적용분야에서 사용되고 있다. 3족-5족 화합물 반도체는 트랜지스터, 광전자공학 및 기타 적용분야를 포함하는 여러 다양한 적용분야에서 사용될 수 있으며, 이들 분야의 구체적인 예로서는, 바이폴라 트랜지스터, 전계효과 트랜지스터, 레이저, IR 검출기, LED, 넓은띠간격 반도체, 양자 우물 또는 양자 점 구조체, 태양전지, 모노리식 마이크로파 집적회로(monolithic microwave integrated circuit) 등이 있다. PCM 셀(PCM cells)의 동작은, 활물질의 비결정성 및 결정성 상태 사이의 비저항 차이에 기초한다. 10의 세제곱보다 큰 규모의 비저항은, 여러 다양한 상변화 합금에 의하여 얻어질 수 있다. PCM 셀에서의 스위칭은 일반적으로 적절한 전류 펄스로 그 재료를 국부적으로 가열함으로써 달성되는데, 이때, 그 펄스의 강도에 따라서 상기 재료는 결정성 또는 비결정성 상태를 갖게 된다.
매우 다양한 여러가지 PCM 셀 구조체가 보고된 바 있는데, 이들 대부분은 트렌치 또는 기공 형태 구조체(trench or pore-like structures)를 사용한다. PCM 재료를 제조하는 데 있어서 통상적으로 스퍼터링법이 사용되어 왔으나, 더욱 강력한 기능의 셀 구조체를 얻기 위해서는, 증착 공정의 더욱 우수한 공형성(conformality) 및 더욱 고도의 제어가 필요하다. 스퍼터링법은 단순한 기공 및 트렌치 구조체를 형성할 수 있다. 그러나, 미래의 PCM 응용에서는 더욱 복잡한 3차원 셀 구조체를 필요로 하게 될 것이고, 이러한 복잡한 셀 구조체는 스퍼터링법으로는 형성될 수 없다. 이러한 복잡한 구조체를 만들기 위해서는, 원자 층 증착(ALD : atomic layer deposition)과 같은, 정밀성과 제어성이 더욱 높은 공정이 필요하게 될 것이다. 원자 층 증착 공정을 사용하면, 증착에 대한 정밀도와 제어성을 더욱 높일 수 있으며, 예를 들어, 증착된 박막의 조성의 더욱 우수한 공형성 및 더욱 우수한 제어성을 제공할 수 있다.
원자 층 증착 공정을 Sb-함유 박막의 증착에 사용하는 경우 많은 제약이 발생하고 있는데, 그 원인은 부분적으로는 적절한 전구체가 없기 때문이다.
따라서, 기상 반응물로부터 ALD에 의하여 안티몬 함유 상변화 재료 박막을 제어성있고 신뢰성있게 형성하기 위한 방법이 요구되고 있다.
본 출원은 일반적으로, 원자 층 증착에 의하여 5A족 원소(Sb, As, Bi, P)를 포함하는 박막을 형성하는 방법에 관한 것이다.
본 출원에서는 또한, Sb(SiR1R2R3)3 을 포함하는 다양한 안티몬 전구체를 합성하기 위한 방법 및 조성물이 개시된다. 여기서, R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이다.
본 출원에서는 또한, 관련된 조성 및 구조를 가짐과 동시에 안티몬을 포함하는 박막을 증착하기 위한 ALD 방법이 개시된다. 이 방법은 일반적으로 다음의 단계를 포함한다: 반응 챔버 내로 제1 기상 반응물의 펄스를 공급하여 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계; 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계; 반응 챔버로 제2 기상 반응물의 펄스를 공급하여, 제2 기상 반응물이 기재 위의 제1 반응물과 반응하도록 함으로써, Sb 함유 박막을 형성하는 단계로서, 제2 기상 반응물이 Sb(SiR1R2R3)3를 포함하고 여기서 R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인 단계. 일부 구현예에 있어서, 제1 반응물은 안티몬을 포함한다. 일부 구현예에 있어서, 제1 반응물은 산소를 포함하지 않는다.
본 출원에서는 또한, ALD 공정에 의해 반응 챔버 안에서 기재 위에 Ge-Sb-Te 박막을 형성하는 방법이 개시된다. 이 방법은 일반적으로 다음의 단계를 포함한다: 복수의 Sb 증착 사이클로서, 각각의 사이클은 제1 전구체 및 제2 Sb 전구체의 교번 및 순차 펄스를 포함하고, 제2 Sb 전구체는 Sb(SiR1R2R3)3를 포함하며 여기서 R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인, 복수의 Sb 증착 사이클; 복수의 Te 함유 증착 사이클로서, 각각의 사이클이 Te를 포함하는 제3 전구체 및 제4 전구체의 교번 및 순차 펄스를 포함하는, 복수의 Te 함유 증착 사이클; 및 복수의 Ge 함유 증착 사이클로서, 각각의 사이클이 Ge를 포함하는 제5 전구체 및 제6 전구체의 교번 및 순차 펄스를 포함하는, 복수의 Ge 함유 증착 사이클.
본 출원에서는 또한, ALD 공정에 의해 반응 챔버 안에서 기재 위에 Ge-Sb-Se 박막을 형성하는 방법이 제공된다. 이 방법은 일반적으로 다음의 단계를 포함한다: 복수의 Sb 증착 사이클로서, 각각의 사이클은 제1 전구체 및 제2 Sb 전구체의 교번(alternate) 및 순차(sequential) 펄스를 포함하고, 제2 Sb 전구체는 Sb(SiR1R2R3)3를 포함하며 여기서 R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인, 복수의 Sb 증착 사이클; 복수의 Se 함유 증착 사이클로서, 각각의 사이클이 Se를 포함하는 제3 전구체 및 제4 전구체의 교번 및 순차 펄스를 포함하는, 복수의 Se 함유 증착 사이클; 및 복수의 Ge 함유 증착 사이클로서, 각각의 사이클이 Ge를 포함하는 제5 전구체 및 제6 전구체의 교번 및 순차 펄스를 포함하는, 복수의 Ge 함유 증착 사이클.
본 출원에서는 또한, Sb 전구체 제조 방법이 제공된다. 이 방법은, 1A족 금속을 Sb를 포함하는 화합물과 반응시킴으로써 제1 생성물을 형성하는 단계; 및 이어서, R1R2R3SiX를 포함하는 제2 반응물을 제1 생성물과 결합시켜서 화학식 Sb(SiR1R2R3)3의 화합물을 형성하는 단계로서, 여기서 R1, R2 및 R3 는 하나 이상의 탄소 원자를 갖는 알킬기이고 X는 할로겐 원자인, 단계;를 포함한다.
본 출원에서는 또한, 5A족 원소를 포함하는 전구체 제조 방법이 제공된다. 이 방법은, 1A족 금속을 5A족 원소를 포함하는 화합물과 반응시킴으로써 제1 생성물을 형성하는 단계; 및 이어서, R1R2R3SiX 를 포함하는 제2 반응물을 제1 생성물과 결합시켜서 화학식 L(SiR1R2R3)3의 화합물을 형성하는 단계로서, 여기서 R1, R2 및 R3 는 하나 이상의 탄소 원자를 갖는 알킬기이고 X는 할로겐 원자이고 L은 5A족 원소이며 여기서 5A족 원소는 As, Sb, Bi, N 또는 P인, 단계;를 포함한다.
본 출원에서는 5A족 원소를 포함하는 전구체 제조 방법이 제공된다. 이 방법은, 1A족 금속을 5A족 원소를 포함하는 화합물과 반응시킴으로써 제1 생성물을 형성하는 단계; 및 이어서, R1R2R3AX를 포함하는 제2 반응물을 제1 생성물과 결합시켜서 화학식 L(AR1R2R3)3을 갖는 5A족 원소 함유 화합물을 형성하는 단계로서, 여기서 R1, R2 및 R3 는 하나 이상의 탄소 원자를 갖는 알킬기이고 A는 Si, Sn, 또는 Ge이고 X는 할로겐 원자이고 L은 5A족 원소이며 여기서 5A족 원소는 As, Sb, Bi, 또는 P인, 단계;를 포함한다.
본 출원에서는 반응 챔버 내에서 기재 위에 5A족 원소를 함유하는 박막을 형성하는 원자 층 증착(ALD) 공정이 제공된다. 이 방법은 복수의 5A족 원소 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함한다: 반응 챔버 내로 제1 기상 반응물의 펄스를 공급하여 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계; 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계; 반응 챔버로 제2 기상 반응물의 펄스를 공급하여, 제2 기상 반응물이 기재 위의 제1 반응물과 반응하도록 함으로써, 5A족 원소 함유 박막을 형성하는 단계로서, 제2 기상 반응물은 X(SiR1R2R3)3를 포함하고 여기서 R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이며 X는 5A족 원소(Sb, As, Bi, P)인, 단계; 및 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
본 출원에서는 반응 챔버 안에서 기재 위에 As-함유 박막을 형성하기 위한 원자 층 증착(ALD) 공정이 제공된다. 이 방법은 복수의 As 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함한다: 반응 챔버 내로 제1 기상 반응물의 펄스를 공급하여 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계; 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계; 반응 챔버로 제2 기상 반응물의 펄스를 공급하여, 제2 기상 반응물이 기재 위의 제1 반응물과 반응하도록 함으로써, As 함유 박막을 형성하는 단계로서, 제2 기상 반응물은 As(SiR1R2R3)3를 포함하고 여기서 R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인, 단계; 및 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
본 출원에서는 반응 챔버 안에서 기재 위에 Sb-함유 박막을 형성하기 위한 원자 층 증착(ALD) 공정이 제공된다. 이 방법은 복수의 Sb 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함한다: 반응 챔버 내로 제1 기상 반응물의 펄스를 공급하여 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계; 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계; 반응 챔버로 제2 기상 반응물의 펄스를 공급하여, 제2 기상 반응물이 기재 위의 제1 반응물과 반응하도록 함으로써, Sb 함유 박막을 형성하는 단계로서, 제2 기상 반응물은 Sb(GeR1R2R3)3를 포함하고 여기서 R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인, 단계; 및 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
본 출원에서는 반응 챔버 안에서 기재 위에 5A족 원소를 함유하는 박막을 형성하기 위한 원자 층 증착(ALD) 공정이 제공된다. 이 방법은 복수의 5A족 원소 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함한다: 반응 챔버 내로 제1 기상 반응물의 펄스를 공급하여 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계; 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계; 반응 챔버로 제2 기상 반응물의 펄스를 공급하여, 제2 기상 반응물이 기재 위의 제1 반응물과 반응하도록 함으로써, 5A족 원소 함유 박막을 형성하는 단계로서, 제2 기상 반응물은 Si, Ge, 또는 Sn 중의 하나 이상에 결합된 5A족 원자를 포함하며 여기서 5A족 원소는 Sb, As, Bi 또는 P인, 단계; 및 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
본 출원에서는 반응 챔버 안에서 기재 위에 5A족 원소를 함유하는 박막을 형성하기 위한 원자 층 증착(ALD) 공정이 제공된다. 이 방법은 복수의 5A족 원소 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함한다: 반응 챔버 내로 제1 기상 반응물의 펄스를 공급하여 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계; 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계; 반응 챔버로 제2 기상 반응물의 펄스를 공급하여, 제2 기상 반응물이 기재 위의 제1 반응물과 반응하도록 함으로써, 5A족 원소를 포함하는 박막을 형성하는 단계로서, 제2 기상 반응물은 Si, Ge, 또는 Sn 중의 하나 이상에 결합된 5A족 원자를 포함하고 여기서 5A족 원소는 Sb, As, Bi, N, 또는 P이며, 제2 기상 반응물에서 5A족 원소가 N이면 제1 기상 반응물은 전이 금속, Si, 또는 Ge을 포함하지 않는, 단계; 및 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
본 출원에서는 반응 챔버 안에서 기재 위에 질소-함유 박막을 형성하기 위한 원자 층 증착(ALD) 공정이 제공된다. 이 방법은 복수의 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함한다: 반응 챔버 내로 제1 기상 반응물의 펄스를 공급하여 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계로서, 제1 기상 반응물이 전이금속을 포함하지 않는, 단계; 반응 챔버로부터 과잉의 제 1 반응물을 제거하는 단계; 반응 챔버로 제2 기상 반응물의 펄스를 공급하여, 제2 기상 반응물이 기재 위의 제1 반응물과 반응하도록 함으로써, 질소 함유 박막을 형성하는 단계로서, 제2 기상 반응물이 N(AR1R2R3)xR3-x를 포함하고 여기서 x는 1 내지 3이고 A는 Si, Ge 또는 Sn이며 R, R1, R2, 및 R3 는 독립적으로 선형, 환형, 분지된 또는 치환된 알킬기, 수소 또는 아릴기 중에서 선택되는, 단계; 및 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
본 출원에서는 원자 층 증착 공정에 의해 나노적층체(nanolaminate) 박막을 증착하기 위한 방법이 제공된다. 이 방법은, 제1 전구체 및 제2 전구체의 교번 및 순차 펄스를 포함하는 제1 증착 사이클로서, 여기서 제2 전구체는 A(SiR1R2R3)x를 포함하며, 여기서 R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이고, A는 Sb, Te, 또는 Se이며, 여기서 A가 Sb일 때, x는 3이고, A가 Te 또는 Se일 때 x는 2인, 제 1 증착 사이클; 제 3 전구체 및 제 4 전구체의 교번 및 순차 펄스를 포함하는 제 2 증착 사이클로서, 여기서 제 4 전구체는 A(SiR1R2R3)x를 포함하며, 여기서 R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이고, A는 Sb, Te, 또는 Se이며, 여기서 A가 Sb일 때, x는 3이고, A가 Te 또는 Se일 때 x는 2인, 제 2 증착 사이클;을 포함한다.
본 출원에서는, 관련된 조성 및 구조를 가짐과 동시에, 다음을 포함하는 박막을 증착하기 위한 ALD 법이 개시되어 있다: Sb-Te, Ge-Te, Ge-Sb, Ge-Sb-Te, Al-Sb, In-Sb, Ga-Sb, Zn-Sb, Co-Sb, Ga-As, As-Te, As-Se, In-As, In-Ga-As, As-S, Al-As, Bi, Bi-Te, Bi-Se, In-Bi, Sb-Bi, Ga-Bi, Al-Bi, P-Te, P-Se, In-P, Ga-P, Cu-P, Al-P, B-N, Al-N, Ga-N, In-N 및 이들의 조합.
일부 구현예에 있어서, 본 출원에서 개시된 재료들을 포함하는 나노 적층 박막이 형성될 수 있다. 일부 구현예에 있어서는, 나노 적층을 형성함에 있어서, 복수의 ALD 사이클을 사용하여 제1 박막을 증착시키고, 이어서 복수의 ALD 사이클을 사용하여 제1 박막과 다른 조성을 갖는 제2 박막을 형성할 수 있다.
도 1은 일 구현예에 따라, Sb 박막을 형성하기 위한 방법을 일반적으로 예시하는 흐름도이다.
도 2는 일 구현예에 따라 Ge-Sb 박막을 형성하기 위한 방법을 일반적으로 예시하는 흐름도이다.
도 3은 전구체의 펄스 길이에 대한 Sb 박막의 사이클당 평균 성장 속도에 대한 그래프이다.
도 4는 Sb 박막의 그래이싱 인시던스(gracing incidence) X-선 회절도(x-ray diffractogram)이다.
도 5는 EDX(energy dispersive x-ray) 분석으로 측정된 Sb-Te 박막의 조성 그래프이다.
도 6은 Sb-Te 및 Sb 사이클 간의 사이클 비에 대한 Sb-Te 박막의 사이클당 평균 성장 속도에 대한 그래프이다.
도 7은 다양한 조성의 Sb-Te 박막에 대한 그래이싱 인시던스 X선 회절도이다.
도 8은 EDX 분석에 의해 측정된 다양한 Ge-Sb 대 Sb 사이클 비에 대한 다양한 Ge-Sb 박막의 조성에 대한 그래프이다.
도 9는 Ge-Sb와 Sb 사이클 비 사이의 사이클 비에 따른 Ge-Sb 박막에 대한 사이클당 평균 성장 속도의 그래프이다.
도 10은 일 구현예에 따라, 화학식 Sb(SiR1R2R3)3를 갖는 화합물을 합성하는 방법을 일반적으로 예시하는 흐름도이다.
도 11은 GeTe 및 Sb2Te3 써브사이클(subcycles)의 양에 대한 함수로서의 나노 적층 조성의 그래프이다.
도 12는 어닐링(annealing) 시간의 함수로서의 GeTe, Sb2Te3, GST 및 나노 적층의 저항률(resistivity)의 그래프이다.
도 13A 및 13B는 샘플 D(13A) 및 C(13B)의 실온에서 405℃까지의 온도에서의 HTXRD 측정값이다.
도 14는 증착 온도에 따른 Sb 박막의 사이클 당 평균 성장 속도에 대한 그래프이다.
도 15는 100℃에서 ALD에 의해 증착된 Sb 박막의 TOF-ERDA(time-of-flight elastic recoil detection analysis)이다.
도 16(a)는 고종횡비 트렌치 구조물(high aspect ratio trench structure)에 증착된 Sb 박막을 예시하고 있고, 도 16(b)-(d)는 Sb 나노튜브에 대한 것이다.
도 17은 다양한 ALD 공정에 의해 형성된 다양한 조성의 Ge-Te-Sb 박막을 예시하는 그래프이다.
도 18은 GaCl3 전구체 펄스 길이에 따른 Ga-Sb 박막의 사이클 당 평균 성장 속도에 대한 그래프이다.
도 19는 (Et3Si)3Sb 전구체 펄스 길이에 따른 Ga-Sb 박막의 사이클 당 평균 성장 속도에 대한 그래프이다.
도 20은 EDX 분석에 의해 측정된 Al-Sb 박막의 조성에 대한 그래프이다.
21은 ALD에 의해 증착된 Ge22Sb78 박막의 TOF-ERDA 깊이 분포도(depth profile)이다.
도 22는 합성된 Sb(SiMe3)3, Sb(SiEt3)3, As(SiEt3)3 및 Bi(SiEt3)3의 열중량 분석법(TGA) 그래프이다.
위에서 기술된 바와 같이, Sb-함유 박막은 상변화 메모리(PCM), 태양 전지, 및 광학 저장 재료 등의 다양한 응용분야에서 그 쓰임새를 찾을 수 있다. PCM 셀(PCM cells)은 여러 다양한 구성을 가질 수 있다. 통상적으로, PCM 셀은 상부 금속 접점(top metal contact)과 저항성 하부 전극(resistive bottom electrode) 사이의 트랜지스터 및 저항기(resistor)를 포함한다. 추가적인 PCM 구성은, 예를 들어, 본 명세서 인용에 의하여 통째로 포함된, Lacaita의 "Phase change memories: State-of-the-art, challenges and perspectives", Solid-State Electronics 50 (2006) 24-31 에 개시되어 있다. 원소 상태의 안티몬(Elemental antimony)는 또한 상 변화 재료로서 사용될 수 있다. 원소 상태의 안티몬은 또한 수퍼-RENS(super-resolution near-field structures)에 있어서 광학 재료로서 사용될 수 있다.
현재 IUPAC 명명법에서는 15족이라는 용어를 사용하지만, 본 출원에서는 그 뜻을 명확하게 하기 위해 5A족이란 용어가 사용된다. 본 출원에서, 5A족은 15족의 원소들을 대표한다. 현재 IUPAC 명명법에서 13족이라는 용어를 사용하지만, 본 출원에서는 3족 또는 3A족이란 용어가 사용된다. 본 출원에서, 3족 또는 3A족은 13족의 원소들을 대표한다. 3족-5족 반도체라는 용어는 15족 및 13족의 원소를 갖는 반도체를 대표한다.
본 출원에서는 또한, 간단히 하기 위하여, 박막("film" 및 "thin film")이라는 용어가 사용된다. 박막이라는 용어는 본 출원에서 개시된 방법에 의해 증착된 임의의 연속적인 또는 비-연속적인 구조를 의미하는 것을 의미한다. 예를 들어, 박막("film" 및 "thin film")은 나노로드, 나노튜브 또는 나노입자를 포함할 수 있다.
본 출원에 개시된 구현예가 PCM의 일반적인 문맥으로 논의되더라도, 당업자라면 본 출원에서 배운 원리 및 장점이 다른 장치 및 응용 분야에 응용될 것이라는 것을 이해할 것이다. 게다가, 다수의 공정이 본 출원에서 개시되었지만, 당업자라면 공정에 있어 개시된 단계의 몇몇의 용도를, 다른 개시된 단계들의 일부가 없더라도, 이해할 것이며, 유사하게, 이어지는, 선행하는 그리고 중간에 삽입되는 단계가 추가될 수 있음을 이해할 것이다.
안티몬-텔루라이드(Sb2Te 및 Sb2Te3를 포함하는), 게르마늄-텔루라이드(GeTe를 포함하는), 게르마늄-안티몬-텔루라이드(GST; Ge2Sb2Te5), 비스무스-텔루라이드 Bi-Te(Bi2Te3를 포함하는), 및 아연-텔루라이드(ZnTe를 포함하는) 박막은 원자 층 증착(ALD)형 공정에 의해 기재 위에 증착될 수 있다. Te 및 Se를 포함하는 박막의 증착 방법 및 전구체는, 개시 내용이 본 명세서에 통째로 포함된, 미국출원 제61/048,077호 (출원일:2008년 4월 25일); 제61/112,128호 (출원일:2008년 11월 6일); 제61/117,896호 (출원일:2008년 11월 25일); 및 제12/429,133호 (출원일:2009년 4월 23일)에 개시되어 있다.
Sb-함유 박막의 조성에 대해 더 정교한 조절이 요구된다. 본 출원에서 개시된 방법들이, 원소 상태의 안티몬 박막을 증착하기 위한 ALD 사이클을 기술한다. 원소 상태의 안티몬 사이클은 원하는 특성의 박막을 얻기 위해 정교한 안티몬 조성으로 박막을 증착하기 위한 다른 ALD 사이클이 사용될 수 있다.
안티몬은 몇 개의 산화 상태를 갖는데, 여기에는 -3, +3, 0 및 +5 상태가 포함되며, 이중에서 +3이 가장 흔한 상태이다. 텔루륨은 몇 개의 산화 상태를 갖는데, 여기에는 -2, 0, +2, +4, 및 +6 상태가 포함된다. -2 산화 상태에 있어서 Te와의 화학양론적인 Sb-Te 박막은 Sb2Te3를 포함한다. 게르마늄(Ge)은 0, +2, 및 +4의 산화 상태를 갖는다.
텔루륨(Te) 화합물은 통상적으로 텔루라이드로 불리울 때, 여기서 Te는 -2의 산화 상태를 갖는다. 텔루륨 화합물이 0의 산화 상태를 가질 때, 통상적으로 텔루륨 화합물이라고 칭한다. 많은 Te 화합물에 있어서 이러한 산화 상태는 단지 명목상의 또는 공식적 표현일 수 있는데, 실제에 있어서 상황은 더 복잡할 수 있다. 그러나, 단순화를 위해, 본 출원에서 사용된 Te 함유 박막은 텔루라이드로 칭한다. 그러므로 본 출원에서 텔루라이드로 칭한 박막은, -2가 아닌 다른 산화 상태, 예를 들어, 0, +2, +4, 및 +6의 산화 상태를 갖는 Te를 함유할 수 있다. 특정한 산화 상태가 언제 의도되는지는 당업자에게는 명백할 것이다.
ALD형 공정은 전구체 화학 물질의 제어된(controlled), 자기제한적 방식의(self-limiting) 표면 반응에 기초한다. 반응 챔버 속으로 교차 및 순차로 전구체를 공급하므로써, 기상 반응을 피할 수 있다. 예를 들어, 반응물의 펄스와 펄스 사이에 반응 챔버로부터 과잉의 반응물 및/또는 반응물의 부산물을 제거하므로써, 기상 반응물들이 반응 챔버 내에서 서로 분리된다.
요약하자면, 기재는 반응 챔버로 투입되고, 일반적으로 낮아진 압력에서, 적합한 증착 온도로 가열된다. 증착 온도는 반응물의 열 분해 온도 아래로, 그러나 반응물의 응축을 피하고 원하는 표면 반응을 위한 활성 에너지를 제공하기에 충분히 높은 수준으로 유지된다. 물론, 임의의 주어진 ALD 반응에 대한 적절한 온도 대역(temperature window)은 표면 처리(surface termination) 및 관련된 반응물 종류에 의해 결정될 것이다. 여기에서, 온도는 증착되는 박막의 유형에 따라 변하게 되는데, 바람직하게는 약 400℃이하, 더 바람직하게는 약 200℃이하, 가장 바람직하게는 약 20℃ 내지 약 200℃의 온도가 될 것이다.
제1 반응물은 기상 펄스의 형태로 챔버로 유입되거나 펄스주입되어 기재 표면과 접촉하게 된다. 제1 반응물의 약 하나의 분자 층만이 자기-제한적 방식으로 기재 표면 위에 흡수되도록, 바람직한 조건들이 선택된다. 적절한 펄스 시간은 특정한 상황에 기초해서 당업자에 의해 손쉽게 결정될 수 있다. 과잉의 제1 반응물 및 반응 부산물은, 만약 존재한다면, 예를 들어, 불활성 기체로 퍼징하므로써 반응 챔버로부터 제거된다.
반응 챔버를 퍼징한다는 것은 기상 전구체 및/또는 기상 부산물이 반응 챔버로부터 제거되는 것을 의미하는데, 그 방법으로는 진공 펌프로 챔버를 소개하는 것(evacuating) 및/또는 반응기 내의 기체를 아르곤 또는 질소 같은 불활성 기체로 교체하는 것 등이다. 전형적인 퍼징 시간은 약 0.05 내지 20초, 더 바람직하게는 약 1 과 10초 사이, 좀더 바람직하게는 약 1 과 2초 사이 이다. 그러나, 필요하다면, 극도로 큰 종횡비(aspect ratio)를 갖는 구조 또는 복잡한 표면 형상(complex surface morphology)을 갖는 구조에, 고도의 공형성 스텝 커버리지(conformal step coverage)가 요구되는 경우에 다른 퍼지 시간이 사용될 수 있다.
제 2 기상 반응물이 챔버로 펄스 주입되어 표면에 결합되어 있는 제 1 반응물과 반응한다. 과잉의 제 2 반응물 및 표면 반응의 기상 부산물은, 만약 존재한다면, 바람직하게는 불활성 기체의 도움으로 퍼징 및/또는 소개(evacuation)에 의해, 반응 챔버로부터 제거된다. 펄스 주입 및 퍼징의 단계는 원하는 두께의 박막이 기재 위에 형성될 때까지 반복되며, 이때 각각의 사이클은 하나의 분자 모노레이어(monolayer)만을 형성한다. 반응물의 공급 및 반응 공간의 퍼징을 포함하는 추가적인 단계는, 3성분 물질(ternary materials)과 같은 더 복잡한 물질을 형성하는데 포함될 수 있다.
위에서 기술된 바와 같이, 각각의 펄스 및 각각의 사이클의 단계는 바람직하게는 자기제한적 방식이다. 과잉의 반응물 전구체는 각각의 단계에서 손상받기 쉬운 구조 표면을 포화하기 위해 공급된다. 표면 포화(surface saturation)는, 반응물로 하여금 모든 이용가능한 반응성 사이트(reactive sites)를 점령하는 것을 보장(예를 들어, 물리적인 크기 또는 "입체 장애(steric hindrance)"의 제한을 받음)하며, 따라서 뛰어난 스텝 커버리지를 보장한다. 전형적으로는, 물질의 일 분자 미만의 층이 각각의 사이클로 증착되나, 일부의 구현예에 있어서, 일 분자 이상의 층이 사이클 중에 증착된다.
과잉의 반응물 제거는 반응 공간의 내용물의 일부를 소개하는 것 및/또는 반응 공간을 헬륨, 질소 또는 또다른 불활성 기체로 퍼징하는 것을 포함할 수 있다. 일부 구현예에 있어서, 퍼징은 반응 공간에 불활성 운반 기체를 계속 흘리면서 반응성 기체의 흐름을 차단하는 것을 포함할 수 있다.
ALD형 공정에서 사용된 전구체는, 전구체가 반응 챔버로 들어가서 기재 표면과 접촉하기 전에 기상(vapor phase)에 있다면, 표준 조건(실온 및 대기압) 하에서 고체, 액체, 또는 기체 형태의 물질일 수 있다. 기재 위에 기화된(vaporized) 전구체를 펄스 주입하는 것은, 전구체 증기가 제한된 시간 동안 챔버로 유입되는 것을 의미한다. 전형적으로, 펄스 주입 시간은 약 0.05 내지 10초이다. 그러나, 기재의 형태 및 기재의 표면적에 따라, 펄스 주입 시간이 10초보다 훨씬 더 길 수 있다. 펄스 주입 시간은 일부의 경우에 있어서 분(minutes)의 규모로 사용될 수 있다. 최적의 펄스 주입 시간은 특정한 상황에 기초해서 당업자에 의해 결정될 수 있다.
전구체의 질량 유속(mass flow rate)도 또한 당업자에 의해 결정될 수 있다. 일부 구현예에 있어서, 금속 전구체의 유속은 제한없이 바람직하게는 약 1 및 1000㎤/min 사이이며, 더 바람직하게는 약 100 및 500㎤/min 사이이다.
반응 챔버의 압력은 전형적으로 약 0.01 내지 20mbar이며, 더 바람직하게는 약 1 내지 약 10mbar이다. 그러나, 일부의 경우에 있어서, 압력은 이 범위보다 더 높거나 또는 더 낮을 것이며, 이는 특정한 상황이 주어진다면 당업자에 의해 결정될 수 있다.
박막의 증착을 시작하기 전에, 기재는 전형적으로 적합한 성장 온도로 가열된다. 성장 온도는 형성된 박막의 형태, 전구체의 물리적 특성, 등에 따라 달라진다. 성장 온도는 형성된 박막 각각의 형태에 관하여 아래에 훨씬 더 자세하게 논의된다. 성장 온도가 증착된 물질의 결정화 온도보다 낮아 비결정성 박막이 형성될 수 있으며, 또는 성장 온도가 결정화 온도보다 높아 결정성 박막이 형성될 수 있다. 바람직한 증착 온도는, 비제한적인 예를 들면, 반응물 전구체, 압력, 유속, 반응기의 배열, 증착된 박막의 결정화 온도, 및 증착되는 물질의 특성을 포함하는 기재의 조성과 같은 수많은 요소에 따라 달라질 수 있다. 특정의 성장 온도가 당업자에 의해 선택될 수 있다.
사용될 수 있는 적합한 반응기의 예로는, ASM America, Inc of Phoenix, Arizona 및 ASM Europe B.V., Almere, Netherlands로 부터 구할 수 있는, F-120?반응기, Pulsar?반응기 및 Advance?400 Series 반응기와 같은 상업적으로 이용가능한 ALD 장치가 포함된다. 이러한 ALD 반응기에 추가하여, 전구체를 펄스하기 위한 적절한 장비 및 방법을 갖춘 CVD 반응기를 포함한, 박막의 ALD 성장을 할 수 있는 다수의 다른 종류의 반응기들이 사용될 수 있다. 일부 구현예에 있어서, 흐름 형태(flow type) ALD 반응기가 사용된다. 바람직하게는, 반응 챔버에 도달할 때까지 반응물이 분리되어 유지되므로 전구체를 위한 점유 라인(shared lines)이 최소화된다. 그러나, 그 개시내용이 본 출원에 참조로 인용된, 미국출원 제10/929,348호(출원일: 2004년 8월 30일) 및 제09/836,674호(출원일: 2001년 4월 16일)에서 기술된 전-반응(pre-reaction) 챔버의 사용과 같이, 다른 배열이 가능하다.
성장 공정은 선택적으로, 반응기 또는 클러스터 툴(cluster tool)에 연결된 반응 공간 내에서 수행될 수 있다. 클러스터 툴에 있어서, 각각의 반응 공간이 한가지 형태의 공정에 전용되기 때문에, 각각의 모듈에 있어서 반응 공간의 온도는 일정하게 유지되며, 이것은 각각의 운전 전에 공정의 온도로 기재가 가열되어야 하는 반응기에 비해 처리 능력(throughput)의 향상을 가져온다.
독립형의(stand-alone) 반응기는 로드-록(load-lock) 장비를 갖출 수 있다. 이런 경우에, 각각의 운전 사이에 반응 공간을 냉각시킬 필요가 없다.
본 출원에 기술된 실시예에서는 특정의 바람직한 구현예가 예시된다. 그것들은 ASM Microchemistry Oy, Espoo에서 공급된 F-120TM ALD 반응기 내에서 수행된다.
원자 층 증착을 위한 Sb 전구체
본 출원에서 개시된, 다양한 ALD 공정들에서 사용될 수 있는 전구체는 아래에 논의된다.
일부 구현예에 있어서, 사용될 수 있는 Sb 전구체에는 SbCl3 및 SbI3 와 같은 Sb 할라이드, Sb(OEt)3와 같은 Sb 알콕사이드 및 Sb 아미드가 포함된다.
일부 구현예에 있어서, Sb 전구체는 세 개의 실리콘 원자에 결합된 Sb를 갖는다. 예를 들어, 이것은 Sb(AR1R2R3)3의 일반적인 화학식을 가지며, 여기서 A는 Si 또는 Ge이고, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬기이다. 각각의 R1, R2, 및 R3 리간드는 서로 독립적으로 선택될 수 있다. R1, R2, 및 R3 알킬기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어, R1, R2, 및/또는 R3는 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3 는 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기(organic group)일 수 있다. 일부 구현예에 있어서, R1, R2, R3 는 할로겐 원자일 수 있다. 일부 구현예에 있어서, Sb 전구체는 Sb(SiR1R2R3)3의 일반식을 가지며, 여기서 R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이다. 일부 구현예에 있어서, R1, R2, 및/또는 R3는, 메틸 또는 에틸 기와 같은, 치환되지 않은 또는 치환된 C1-C2 알킬기일 수 있다. R1, R2, 및 R3 알킬 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, Sb 전구체는 Sb(SiMe2 tBu)3이다. 다른 구현예에 있어서, 전구체는 Sb(SiEt3)3 또는 Sb(SiMe3)3이다. 더 바람직한 구현예에 있어서, 전구체는 Sb-Si 결합을 가지며, 가장 바람직하게는 3개의 Si-Sb 결합 구조를 갖는다.
일부 구현예에 있어서, Sb 전구체는 Sb[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3]의 일반식을 가지며, 여기에서, A1, A2, A3는 독립적으로 선택된 Si 또는 Ge일 수 있고, 여기서 R1, R2, R3, R4, R5, R6, R7, R8, 및 R9은 독립적으로 선택된 알킬, 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9은 또한 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기(organic group)일 수 있다. 일부 구현예에 있어서, 하나 이상의 R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 이 할로겐 원자일 수 있다. 일부 구현예에 있어서, X1, X2, 및 X3 는 Si, Ge, N, 및 O일 수 있다. 일부 구현예에 있어서, X1, X2, 및 X3 는 서로 다른 원소이다. X가 Si인 구현예에 있어서, Si가 세 개의 R기에 결합되어, 예를 들어, Sb[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]와 같이 된다. X가 N인 구현예에 있어서, 질소는 오직 두 개의 R 기에만 결합되어, Sb[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]와 같이 된다. X가 O인 구현예에 있어서, 산소는 오직 한 개의 R 기에만 결합되어, Sb[Si(OR1)3][Si(OR2)3][Si(OR3)3]와 같이 된다. R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다.
일부 구현예에 있어서, Sb 전구체는 , Sb[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3], Sb[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3], Sb[Si(OR1)3][Si(OR2)3][Si(OR3)3], 및 실리콘과 R 기 중의 하나 사이에 이중결합을 갖는 Sb[Si(R1R2)][Si(R3R4)][Si(R5R6)] 를 포함하는 그룹으로부터 선택된다. 다른 구현예에 있어서, Sb 전구체는, Sb 원자와 복수의 Si 원자를 포함하는 환형 또는 순환형 배열을 포함하거나; 하나 이상의 Sb 원자를 포함한다. 이들 구현예에 있어서, R1, R2, R3, R4, R5 및 R6은 알킬, 수소, 알케닐, 알키닐 또는 아릴 기를 포함하는 그룹으로부터 선택된다.
일부 구현예에 있어서, Sb 전구체는 위에 기술된 화학식에 유사한 화학식을 가지나, Si 원자는 리간드로서 작용할 때 R 기 중의 하나에 이중결합을 갖는다(예를 들어, Sb-Si=). 예를 들어, 전구체 화학식의 부분적 구조는 아래와 같이 나타낸다:
Figure pct00001
일부 구현예에 있어서, 전구체는 위에 Si 및 Sb의 복수의 원자들을 포함한다. 예를 들어, 일부 구현예에 있어서 전구체 부분적 구조는 아래와 같이 나타낸다:
Figure pct00002
위에 보여진 부분적인 화학식에 있어서 Si 및 Sb 원자들은 또한 하나 이상의 R 기에 결합될 수 있다. 일부 구현예에 있어서, 본 출원에 기술된 R기 중 임의의 어느 것이 사용될 수 있다.
일부 구현예에 있어서, 전구체는 고리형 또는 환형 구조에서 Si-Sb-Si 결합을 포함한다. 예를 들어, 일 구현예에 있어서의 전구체의 부분적인 구조는 아래와 같이 나타낸다.
Figure pct00003
여기서 R기는 알킬, 알케닐, 알키닐, 알킬실릴, 알킬아민 또는 알콕사이드 기를 포함할 수 있다. 일부 구현예에 있어서 R 기는 치환되거나 분지된다. 일부 구현예에 있어서, R 기는 치환되지 않거나 및/또는 분지되지 않는다. 위에 보여진 부분적인 화학식의 Si 및 Sb 원자들은 또한 하나 이상의 R 기에 결합될 수 있다. 일부 구현예에 있어서, 본 출원에 기술된 R기 중 임의의 어느 것이 사용될 수 있다.
원자 층 증착을 위한 As 전구체
본 출원에서 기술된 Sb 전구체에 유사한, As(비소)를 포함하는 전구체들이 사용될 수 있다. 형식적으로는 As가, 본 출원에서 기술된 화합물 내에서, -Ⅲ가 산화 상태를 갖는다.
일부 구현예에 있어서, As 전구체는 세 개의 실리콘 원자에 결합된 As를 갖는다. 예를 들어, 그것은 As(AR1R2R3)3의 일반식을 가질 수 있으며, 여기에서 A는 Si 또는 Ge이고, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기이다. 상기의 R1, R2, 및 R3 기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, R1, R2, 및/또는 R3 기는 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3은 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3은 할로겐 원자일 수 있다. 일부 구현예에 있어서, As 전구체는 As(SiR1R2R3)3의 일반식을 가지며, 여기서 R1, R2, R3은 하나 이상의 탄소 원자를 포함하는 알킬 기이다. 상기의 R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 더 바람직한 구현예에 있어서, 전구체는 As-Si 결합을 가지며, 가장 바람직하게는 세 개의 Si-As 결합 구조를 갖는다. 일부 구현예에 있어서, As 전구체는 As(SiMe2 tBu)3이다. 다른 구현예에 있어서, 전구체는 As(SiEt3)3 또는 As(SiMe3)3이다. 예를 들어, As(SiMe3)3는 상업적으로 이용가능하며, 일부 구현예에서 사용될 수 있다.
일부 구현예에 있어서, As 전구체는 As[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3]의 일반식을 가지며, 여기서 A1, A2, A3는 독립적으로 선택된 Si 또는 Ge일 수 있으며, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 독립적으로 선택된 알킬, 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 는 또한 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, 하나 이상의 R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 는 할로겐 원자일 수 있다. 일부 구현예에 있어서, X1, X2, 및 X3 Si, Ge, N, 또는 O일 수 있다. 일부 구현예에 있어서, X1, X2, 및 X3 는 다른 원소이다. X가 Si인 구현예에 있어서, 그 때 Si는 세 개의 R 기에 결합될 것이고, 예를 들어, As[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]와 같이 된다. X가 N인 구현예에 있어서, 질소는 오직 두 개의 R 기에만 결합되어, As[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]와 같이 된다. X가 O인 구현예에 있어서, 산소는 오직 한 개의 R 기에만 결합되어, 예를 들어, As[Si(OR1)3][Si(OR2)3][Si(OR3)3]와 같이 된다. R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다.
원자 층 증착을 위한 Bi 전구체
본 출원에서 기술된 Sb 전구체에 유사한, Bi(비스무트)를 포함하는 전구체들이 사용될 수 있다. Bi의 전기음성도가 Si의 전기음성도에 가깝기 때문에, 형식적으로는 Bi가, 본 출원에서 기술된 화합물 내에서, -Ⅲ가 또는 +Ⅲ가의 산화 상태일 수 있다. 산화 상태 값은 As 경우에서와 같이, 단지 형식적인 것음이 강조되야 한다.
일부 구현예에 있어서, Bi 전구체는 세 개의 실리콘 원자에 결합된 Bi를 갖는다. 예를 들어, 그것은 Bi(AR1R2R3)3의 일반식을 가질 수 있으며, 여기에서 A는 Si 또는 Ge이고, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기이다. 상기의 R1, R2, 및 R3 기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, R1, R2, 및/또는 R3 기는 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3은 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3은 할로겐 원자일 수 있다. 일부 구현예에 있어서, Bi 전구체는 Bi(SiR1R2R3)3의 일반식을 가지며, 여기서 R1, R2, R3은 하나 이상의 탄소 원자를 포함하는 알킬 기이다. 상기의 R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 더 바람직한 구현예에 있어서, 전구체는 Bi-Si 결합을 가지며, 가장 바람직하게는 세 개의 Si-Bi 결합 구조를 갖는다. 일부 구현예에 있어서, Bi 전구체는 Bi(SiMe2 tBu)3이다. 다른 구현예에 있어서, 전구체는 Bi(SiEt3)3 또는 Bi(SiMe3)3이다.
일부 구현예에 있어서, Bi 전구체는 Bi[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3]의 일반식을 가지며, 여기서 A1, A2, A3는 독립적으로 선택된 Si 또는 Ge일 수 있으며, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 독립적으로 선택된 알킬, 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 는 또한 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, 하나 이상의 R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 는 할로겐 원자일 수 있다. 일부 구현예에 있어서, X1, X2, 및 X3 Si, Ge, N, 또는 O일 수 있다. 일부 구현예에 있어서, X1, X2, 및 X3 는 다른 원소이다. X가 Si인 구현예에 있어서, 그 때 Si는 세 개의 R 기에 결합될 것이고, 예를 들어, Bi[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]와 같이 된다. X가 N인 구현예에 있어서, 질소는 오직 두 개의 R 기에만 결합되어, Bi[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]와 같이 된다. X가 O인 구현예에 있어서, 산소는 오직 한 개의 R 기에만 결합되어, 예를 들어, Bi[Si(OR1)3][Si(OR2)3][Si(OR3)3]와 같이 된다. R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다.
원자 층 증착을 위한 P 전구체
본 출원에서 기술된 Sb를 포함하는 전구체에 유사한, P(인)을 포함하는 전구체들이 사용될 수 있다. 형식적으로는 P가, 본 출원에서 기술된 화합물이 있어서, -Ⅲ가의 산화 상태를 갖는다.
일부 구현예에 있어서, P 전구체는 세 개의 실리콘 원자에 결합된 P를 갖는다. 예를 들어, 그것은 P(AR1R2R3)3의 일반식을 가질 수 있으며, 여기에서 A는 Si 또는 Ge이고, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기이다. 상기의 R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, R1, R2, 및/또는 R3 기는 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3은 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3은 할로겐 원자일 수 있다. 일부 구현예에 있어서, P 전구체는 P(SiR1R2R3)3의 일반식을 가지며, 여기서 R1, R2, R3은 하나 이상의 탄소 원자를 포함하는 알킬기이다. 상기의 R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 더 바람직한 구현예에 있어서, 전구체는 P-Si 결합을 가지며, 가장 바람직하게는 세 개의 Si-P 결합 구조를 갖는다. 일부 구현예에 있어서, P 전구체는 P(SiMe2 tBu)3이다. 다른 구현예에 있어서, 전구체는 P(SiEt3)3 또는 P(SiMe3)3이다. 예를 들어, P(SiMe3)3는 상업적으로 이용가능하며, 일부의 구현예에 사용될 수 있다.
일부 구현예에 있어서, P 전구체는 P[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3]의 일반식을 가지며, 여기서 A1, A2, A3는 독립적으로 선택된 Si 또는 Ge일 수 있으며, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 독립적으로 선택된 알킬, 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 는 또한 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, 하나 이상의 R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 가 할로겐 원자일 수 있다. 일부 구현예에 있어서, X1, X2, 및 X3 Si, Ge, N, 또는 O일 수 있다. 일부 구현예에 있어서, X1, X2, 및 X3 는 다른 원소이다. X가 Si인 구현예에 있어서, 그 때 Si는 세 개의 R 기에 결합될 것이고, 예를 들어, P[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]와 같이 된다. X가 N인 구현예에 있어서, 질소는 오직 두 개의 R 기에만 결합되어, P[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]와 같이 된다. X가 O인 구현예에 있어서, 산소는 오직 한 개의 R 기에만 결합되어, 예를 들어, P[Si(OR1)3][Si(OR2)3][Si(OR3)3]와 같이 된다. R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물리적 특성을 기초로 하여, 각각의 리간드에서 서로 독립적으로 선택될 수 있다.
원자 층 증착을 위한 N 전구체
본 출원에서 기술된 Sb를 포함하는 전구체에 유사한, N(질소)를 포함하는 전구체들이, 일부 구현예에 사용될 수 있다.
일부 구현예에 있어서, N 전구체는 세 개의 실리콘 원자에 결합된 N를 갖는다. 예를 들어, 그것은 N(AR1R2R3)xR3-x의 일반식을 가질 수 있으며, 여기에서 x는 1 내지 3이고, A는 Si, Ge 또는 Sn(주석)이고, R, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기이다. 상기의 R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, R, R1, R2, 및/또는 R3 기는 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R, R1, R2, R3은 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, R, R1, R2, R3은 할로겐 원자일 수 있다. 일부 구현예에 있어서, R, R1, R2, R3은 수소가 아니다. 일부 구현예에 있어서 x는 2이고, R은 수소이다.
일부 구현예에 있어서, N 전구체는 N(SiR1R2R3)xR3-x의 일반식을 가질 수 있으며, 여기에서 x는 1 내지 3이고, R, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기이고, R은 수소이다. 상기의 R, R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 더 바람직한 구현예에 있어서, 전구체는 N-Si 결합을 가지며, 가장 바람직하게는 세 개의 Si-N 결합 구조를 갖는다. 일부 구현예에 있어서, N 전구체는 N(SiMe2 tBu)3이다. 다른 구현예에 있어서, 전구체는 N(SiEt3)3 또는 N(SiMe3)3이다.
일부 구현예에 있어서, N 전구체는 N[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3]의 일반식을 가지며, 여기서 A1, A2, A3는 독립적으로 선택된 Si, Ge 또는 Sn일 수 있으며, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 독립적으로 선택된 알킬, 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 는 또한 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 는 수소가 아니다. 일부 구현예에 있어서, 하나 이상의 R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 가 할로겐 원자일 수 있다. 일부 구현예에 있어서, X1, X2 Si, Ge, N, 또는 O일 수 있다. 일부 구현예에 있어서, X1 및 X2 는 다른 원소이다. X가 Si인 구현예에 있어서, 그 때 Si는 세 개의 R 기에 결합될 것이고, 예를 들어, N[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]와 같이 된다. X가 N인 구현예에 있어서, 그 때 질소는 오직 두 개의 R 기에만 결합되어, N[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]와 같이 된다. X가 O인 구현예에 있어서, 산소는 오직 한 개의 R 기에만 결합되어, 예를 들어, N[Si(OR1)3][Si(OR2)3][Si(OR3)3]와 같이 된다. R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다.
일부 구현예에 있어서, N 전구체는 N[A1(X1R1R2R3)3][A2(X2R4R5R6)3]H의 일반식을 가지며, 여기서 A1, A2는 독립적으로 선택된 Si, Ge 또는 Sn일 수 있으며, R1, R2, R3, R4, R5 및 R6 기는 독립적으로 선택된 알킬, 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3, R4, R5 및 R6 기는 수소가 아니다. 일부 구현예에 있어서, R1, R2, R3, R4, R5 및 R6 기는 또한 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, 하나 이상의 R1, R2, R3, R4, R5 및 R6 기가 할로겐 원자일 수 있다. 일부 구현예에 있어서, X1, X2 Si, Ge, N, 또는 O일 수 있다. 일부 구현예에 있어서, X1 및 X2 는 다른 원소이다. X가 Si인 구현예에 있어서, 그 때 Si는 세 개의 R 기에 결합될 것이고, 예를 들어, N[Si(SiR1R2R3)3][Si(SiR4R5R6)3]H와 같이 된다. X가 N인 구현예에 있어서, 그 때 질소는 오직 두 개의 R 기에만 결합되어, N[Si(NR1R2)3][Si(NR3R4)3]H와 같이 된다. X가 O인 구현예에 있어서, 산소는 오직 한 개의 R 기에만 결합되어, 예를 들어, N[Si(OR1)3][Si(OR2)3]H와 같이 된다. R1, R2, R3, R4, R5 및 R6 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다.
원자 층 증착을 위한 5A족 원소 함유 전구체에 대한 일반적인 기술
일부 구현예에 있어서, 5A족 원소 함유 전구체는 세 개의 실리콘 원자에 결합된 5A족 원소를 갖는다. 예를 들어, 그것은 L(AR1R2R3)3의 일반식을 가질 수 있으며, 여기서 L은 Sb, As, Bi 또는 P이고, 여기서 A는 Si, Sn, 또는 Ge이며, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기들이다. 일부 구현예에 있어서 A는 Sn일 수 있다. AR1R2R3-리간드의 각각은 서로 독립적으로 선택될 수 있다. 상기의 R1, R2, 및 R3 알킬 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, R1, R2, 및/또는 R3 기는 수소, 알케닐, 알킬 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, 및/또는 R3 기는, 메틸 또는 에틸 기와 같은, 비치환된 또는 치환된 C1-C2 알킬일 수 있다. 일부 구현예에 있어서, R1, R2, R3는 또한 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, 하나 이상의 R1, R2, R3가 할로겐 원자일 수 있다. 일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는 L(SiR1R2R3)3의 일반식을 가질 수 있으며, 여기서 L은 Sb, As, Bi 또는 P이고, 여기에서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기들이다. 상기의 R1, R2, 및 R3 알킬 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, 5A족 전구체는 L(SiMe2 tBu)3이다. 다른 구현예에 있어서, 전구체는 L(SiEt3)3 또는 L(SiMe3)3이다.
일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는, 하나 이상의 Si, Ge, 및 Sn에 결합된 5A족을 포함한다. 일부 구현예에 있어서, 5A족원소를 함유하는 전구체는 Si, Ge, 및 Sn으로부터 선택된 1, 2 또는 3 개의 원자에 결합된 5A족 원소를 갖는다. 일부 구현예에 있어서, 5A 족원소를 함유하는 전구체는 Si, Ge, 및 Sn으로부터 선택된 2 또는 3 개의 원자에 결합된 5A족 원소를 가지며, 여기에는 적어도 Si, Ge, 및 Sn으로부터 선택된 2 개의 다른 원자가 있다. 일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는 하나 이상의 Si 원자에 결합된 5A족 원소를 포함한다. 예를 들어, 그것은 L(AR1R2R3)xR3-x의 일반식을 가질 수 있으며, 여기에서 x는 1 내지 3이고, L은 Sb, As, Bi 또는 P이고, 여기에서 A은 Si, Sn, 또는 Ge 이고, R, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기이다. 일부 구현예에 있어서, R1, R2, 및/또는 R3 기는, 메틸 또는 에틸 기와 같은, 비치환된 또는 치환된 C1-C2 알킬일 수 있다. 일부 구현예에 있어서 A는 Sn일 수 있다. 상기의 R, R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다. AR1R2R3-리간드의 각각은 또한 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, R, R1, R2, 및/또는 R3 기는 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R, R1, R2, R3 기는, N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, R, R1, R2, R3 기는 할로겐 원자일 수 있다. 일부 구현예에 있어서, R은 아미노 기일 수 있다. 일부 구현예에 있어서, 리간드 R, R1, R2, 및 R3 중 적어도 하나가 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 터셔리부틸, 펜틸, 이소펜틸, 터셔리펜틸과 같은, 선형의, 분지형의 또는 환형의 C1-C5 알킬기로부터 선택된다.
일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는 L(SiR1R2R3)xR3-x의 일반식을 가질 수 있으며, 여기에서 L은 Sb, As, Bi 또는 P이고, 여기에서 R, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기이다. 일부 구현예에 있어서, R1, R2, 및/또는 R3 기는, 메틸 또는 에틸 기와 같은, 비치환된 또는 치환된 C1-C2 알킬기일 수 있다. 상기의 R, R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, 5A족 전구체는 L(SiMe2 tBu)3의 화학식을 갖는다. 다른 구현예에 있어서, 전구체는 L(SiEt3)3 또는 L(SiMe3)3이다. 일부 구현예에 있어서, 리간드 R, R1, R2, 및 R3 중 적어도 하나가 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 터셔리부틸, 펜틸, 이소펜틸, 터셔리펜틸과 같은, 선형의, 분지형의 또는 환형의 C1-C5 알킬기로부터 선택된다. 일부 구현예에 있어서, R은 선형의, 분지형의, 비치환된 또는 치환된 알킬, 알케닐, 알키닐, 알킬실릴, 알킬아민 또는 알콕사이드 기이다.
일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는, 본 출원에서 기술된 Sb 전구체에 유사하게, Si, Ge, Sn으로부터 선택된 하나 이상의 원자에 이중 결합으로 결합된 5A족 원소를 포함한다.
일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는 위에 기술된 화학식에 유사한 화학식을 갖지만, 아래 화학식에서 A로서 나타내는, Si 또는 Ge 원자는 리간드로서 작용할 때 R 기 중의 하나에 이중결합을 갖는다(예를 들어, A-Si=). 예를 들어, 전구체 화학식의 부분적 구조는 아래와 같이 나타낸다:
Figure pct00004
일부 구현예에 있어서, 전구체는 복수의 Si 또는 Ge 원자를 포함한다. 예를 들어, 일 구현예에 있어서 전구체의 부분적인 구조는 아래와 같이 나타낸다:
Figure pct00005
위에 그려진 부분적인 화학식에 있어서 Si 또는 Ge 및 5A족 원소 원자들은 또한 하나 이상의 R 기에 결합될 수 있다. 일부 구현예에 있어서, 본 출원에서 기술된 R 기 중의 임의의 어느 것이 사용될 수 있다.
일부 구현예에 있어서, 전구체는 고리형 또는 환형의 A-L-A 결합 구조를 포함하며, 여기선 A는 Si 또는 Ge이고, L는 5A족 원소 원자이다. 예를 들어 일 구현예에 있어 전구체의 부분적인 구조는 아래와 같이 나타낸다.
Figure pct00006
상기 R 기는 알킬, 알케닐, 알키닐, 알킬실릴, 알킬아민 또는 알콕사이드 기이다. 일부 구현예에 있어서, 상기 R 기는 치환되거나 또는 분지된다(substituted or branched). 일부 구현예에 있어서, 상기 R 기는 치환되지 않거나 및/또는 분지되지 않는다. 위에 그려진 부분적인 화학식에 있어서 A 및 L 원자는 또한 하나 이상의 R 기에 결합될 수 있다. 일부 구현예에 있어서, 본 출원에서 기술된 R 기 중의 임의의 어느 것이 사용될 수 있다.
일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는 L[A1(X1R1R2R3)3][A2(X2R4R5R6)3][A3(X3R7R8R9)3]의 일반식을 가지며, 여기서 L은 Sb, As, Bi 또는 P이고, 여기서 A1, A2, A3는 독립적으로 선택된 Si, Sn 또는 Ge일 수 있으며, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 독립적으로 선택된 알킬, 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, A1, A2 및/또는 A3는 독립적으로 선택된 Sn일 수 있다. R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 는 또한, N, O, F, Si, P, S, Cl, Br 또는 I 와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, 하나 이상의 R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 가 할로겐 원자일 수 있다. 일부 구현예에 있어서, X1, X2 및 X3 Si, Ge, N, 또는 O일 수 있다. 일부 구현예에 있어서, X1, X2 및 X3는 서로 다른 원소들이다. X가 Si인 구현예에 있어서, 그 때 Si는 세 개의 R 기에 결합될 것이고, 예를 들어, L[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3]와 같이 된다. X가 N인 구현예에 있어서, 그 때 질소는 오직 두 개의 R 기에만 결합되어, L[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3]와 같이 된다. X가 O인 구현예에 있어서, 산소는 오직 한 개의 R 기에만 결합되어, 예를 들어, L[Si(OR1)3][Si(OR2)3][Si(OR3)3]와 같이 된다. L은 Sb, As, Bi 또는 P이고, R1, R2, R3, R4, R5, R6, R7, R8, 및 R9 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다.
일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는, L[Si(SiR1R2R3)3][Si(SiR4R5R6)3][Si(SiR7R8R9)3], L[Si(NR1R2)3][Si(NR3R4)3][Si(NR5R6)3], L[Si(OR1)3][Si(OR2)3][Si(OR3)3], 및 실리콘과 R 기 중의 하나 사이에 이중결합을 갖는 L[Si(R1R2)][Si(R3R4)][Si(R5R6)] 를 포함하는 그룹으로부터 선택된다. 다른 구현예에 있어서, Sb 전구체는, 하나의 Sb 원자와 복수의 Si 원자를 포함하는 환형 또는 고리형 배열을 포함하거나; 하나 이상의 Sb 원자를 포함한다. 이들 구현예에 있어서, L은 Sb, As, Bi 또는 P이고, R1, R2, R3, R4, R5 및 R6은 알킬, 수소, 알케닐, 알키닐 또는 아릴 기를 포함하는 그룹으로부터 선택된다.
일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는 위에 기술된 화학식에 유사한 화학식을 갖지만, Si 원자는 리간드로서 작용할 때 R 기 중의 하나에 이중결합을 갖는다(예를 들어, L-Si=). L은 Sb, As, Bi 또는 P를 포함하는 그룹으로부터 선택된다. 예를 들어, 전구체 화학식의 부분적 구조는 아래와 같이 나타낸다:
Figure pct00007
일부 구현예에 있어서, 전구체는 Si 및 L의 복수의 원자를 포함한다. L은 Sb, As, Bi 또는 P를 포함하는 그룹으로부터 선택된다. 예를 들어, 일 구현예에 있어서 전구체 화학식의 부분적 구조는 아래와 같이 나타낸다:
Figure pct00008
위에 그려진 부분적인 화학식에 있어서, Si 및 L 원자들은 또한 하나 이상의 R 기에 결합될 수 있다. 일부 구현예에 있어서, 본 출원에서 기술된 R 기 중의 임의의 어느 것이 사용될 수 있다.
일부 구현예에 있어서, 전구체는 고리형 또는 환형의 Si-L-Si 결합 구조를 함유한다. L은 Sb, As, Bi 또는 P로 이루어진 군으로부터 선택된다. 예를 들어, 일 구현예에 있어서의 전구체 화학식의 부분적 구조는 아래와 같이 나타낸다:
Figure pct00009
상기 R 기는 알킬, 알케닐, 알키닐, 알킬실릴, 알킬아민 또는 알콕사이드 기를 포함할 수 있다. 일부 구현예에 있어서, 상기 R 기는 치환되거나 또는 분지된다. 일부 구현예에 있어서, 상기 R 기는 치환되지 않거나 및/또는 분지되지 않는다. 위에 그려진 부분적인 화학식에 있어서 Si 및 L 원자는 또한 하나 이상의 R 기에 결합될 수 있다. L는 Sb, As, Bi 또는 P로 이루어진 군으로부터 선택된다. 일부 구현예에 있어서, 본 출원에서 기술된 R 기 중의 임의의 어느 것이 사용될 수 있다.
일부 구현예에 있어서, 본 출원에서 기술된 5A족 원소를 함유하는 전구체와 조합하여 사용되는, 추가적인 전구체는 산소원(oxygen source)이 아니다. "산소원"이라는 용어는, 물, 오존, 알콜, 산소 원자, 산소 플라즈마 및 산소 라디칼과 같은 산소 전구체를 포함하며, 통상적으로 금속 산화물을 증착하기 위한 ALD에서 사용된다. 바람직한 구현예에 있어서, 다른 전구체는 물, 오존, 또는 알콜이 아니다. 일부 구현예에 있어서 플라즈마는 사용되지 않는다.
다른 구현예에 있어서, 본 출원에서 기술된 5A족 원소-함유 전구체와 조합하여 사용되는 다른 전구체는, 또한 같은 5A족 원소를 5A족-원소를 함유하는 전구체로서 가질 수 있으므로, 증착된 박막은 5A족 원소를 포함하는 원소 상태의 박막(elemental film)이다. 다른 전구체는, 예를 들어, 염화물(chloride), 불화물(fluoride), 브롬화물(bromide) 또는 요오드화물(iodide)과 같은, 5A족 원소의 할로겐화물(halide)일 수 있다. 일부 구현예에 있어서, 다른 전구체는 SbCl3 또는 BiCl3이고, 증착된 박막은 각각 원소 상태의 Sb, 또는 원소 상태의 Bi 박막(elemental Sb or elemental Bi films)이다.
5A족 원소 함유 박막의 원자 층 증착
일부 구현예에 있어서, 본 출원에서 기술된 전구체 중 임의의 어느 것이, 5A족 원소를 함유하는 박막을 증착하는데 사용될 수 있다.
일부 구현예에 있어서, 원소 상태의 5A족 원소가 증착된다. 일부 구현예에 있어서, 원소 상태의 Sb, As, Bi, 또는 P가 증착된다.
일부 구현예에 있어서, 5A족 원소를 포함하는 증착된 박막은 하나 이상의 추가의 원소들을 포함한다. 일부 구현예에 있어서, 금속, 비금속, 또는 메탈로이드가 또한 5A족 원소를 포함하는 증착된 박막에 포함될 수 있다.
일부 구현예에 있어서, 5A족 원소를 포함하는 박막을 증착할 때, 기재의 온도는 약 20℃ 내지 약 500℃이다. 일부 구현예에 있어서, 상기 온도는 약 50℃ 내지 약 400℃이다. 일부 구현예에 있어서, 상기 온도는 약 50℃ 내지 약 300℃이다.
5A족 원소를 포함하는 박막을 증착할 때, 사이클 당 성장 속도(growth rate per cycle)는 사용되는 전구체 및 반응 공정 조건에 따라 변할 수 있다. 본 출원에서 사용된 사이클 당 성장 속도는 두 개의 다른 반응물의 하나의 펄스 공급을 포함하는 사이클에 대해 사이클 당 평균 성장 속도를 가리킨다. 일부 구현예에 있어서, 사이클 당 평균 성장 속도는 약 0.05Å/cycle 내지 약 2.5Å/cycle이다. 일부 구현예에 있어서, 사이클 당 평균 성장 속도는 약 0.1Å/cycle 내지 약 1.5Å/cycle이다. 일부 구현예에 있어서, 사이클 당 평균 성장 속도는 약 0.2Å/cycle 내지 약 1.0Å/cycle이다.
일부 구현예에 있어서, 5A족 원소 함유 박막이 하나 이상의 도핑제(dopant)로 도핑되는데, 이 도핑제는 O, N, C, Si, Sn, Ag, Al, Ga, P, Fe, Ge, In, Ag, Se, Te 또는 Bi로 이루어진 그룹으로부터 선택되나 이 그룹에 제한되지 않는다.
N 함유 박막의 원자 층 증착
일부 구현예에 있어서, 본 출원에서 개시된 N 전구체가, N 함유 박막을 증착하는데 사용될 수 있다. 일부 구현예에 있어서, 상기 박막은 전이 금속을 포함하지 않는다. 일부 구현예에 있어서, 박막 내의 하나 이상의 원소가, 3A족 내지 6A족, 즉, IUPAC에 따르면 13 내지 16족의 원소를 포함하는 그룹으로부터 선택된다. 일부 구현예에 있어서, 박막 내의 하나 이상의 원소가 N, B, Al, Ga 및 In으로 이루어진 군으로부터 선택된다. 일부 구현예에 있어서, 상기 박막은 BN, AlN, GaN 및 InN을 포함하는 그룹으로부터 선택된다. 일부 구현예에 있어서, N을 포함하는 상기 박막이 증착되는데, 이것은 SiN이 아니거나 또는 Si 또는 Ge를 포함하지 않는 박막이다.
일부 구현예에 있어서, N을 포함하는 증착된 박막은 하나 이상의 추가의 원소들을 포함한다. 일부 구현예에 있어서, N을 포함하는 증착된 박막은, 질소로 Ge-Sb-Te같은 상 변화 물질을 도핑하는데 사용된다. 일부 구현예에 있어서, 질소로 도핑된 Ge-Sb-Te 함유 박막을 증착하기 위해, N을 함유하는 전구체가, 본 출원에서 개시된 Ge, Sb, 및 Te 증착 사이클과 조합하여 증착 사이클에 사용될 수 있다.
일부 구현예에 있어서, N을 포함하는 박막을 증착할 때, 기재의 온도는 약 20℃ 내지 약 500℃이다. 일부 구현예에 있어서, 상기 온도는 약 50℃ 내지 약 400℃이다. 일부 구현예에 있어서, 상기 온도는 약 50℃ 내지 약 300℃이다.
N을 포함하는 박막을 증착할 때, 사이클 당 성장 속도는 사용되는 전구체 및 반응 공정 조건에 따라 변할 수 있다. 본 출원에서 사용된 바와 같이, 사이클 당 성장 속도는 두 개의 다른 반응물의 하나의 펄스 공급을 포함하는 사이클에 대해 사이클 당 평균 성장 속도를 가리킨다. 일부 구현예에 있어서, 사이클 당 평균 성장 속도는 약 0.05Å/cycle 내지 약 2.5Å/cycle이다. 일부 구현예에 있어서, 사이클 당 평균 성장 속도는 약 0.1Å/cycle 내지 약 1.5Å/cycle이다. 일부 구현예에 있어서, 사이클 당 평균 성장 속도는 약 0.2Å/cycle 내지 약 1.0Å/cycle이다.
일부 구현예에 있어서, N 함유 박막이 하나 이상의 도핑제로 도핑되는데, 이 도핑제는 O, N, C, Si, Sn, Ag, Al, Ga, P, Fe, Ge, In, Ag, Se, Te 또는 Bi로 이루어진 그룹으로부터 선택되나 이 그룹에 제한되지 않는다.
Sn 을 포함하는 5A족 전구체
일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는 세 개의 주석(Sn) 원자에 결합된 5A족 원소를 포함한다. 예를 들어, 5A족 원소를 함유하는 전구체는 L(SnR1R2R3)3의 일반식을 가지며, 여기서 L은 Sb, As, Bi 또는 P이고, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기이다. 상기의 R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, R1, R2, 및/또는 R3 기는 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3 기는, N, O, F, Si, P, S, Cl, Br 또는 I와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3가 할로겐 원자일 수 있다. 일부 구현예에 있어서, 5A족 원소를 함유하는 전구체는 L(SnR1R2R3)3의 일반식을 가질 수 있으며, 여기서 L은 Sb, As, Bi 또는 P이고, 여기에서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬 기들이다. 상기의 R1, R2, 및 R3 알킬 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, 5A족 전구체는 L(SnMe2 tBu)3의 화학식을 갖는다. 다른 구현예에 있어서, 전구체는 L(SnEt3)3 또는 L(SnMe3)3이다.
Sb 의 원자 층 증착
일부 구현예에 있어서, 원소 상태의 안티몬(Sb) 박막이 바람직하게는 플라즈마를 사용하지 않고 ALD에 의해 증착된다.
원소 상태의 안티몬을 증착하는 ALD 증착 사이클은 다양한 응용 분야에 유용하다. 예를 들어, 안티몬은 많은 응용분야에서 사용될 수 있는데, 여기에는 광학 저장 재료, 반도체 혼합물(화합물, 3성분의(ternary), 및 4성분의 (quaternary) 혼합물) 및 비-휘발성 상 변화 메모리가 포함된다.
플라즈마 없이 ALD 공정에서 사용되기에 적합한 Sb 전구체의 발견으로 원소 상태의 안티몬의 증착이 가능하다. 일부 구현예에 있어서, 원소 상태의 안티몬이 상 변화 재료로서 사용될 수 있다. 일부 구현예에 있어서, Sb 증착 사이클은 또한 다른 재료들의 증착 사이클과 조합되어 사용될 수 있다. 사이클의 비는, Sb 함량을 포함하여, 증착된 박막 내에서의 화학양론을 조절하도록 선택될 수 있어, 원하는 조성 및 구조를 갖는 박막을 얻게 된다. 예를 들어, Sb-Te, Ge-Sb-Te, 및 Ge-Sb를 포함하는 상 변화 메모리 박막이 증착될 수 있다.
도 1은, 일 구현예에 따라, Sb 박막(10)을 형성하기 위한 방법을 일반적으로 설명하는 흐름도이다. 일부 구현예에 따르면, 원소 상태의 Sb 박막을 반응 챔버내에서 기재 위에 형성하기 위한, 복수의 Sb 증착 사이클을 포함하는 ALD형 공정의 사용에 있어서, 각각의 증착 사이클은 다음의 단계를 포함한다:
제1 Sb 전구체(11)를 포함하는 제1 기상 반응물 펄스를 반응 챔버 내로 공급하여, 기재 위에 Sb 전구체의 약 하나의 분자 층만을 형성하는 단계;
반응 챔버(13)로부터 과잉의 제1 반응물을 제거하는 단계;
제2 Sb 전구체(15)를 포함하는 제2 기상 반응물 펄스를 반응 챔버로 공급하여, 제2 Sb 전구체가 기재 위의 제1 Sb 전구체와 반응하도록 함으로써, Sb를 형성하는 단계; 및
반응 챔버(17)로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
이를 Sb 증착 사이클이라고 지칭할 수 있다. 각각의 Sb 증착 사이클은 통상적으로 Sb의 최대 약 하나의 모노레이어(monolayer)를 형성한다. Sb 증착 사이클은 원하는 두께의 박막이 형성(19)될 때까지 반복된다. 일부 구현예에 있어서, Sb 박막이 약 10Å 내지 약2000Å 두께로, 바람직하게는, 약 50Å 내지 약 500Å 두께로 형성된다.
예시된 Sb 증착 사이클은 제1 Sb 전구체의 공급으로 시작되지만, 다른 구현예에 있어서, 증착 사이클은 제2 Sb 전구체의 공급으로 시작된다. 제1 Sb 전구체 및 제2 Sb 전구체의 공급이 ALD 사이클 내에서 호환성을 갖는다는 것을 당업자라면 이해할 것이다.
일부 구현예에 있어서, 반응물 및 반응 부산물은, Sb 전구체의 흐름을 중단하고 반면에 질소 또는 아르곤과 같은 불활성 운반 기체의 흐름은 계속함으로써, 반응 챔버로부터 제거될 수 있다.
바람직한 구현예에 있어서, 제1 Sb 전구체는 SbX3의 화학식을 가지며, 여기서 X는 할로겐 원소이다. 더 바람직하게는, Sb 공급원은 SbCl3, SbBr3 또는 SbI3이다.
일부 구현예에 있어서, 본 출원에서 기술된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, 산소원이 아니다. "산소원"이라는 용어는, 물, 오존, 알콜, 산소 원자, 산소 플라즈마 및 산소 라디칼과 같은, 산소를 포함하는 반응물을 가리키는 것으로, 통상적으로 금속 산화물을 증착하기 위한 ALD에서 사용된다. 바람직한 구현예에 있어서, 다른 전구체는 물, 오존, 또는 알콜이 아니다.
일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, 테트라아미노게르마늄과 같은 아미노게르마늄 전구체 또는 오르가노텔루륨 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, 칼코겐화물(chalcogenide) 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, 플라즈마 또는 여기된 화학종(excited species)을 포함하지 않는다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, 질소를 함유하지 않는다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, 알콕사이드로 치환된 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, 아미노기로 치환된 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, 알킬기로 치환된 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, 직접 Sb-C 결합(direct Sb-C bond)을 포함하지 않는다.
Sb, As, Bi, N 및 P 전구체의 Sb, As, Bi, N 및 P 중심 원자는 Si, Ge, 또는 Sn에 결합될 수 있다. Sb, As, Bi, N 및 P가 Si, Ge 또는 Sn보다 더 전기음성도가 크므로, 결합에 있어서 극성을 만들어, 본 출원에서 개시된 Sb, As, Bi, N 및 P 전구체의 Sb, As, Bi, N 및 P 중심 원자에 부분적인 음전하(negative charge)를 발생시킬 것이다. 일부 구현예에 있어서, Sb, As, Bi, N 및 P 중심 원자는 네가티브 산화 상태(negative oxidation state)를 갖는다. 임의의 이론에 묶이지 않더라도, 본 출원에 개시된 전구체에 있어서 중심 원자의 약간의 부분적인 음전하(slight partial negative charge)는, 예를 들어 As(SiEt3)3에서의 As 또는 Sb(SiEt3)3에서의 Sb 같은 약간의 부분적인 음전하는, 다른 전구체에서의 중심 원자의 부분적인 양전하와 결합하여, 예를 들어 GaCl3에서의 Ga 또는 SbCl3에서의 Sb 같은 부분적인 양전하와 결합하여, 전구체 조합(precursor combination) 을 성공적으로 만들고, 예를 들어 Ga-As 또는 Sb 박막 증착같은 박막 증착이 가능하도록 만든다고 생각된다.
일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, 수소, H2/플라즈마, 아민, 이민, 하이드라진, 실란, 실릴 칼코겐화물, 게르마늄(germane), 암모니아, 알칸, 알켄 또는 알킨과 같은, 환원제가 아니다. 본 출원에서 사용된 바와 같이, 환원제는, 다른 반응물의 원자, 보통 ALD 공정에서 박막에 증착될 원자를 환원할 수 있는 화합물 및 때로는 원소의 형태(elemental form)를 지칭한다. 동시에, 환원제는 산화될 수 있다. 산화 화학(oxidative chemistry)으로, 예를 들어 산화제를 이용하여, 증착될 원자의 형식적인 산화 상태가 다른 전구체에 있어서 네가티브라면, 원소 상태의 박막을 생산하는 것이 또한 가능하다는 것이 주목될 수 있다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체는 ALD 공정에서 환원제로 작용한다.
일부 구현예에 있어서, 본 출원에서 개시된 Sb(SiR1R2R3)3 전구체와 조합하여 사용되는 다른 반응물은, SbCl3와 같은, 산화성 전구체(oxidizing precursor)이다. 바람직하게는 산화 전구체가 물, 알콜 또는 오존이 아니다. 본 출원에서 사용된 바와 같이, 산화 전구체는 분자의 중심 원자에 부분적인 양전하를 갖는 전구체이며, 이때 상기 중심 원자의 예로서는 SbCl3의 경우에는 Sb 또는 GaCl3의 경우에는 Ga 같은 것이 있는데, 그에 따라 중심 원자는 포지티브 산화 상태(positive oxidation states)를 갖는 것으로 생각될 수 있다. 산화 전구체의 부분적인 양전하는 증착된 박막에서 감소될 것인데, 즉 실제의 산화 상태 증가가 발생하지 않더라도, 분자의 중심 원자가 다소 환원된다고 생각될 수 있다. 일부 구현예에 있어서, 안티몬 증착 사이클은 오직 두 개의 반응성있는 화합물만을 사용한다.
바람직하게는, 제2 반응물이 Sb(SiR1R2R3)3의 화학식을 갖는 Sb 전구체로, 여기서 R1, R2 , 및 R3는 하나 이상의 탄소를 포함하는 알킬 기이다. 상기의 R1, R2, 및 R3 알킬 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 선택될 수 있다.
일부 구현예에 있어서, 제1 Sb 전구체는 SbCl3이고, 제2 Sb 전구체는 Sb(SiEt3)3이다.
Sb 박막 증착을 형성하는 동안의 기재의 온도는 바람직하게는 250℃보다 낮으며, 더 바람직하게는 200℃보다 낮으며, 더욱더 바람직하게는 150℃보다 낮다.
반응기의 압력은 증착을 위해 사용되는 반응기에 따라 매우 많이 달라질 수 있다. 통상적으로 반응기 압력은 표준 대기압(normal ambient pressure)보다 낮다.
당업자라면, 선택된 전구체의 특성을 기초로 하여, 최적의 반응물 기화 온도(evaporation temperatures)를 결정할 수 있다. 본 출원에서 기술된 방법에 의해 합성될 수 있는, Sb(SiEt3)3와 같은, 제2 Sb 전구체의 기화 온도는 통상 약 85℃이다. SbCl3와 같은, 제1 Sb 전구체에 대한 기화 온도는 통상 약 30℃ 내지 35℃이다.
당업자라면, 선택된 전구체의 특성 및 증착된 Sb 박막에 대해 원하는 특성을 기반으로 통상적인 실험을 통해, 최적의 반응물 펄스 시간을 알아낼 수 있다. 바람직하게는 제1 및 제2 Sb 반응물이 약 0.05 내지 10 초 동안, 더 바람직하게는 약 0.2 낸지 4 초 동안, 및 가장 바람직하게는 약 1 내지 2 초 동안 펄스되는 것이다. 과잉의 반응물 및, 만약 존재한다면, 반응 부산물이 제거되는 퍼지 단계는 바람직하게는 약 0.05 내지 10 초, 더 바람직하게는 약 0.2 내지 4 초, 및 가장 바람직하게는 1 내지 2 초 길이이다.
원소 상태의 Sb 박막의 성장 속도는 반응 조건에 따라 달라질 것이다. 아래에 기술되는 바와 같이, 초기 실험에서, 성장 속도는 약 0.3 및 0.5 Å/cycle 사이에서 변하였다.
일부 구현예에 있어서, Sb 박막이 기재 위에 증착되어 PCM 셀(cell) 내에서 활성 재료를 형성한다. 일부 구현예에 있어서, Sb 박막은 기재 위에 증착되어 super-RENS 소자로 사용된다. 바람직하게는 상기의 Sb 박막이 약 10Å 내지 약 2000Å의 두께를 갖는다.
일부 구현예에 있어서, 하나 이상의 도핑제가 박막 내로 삽입되는데, 도핑제는 O, N, C, Si, Sn, Ag, Al, Ga, P, Fe, Ge, In, Ag, Se, Te 또는 Bi를 포함하는 그룹으로 부터 선택되나, 그것들에 제한되지는 않는다. 도핑제를 포함하는 전구체가 바람직하게는, 반드시 필요하지는 않으나, 본 출원에서 기술된 Sb 전구체와 함께 반응한다.
실시예 1
SbCl3 및 Sb(SiEt3)3를 교차 및 순차로 펄스하므로써, 원소 상태의 Sb 박막이 형성되었다. 1000회의 사이클이 적용되었다. 원소 상태의 Sb 박막이 소다 석회 유리(soda lime glass) 및 자연 산화물(native oxide)을 갖는 실리콘 기판 위에 형성되었다. Sb(SiEt3)3는 실온에서 액체이며, 85℃ 온도에서 오픈 보트(open boat)로부터 기화되었다. 증착하는 동안 기재의 온도는 95℃였다. SbCl3 전구체의 펄스 및 퍼지 길이는 각각 1초 및 2초였다. Sb(SiEt3)3의 펄스 길이는 0.5 및 2.0 초 사이에서 변화되었고, 이때 퍼지 길이는 2초 였다. 도 3은, (Et3Si)3Sb가 0.5, 1.0, 및 2.0 초의 펄스 길이로 증착된 Sb 박막에 대한 사이클 당 평균 성장 속도를 보여준다. 도 3에서는 약 0.45 Å/cycle 의 최대 성장 속도를 보여준다. 안티몬 박막은 증착되었을 때, 티없이 맑고 거울 같았다.
도 4는, 1 초의 (Et3Si)3Sb 펄스 길이를 사용하여, 도 3을 참조하여 설명된 바와 같이 증착된 Sb 박막의 GIXRD(gracing incidence XRD) 패턴을 보여준다.
GIXRD 패턴으로부터 다결정성 Sb 박막임을 알 수 있고, 그 모든 반사로부터 능면체의(rhombohedral) Sb임을 알 수 있다(iron black, PDF 00-035-0732). 증착된 박막을 또한 EDX(energy dispersive x-ray)로 분석하였다. EDX 측정 결과, 박막은 안티몬으로 이루어졌고, 증착된 필름에는 염소가 존재하지 않는 것으로 확인되었다. 박막 두께는 약 45 nm 이었다.
비교예 1
(Et3Si)3Sb + CH3OH를 사용한 증착 실험이 100, 200 및 300℃에서 수행되었다. (Et3Si)3Sb 및 CH3OH에 대한 펄스/퍼지 시간은 각각 2.0/2.0 초 및 1.0/2.0 초였다. F-120TM 반응기에서 1000회의 사이클이 적용되었을 때, 소다 석회 또는 자연 산화물 SiO2/Si 기재 위에 박막이 증착되지 않았다. 본 공정은 또한, 미량수정저울(Quartz Crystal Microbalance) 및 질량분석기(Mass Spectrometer)를 장착한 인 시투 ALD 반응기를 사용하여, 분석되었다. 이들 연구 조사 결과, (Et3Si)3Sb + CH3OH를 사용한 ALD형 박막 성장이 F-120TM 반응기 내에서 성취될 수 없었다는 것을 나타냈다.
(Et3Si)3Sb + H2O를 사용한 증착 실험이 150℃에서 수행되었다. 2000회의 증착 사이클이 적용된 후, 소다 석회 또는 자연 산화물 SiO2/Si 위에 박막의 성장이 일어나지 않았다. (Et3Si)2Te + H2O를 사용한 유사한 실험도 또한 성공하지 못했다.
(Et3Si)3Sb가 대량으로 표준 압력에서 물 및 알콜과 반응할 수 있더라도, ALD 공정 조건은 명백히, 이러한 형태의 반응을 통해 일어날 성장에 적합하지 않다. Xiao의 미국특허 출원공개 제2009-0191330호에서는 다양한 실릴안티몬 전구체의 합성에 대해 개시되어 있다. 그러나, 이 실험은 단지 플라스크 내에서 트리스(트리메틸실릴)안티몬이 메탄올과 반응함을 보여줄 뿐이다. 우리의 결과는, ALD 공정 조건하의 흐름형 반응기 내에서 (Et3Si)3Sb 및 CH3OH를 사용하여서는 박막이 형성되지 않음을 보여준다.
본 출원에서 개시된 Sb , As , Bi , 및 P 전구체와 조합되어 사용되는 ALD 공정용 전구체
본 출원에서 개시된 Sb, As, Bi, 및 P 전구체와 조합되어 사용되는 ALD 공정용 전구체는, 본 출원에서 개시된 흡착된 Sb, As, Bi, 및 P 전구체와 표면 위에서 반응할 전구체를 포함한다. Ge-Sb-Te 박막과 같은 다-성분 박막은 다른 이성분의 재료들에 대해 복수의 증착 사이클을 포함할 수 있는데, 예를 들어, GST의 경우에, Ge-Te 사이클, Sb-Te 사이클, 및 선택적으로 Sb증착 사이클을 사용하는 것을 포함할 수 있다. 그러한 다-성분 재료는 본 출원에서 기술된 전구체 외에 다른 전구체를 포함할 수 있는데, 그것들은 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체를 사용하여 동일한 사이클 내에서 직접적으로 사용하는데는 적합하지 않으나, 다른 사이클 내에서 다-성분 재료 공정에서 사용하는데 유리하다.
일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체는 물, 알콜 또는 오존이 아닌 다른 반응물과 함께 ALD 공정에서 사용된다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체와 조합하여 ALD 공정에서 사용되는 반응물이, 테트라아미노게르마늄 또는 오르가노텔루륨 전구체와 같은, 아미노게르마늄 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체와 조합하여 사용되는 다른 반응물이 칼코겐화물 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체와 조합하여 ALD 공정에서 사용되는 전구체가 플라즈마를 포함하지 않는다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체와 조합하여 ALD 공정에서 사용되는 전구체가 질소를 포함하지 않는다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체와 조합하여 사용되는 다른 반응물이, 알콕사이드로 치환된 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체와 조합하여 사용되는 다른 반응물이, 아미노기로 치환된 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체와 조합하여 사용되는 다른 반응물이, 알킬기로 치환된 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체와 조합하여 ALD 공정에서 사용되는 전구체가, 수소, H2/플라즈마, 아민, 이민, 하이드라진, 실란, 실릴 칼코겐화물, 게르만(germane), 암모니아, 알칸, 알켄 또는 알킨과 같은, 환원성 전구체가 아니다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체가 ALD 공정에서 환원제로서 작용한다. 일부 구현예에 있어서, 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체와 조합하여 ALD 공정에서 사용되는 전구체가, SbCl3와 같은, 산화 전구체이다. 일부 구현예에 있어서, 산화 전구체가 산소를 포함하지 않는다. 바람직한 구현예에 있어서, 산화 전구체가 알콜, 물, 또는 오존이 아니다.
다음의 금속 전구체 중 임의의 금속 전구체가, 본 출원에서 개시된 다양한 ALD 공정에서 사용될 수 있다.
일부 구현예에 있어서, 금속 전구체가 금속-유기물의 또는 유기금속의 전구체이다. 일부 구현예에 있어서, 금속 전구체는 금속-유기물의 또는 유기금속의 전구체이고, 질소를 함유하지 않는다. 일부 구현예에 있어서 금속 전구체는 할로겐화물 전구체이다. 일부 구현예에 있어서, 금속 전구체는 할로겐화물 전구체이고, 리간드로서 임의의 유기 기를 포함하지 않는다. 일부 구현예에 있어서, 금속 전구체는 리간드로서, 오직 불화물 또는 염화물 만을, 바람직하게는 염화물을 포함한다. 일부 구현예에 있어서, 금속 전구체는 부가물(adduct) 전구체이다. 부가물은 리간드로 간주되지 않는다. 부가된 전구체는 유기기를 부가물로 가지며, 유기기를 리간드로서 갖지 않는다. 예를 들어 다음과 같이 고려된다: GeCl2-디옥산은 어떠한 유기기도 리간드로서 갖지 않는다. 리간드는 중심 원자에 직접 결합되는 기 또는 원자이다.
일부 구현예에 있어서, 반응물들 사이에서 디할로실릴레이션 반응 (dehalosilylation reaction)이 일어나도록 반응물이 선택된다. 일부 구현예에 있어서, ALD 공정에 있어서 금속 반응물과 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체 사이에서 디할로실릴레이션 반응이 일어날 수 있도록 금속 전구체가 선택된다. 일부 구현예에 있어서, ALD 공정에 있어서 금속 반응물과 본 출원에서 개시된 Sb, As, Bi, 및 P 전구체 사이에서 역불균등화(comproportionation) 반응이 일어날 수 있도록 금속 전구체가 선택된다.
바람직한 전구체로는 금속 할로겐화물, 알킬, 알콕사이드, 아미드, 실릴아미드, 아미디네이트, 시클로펜타디에닐, 카르복실레이트, 베타디케토네이트 및 베타디케토이민이 포함되지만, 여기에 국한되지는 않는다.
금속 전구체 내의 바람직한 금속에는 Ga, Al, Ge, Bi, Zn, Cu, In, Ag, Au, Pb, Cd, Hg, Sn, Co, Ni, Si이 포함되나, 여기에 국한되지는 않는다. 일부의 경우에, 바람직한 금속은 가능하게는 희토류 또는 알칼리 희토류 금속일 수 있다.
더 바람직한 Sb 전구체로는, 본 출원에서 기술된 Sb 전구체 중 임의의 전구체 및 SbCl3, SbBr3 및 SbI3와 같은 Sb 할로겐화물, Sb(OEt)3와 같은 Sb 알콕사이드 및 Sb 아미드가 포함된다.
더 바람직한 Ge 전구체로는, GeCl2 및 GeBr2와 같은 Ge 할로겐화물, GeCl2-디옥산과 같은, GeCl2, GeF2 및 GeBr2의 부가된 유도체가 포함된다. 바람직하게는 Ge의 산화 상태가 +Ⅱ가 이다.
더 바람직한 Al 전구체로는 AlCl3와 같은 Al 할로겐화물 및 트리메틸알루미늄(TMA)과 같은 알킬화 알루미늄(Al alkyls)이 포함된다.
더 바람직한 Bi 전구체로는 BiCl3와 같은, Bi 할로겐화물이 포함된다.
더 바람직한 Ga 전구체로는 GaCl3와 같은 Ga 할로겐화물 및 트리메틸갈륨(TMG)과 같은 알킬화 Ga가 포함된다.
더 바람직한 Zn 전구체로는 원소 상태의 Zn, ZnCl2와 같은 Zn 할로겐화물, 및 Zn(Et)2 또는 Zn(Me)2와 같은 알킬 아연 화합물이 포함된다.
더 바람직한 Cu 화합물에는 Cu(II)-피발레이트와 같은 Cu 카르복시산염, CuCl 또는 CuCl2와 같은 Cu 할로겐화물, Cu(acac)2 또는 Cu(thd)2 와 같은 베타디케토네이트 및 Cu-아미디네이트가 포함된다.
더 바람직한 In 화합물로는 InCl3와 같은 In 할로겐화물 및 In(CH3)3와 같은 In 알킬 화합물이 포함된다.
더 바람직한 Pb 화합물에는 테트라페닐 납 Ph4Pb 또는 테트라에틸 납 Et4Pb와 같은 알킬화 Pb가 포함된다.
더 바람직한 Si 전구체로는 SiCl4와 같은 Si 할로겐화물, 및 아미노실란이 포함된다.
더 바람직한 Sn 전구체로는 SnCl4와 같은, Sn 할로겐화물이 포함된다.
더 바람직한 Ni 전구체로는 Ni(acac)2 또는 Ni(Cp)2와 같은, 유기금속(metalorganic) Ni 화합물이 포함된다.
더 바람직한 Co 전구체로는, Co(acac)2 또는 Co(thd)2와 같은, 유기 금속 Co 화합물이 포함된다.
본 출원에 개시된 N 전구체와 조합되어 사용되는 ALD 공정용 전구체
질소를 포함하는 박막을 증착하기 위해, 금속 전구체가, 본 출원에서 개시된 질소를 포함하는 전구체와 함께 사용될 수 있다.
일부 구현예에 있어서, 금속 전구체는 전이금속, 즉, IUPAC 표기에 따르자면 3족부터 12족에서 선택되는 금속을 포함하지 않는다. 일부 구현예에 있어서, 금속 전구체는 Si 또는 Ge을 포함하지 않는다. 일부 구현예에 있어서, 금속 전구체는 Al, B, Ga, In, Sn 또는 Pb를 포함한다.
일부 구현예에 있어서, 금속 전구체가 금속-유기의 또는 유기금속의 전구체이다. 일부 구현예에 있어서 금속 전구체가 할로겐화물 전구체이다. 일부 구현예에 있어서, 금속 전구체가 할로겐화물 전구체이며, 어떤 유기기도 리간드로 포함하지 않는다. 일부 구현예에 있어서, 금속 전구체가 오직 불화물(fluorides) 또는 염화물(chlorides), 바람직하게는 염화물 만을 리간드로서 포함한다. 일부 구현예에 있어서, 금속 전구체가 부가물 전구체이다.
바람직한 전구체로는 금속 할로겐화물, 알킬, 알콕사이드, 아미드, 실릴아미드, 아미디네이트, 시클로펜타디에닐, 카르복시산염, 베타디케토네이트 및 베타디케토이민이 포함되지만, 이것들에 국한되지 않으며, 여기서 금속은 전이금속이 아니다.
더 바람직한 Al 전구체로는 AlCl3와 같은 Al 할로겐화물 및 트리메틸알루미늄(TMA)과 같은 알킬화 알루미늄이 포함된다.
더 바람직한 Ga 전구체로는 GaCl3와 같은 Ga 할로겐화물 및 트리메틸갈륨(TMG)과 같은 알킬화 Ga가 포함된다.
더 바람직한 In 화합물로는, InCl3와 같은 In 할로겐화물 및 In(CH3)3와 같은 In 알킬 화합물이 포함된다.
더 바람직한 Pb 화합물에는 테트라페닐 납 Ph4Pb 또는 테트라에틸 납 Et4Pb와 같은 알킬화 Pb가 포함된다.
더 바람직한 Sn 전구체로는 SnCl4와 같은, Sn 할로겐화물이 포함된다.
원자 층 증착을 위한 Te Se 전구체
Te 및 Se 전구체는 미국 출원 제12/429,133호(출원일:2009년 4월 23일,제목 "Synthesis and Use of Precursors for ALD of Tellurium and Selenium Thin Films")에 개시되어 있다. 이것의 개시 내용이 본 명세서에 통째로 포함되어 있다.
임의의 다음 전구체들이 여기에 개시된 다양한 ALD 공정에서 사용될 수 있다. 특히, Te 및 Se를 포함하는 전구체가 개시되어 있다.
일부 구현예에 있어서, Te 또는 Se 전구체는 두 개의 실리콘 원자에 Te 또는 Se 결합을 갖는다. 예를 들어, 그것은 A(SiR1R2R3)2의 일반식을 가지며, 여기서 A는 Te 또는 Se이고, R1, R2 , 및 R3는 하나 이상의 탄소를 포함하는 알킬 기이다. 상기의 R1, R2, 및 R3 알킬 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다. 일부 구현예에 있어서, R1, R2, 및/또는 R3 는 수소, 알케닐, 알키닐 또는 아릴 기이다. 일부 구현예에 있어서, R1, R2, R3 기는, N, O, F, Si, P, S, Cl, Br 또는 I와 같은, 헤테로원자를 포함하는 임의의 유기 기일 수 있다. 일부 구현예에 있어서 R1, R2, R3 기는 할로겐 원자일 수 있다. 일부 구현예에 있어서, Te 전구체가 Te(SiMe2 tBu)2이고 Se 전구체가 Se(SiMe2 tBu)2이다. 다른 구현예에 있어서, 전구체가 Te(SiEt3)2, Te(SiMe3)2, Se(SiEt3)2 또는 Se(SiMe3)2이다. 더 바람직한 구현예에 있어서, 전구체가 Te-Si 또는 Se-Si 결합 및 바람직하게는 Si-Te-Si 또는 Si-Se-Si 결합 구조를 갖는다.
일부 구현예에 있어서, Te 또는 Se 전구체는 [R1R2R3X1]3-Si-A-Si-[X2R4R5R6]3의 일반식을 가지며, 여기에서 A는 Te 또는 Se; 및 여기서 R1, R2, R3, R4, R5 및 R6은 독립적으로 선택된 알킬, 수소, 알케닐, 알키닐 또는 아릴 기일 수 있다. 일부 구현예에 있어서, R1, R2, R3, R4, R5 및 R6 이 N, O, F, Si, P, S, Cl, Br 또는 I 와 같은 헤테로원자를 포함하는 임의의 유기 기일수 있다. 일부 구현예에 있어서, R1, R2, R3, R4, R5 및 R6이 할로겐 원자일 수 있다. 일부 구현예에 있어서, X1 및 X2가 Si, N, 또는 O일 수 있다. 일부 구현예에 있어서, X1 및 X2가 다른 원소이다. X가 Si인 구현예에 있어서, 그때 Si는 세 개의 R 기에 결합될 것이고, 예를 들어, [R1R2R3Si]3-Si-A-Si-[SiR4R5R6]3와 같이 나타내진다. X가 N인 구현예에 있어서, 그때 질소는 오직 두 개의 R 기에만 결합될 것이다([R1R2N]3-Si-A-Si-[NR3R4]3). X가 O인 구현예에 있어서, 산소는 오직 하나의 R 기에만 결합될 것이고, 예를 들어, [R1-O]3-Si-A-Si-[O-R2]3와 같이 나타내진다. 상기의 R1, R2, R3, R4, R5 및 R6 기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 각각의 리간드에서 서로 독립적으로 선택될 수 있다.
일부 구현예에 있어서, Te 또는 Se 전구체는 R1R2R3Si-Si-A-Si-SiR4R5R6; R1R2N-Si-A-Si-NR3R4; R1-O-Si-A-Si-O-R2; 또는 실리콘과 R 기 중의 하나 간에 이중결합을 갖는 R1R2Si-A-SiR3R4 :로 이뤄진 그룹으로부터 선택된다. 다른 구현예에 있어서, Te 또는 Se 전구체는 하나의 Te 또는 Se 원자 및 복수의 Si 원자를 포함하는 환형 또는 고리형 배열; 또는 하나보다 많은 Te 원자들 또는 하나보다 많은 Se 원자들:을 포함한다. 이들 구현예에 있어서, A는 Te 또는 Se이고, R1, R2, R3, R4, R5 및 R6 는 알킬, 수소, 알케닐, 알키닐 또는 아릴 기를 포함하는 그룹으로부터 선택된다. 일부 구현예에 있어서 Te 또는 Se 전구체가 A(SiR1R2R3)2 꼴이 아니다.
일부 구현예에 있어서, Te 또는 Se 전구체가 위에 기술된 것과 유사한 화학식을 가지지만, Si 원자가 리간드 내에서 R 기 중의 하나에 이중 결합(즉,A-Si=)을 가지며, 여기서 A는 Te 또는 Se가 된다. 예를 들어, 전구체 화학식의 부분적인 구조는 아래와 같이 나타낸다:
Figure pct00010
일부 구현예에 있어서, 전구체는 Si 및 Te 또는 Se의 복수의 원자를 포함한다. 예를 들어, 일 구현예에 있어서 전구체의 부분적인 구조는 아래와 같이 나타내며, 여기서 A는 Te 또는 Se이다:
Figure pct00011
위에 그려진 부분적인 화학식에서의 Si 원자들은 또한, 하나 이상의 R 기에 결합될 수 있다. 일부 구현예에 있어서, 본 출원에서 기술된 임의의 R 기가 사용될 수 있다.
일부 구현예에 있어서, 전구체는 고리형의 또는 환형의 구조 내에서 Si-Te-Si 또는 Si-Se-Si 결합 구조를 갖는다. 예를 들어, 일 구현예에 있어서 전구체의 부분적인 구조는 아래와 같이 나타내며, 여기서 A는 Te 또는 Se이다.
Figure pct00012
R 기는 알킬, 알케닐, 알키닐, 알킬실릴, 알킬아민 또는 알콕사이드 기를 포함할 수 있다. 일부 구현예에 있어서, R 기는 치환되거나 또는 분지된다. 일부 구현예에 있어서, 상기 R 기는 치환되지 않거나 및/또는 분지되지 않는다. 위에 그려진 부분적인 화학식에 있어서, Si 원자들은 또한 하나 이상의 R 기에 결합될 수 있다. 일부 구현예에 있어서, 본 출원에서 기술된 임의의 R기가 사용될 수 있다.
Sb - Te 의 원자 층 증착
Te 전구체를 사용하여 Sb-Te 박막을 형성하는 방법이, 미국특허출원 제12/429,133호 (출원일: 2009년 4월 23일, 제목: "Synthesis and Use of Precursors for ALD of Tellurium and Selenium Thin Films")에 개시되어 있다. 그 개시내용은 인용에 의하여 본 명세서에 통째로 포함된다.
일부 구현예에 있어서, Sb-Te, 바람직하게는 Sb2Te3, 박막이 ALD에 의하여, 바람직하게는 플라즈마를 사용하지 않은 채, 증착되나, 경우에 따라서 필요하면 플라즈마가 사용될 수도 있다. 예를 들어, 원소 Te 박막 또는 Te-부화 박막을 원하는 경우, 수소 플라즈마, 수소 라디칼 또는 원자상태 수소와 같은 플라즈마가 사용될 수 있다. 플라즈마의 또 다른 용도는 박막의 도핑인데, 예를 들어, O, N 또는 Si에 의한 도핑의 수행시 플라즈마가 사용될 수 있다.
일부 구현예에 따르면, Sb2Te3 박막을 반응 챔버 내에서 기재 위에 형성하기 위한, 복수의 Sb-Te 증착 사이클을 포함하는 ALD형 공정의 사용에 있어서, 각각의 증착 사이클은 다음의 단계를 포함할 수 있다:
Sb 전구체를 포함하는 제1 기상 반응물 펄스를 반응 챔버 내로 공급하여, 기재 위에 Sb 전구체의 약 하나의 분자 층만을 형성하는 단계;
반응 챔버로부터 잉여의 제1 반응물을 제거하는 단계;
Te 전구체를 포함하는 제2 기상 반응물 펄스를 반응 챔버로 공급하여, Te 전구체가 기재 위의 Sb 전구체와 반응하도록 함으로써, Sb2Te3를 형성하는 단계; 및
반응 챔버로부터 잉여의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
이를 Sb-Te 증착 사이클이라고 지칭될 수 있다. 각각의 Sb-Te 증착 사이클은 통상적으로 Sb2Te3의 최대 약 하나의 모노레이어를 형성한다. 일부 구현예에 있어서, Sb-Te 증착 사이클은 원하는 두께의 박막이 형성될 때까지 반복된다.
비록 예시된 Sb-Te 증착 사이클은 Sb 전구체의 공급으로 시작되지만, 다른 구현예에서는, 상기 증착 사이클은 Te 전구체의 공급으로 시작될 수도 있다.
일부 구현예에 있어서, 반응물 및 반응 부산물을 반응 챔버로부터 제거하기 위하여, Te 또는 Sb 전구체의 흐름은 차단하고 질소 또는 아르곤과 같은 비활성 운반 기체의 흐름은 계속할 수 있다.
일부 구현예에 있어서, Sb 공급원은 SbX3이며, 여기서 X는 할로겐 원소이다. 더욱 바람직하게는, Sb 공급원은 SbCl3 또는 SbI3 이다.
바람직하게는, Te 전구체는 Te(SiR1R2R3)2의 화학식을 가지며, 여기서 R1, R2, 및 R3 는 하나 이상의 탄소 원자를 포함하는 알킬기이다. R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성 등과 같은 전구체의 원하는 물성에 기초하여 선택될 수 있다. 일부 구현예에 있어서, Te 전구체는 Te(SiMe2Bu)2 이다. 다른 구현예에 있어서는, Te 전구체는 Te(SiEt3)2 또는 Te(SiMe3)2 이다. 일부 구현예에 있어서, Te 전구체는 Te(SiEt3)2 이고 Sb 전구체는 SbCl3 이다.
일부 구현예에 있어서, 비제한적인 예를 들면, O, N, C, Si, Sn, Ag, Al, Ga, P, Fe, Ge, In, Ag, Se, Te 또는 Bi 로 이루어진 군에서 선택되는 일종 이상의 도핑제가 박막에 도입될 수 있다. 도핑제를 포함하는 전구체가, 바람직하게는, 그러나 반드시 그럴 필요는 없지만, 본 명세서에서 설명되는 Te 전구체와 반응한다.
구체적인 공정 조건은, 선택된 전구체의 특성 및 증착되는 박막에 요구되는 특성에 기초하여, 당업자에 의하여 선택될 수 있다.
Sb Sb - Te 사이클을 사용하는 Sb - Te 원자 층 증착
일부 구현예에 있어서, 원하는 조성을 갖는 SbxTey 박막이 Sb 및 Sb-Te 사이클을 사용하여 증착될 수 있다.
총 사이클 횟수(즉, Sb 사이클들 및 Sb-Te 사이클들의 횟수) 대비 Sb-Te의 비율을 변화시킴으로써, SbxTey 박막이 원하는 조성으로 증착되도록 할 수 있다. 변수 x 및 y는, 원자비, 원자퍼센트, 또는 분자당 원자 조성으로서 표현될 수 있다. x 및 y의 표시 단위는 당업자에게 명백할 것이다.
일부 구현예에 있어서, Sb 사이클 대 Sb-Te 사이클의 비율은 약 1:100 내지 약 100:1 이다. 바람직하게는, Sb-Te 사이클 대 Sb 및 Sb-Te 사이클의 비율은 원하는 조성을 갖는 SbxTey 박막이 증착될 수 있도록 선택된다. 일부 구현예에 있어서, Sb2Te3 가 증착된다.
일부 구현예에 있어서, x는 바람직하게는 약 30% 내지 약 50%이다. 일부 구현예에 있어서, x는 바람직하게는 약 35% 내지 45%이다.
일부 구현예에 있어서, y는 바람직하게는 약 50% 내지 약 70%이다. 일부 구현예에 있어서, y는 바람직하게는 약 55% 내지 약 65%이다.
일부 구현예에 있어서, x는 바람직하게는 약 2이다. 일부 구현예에 있어서, y는 약 0 내지 약 3이다. 일부 구현예에 있어서, x는 2이고 y는 1이다. 일부 구현예에 있어서, Sb 박막은 Te로 도핑되며, 그에 따라, y는 약 1% 내지 약 10%이고, x는 약 99% 내지 약 90%이다.
일부 구현예에 있어서, Sb-Te 박막은 증착된 그 상태에서 결정성이다. 일부 구현예에 있어서, Sb-Te 박막은 증착된 그 상태에서 비결정성이다. 일부 구현예에 있어서, 상기 박막은 어닐링되어 비결정성 박막에서 결정성 박막으로 전환될 수 있다.
일부 구현예에 있어서, 본 명세서에서 설명되는 임의의 공정 조건이 사용될 수 있다. 예를 들어, 반응물, 반응물 유속 및 농도, 온도 등 본 명세서에서 설명된 조건들이 Sb 또는 Sb-Te 증착 사이클에서 사용될 수 있다.
일부 구현예에 있어서, 비제한적인 예를 들면, O, N, C, Si, Sn, Ag, Al, Ga, P, Fe, Ge, In, Ag, Se, Te 또는 Bi로 이루어진 군으로부터 선택되는 일종 이상의 도핑제가 Sb-Te 박막에 도입된다. 일부 구현예에 있어서, Sb-Te 박막이 Ag 및 In으로 도핑되어, 대략적으로 Ag0 .055In0 .065Sb0 .59Te0 .29의 조성을 갖는다.
실시예 2
SbxTey 박막이, Sb 증착 사이클 및 Sb2Te3 증착 사이클을 사용하여 성장되었다. 기재 온도는, 증착 사이클 동안, 약 95 ℃ 이었다. Sb2Te3를 SbCl3 및 Te(SiEt3)2로 증착함에 있어서, 다음의 단계를 포함하는 증착 사이클이 사용되었다:
1초의 SbCl3 펄스;
2초의 퍼지;
1초의 Te(SiEt3)2 펄스; 및
2초의 퍼지.
Sb를 증착함에 있어서, SbCl3 및 Sb(SiEt3)3를 사용하며 다음의 단계를 포함하는 Sb 증착 사이클이 사용되었다:
1초의 SbCl3 펄스;
2초의 퍼지;
2초의 Sb(SiEt3)3 펄스; 및
2초의 퍼지.
여러가지 조성의 박막이, Sb 대 Sb2Te3의 비율을 변화시키면서, 증착되었다. 그 데이타가 도 5 내지 도 7에 도시되어 있다.
도 5는, Sb2Te3 사이클 대 총 Sb 및 Sb2Te3 사이클 횟수의 비율에 따른 증착된 박막의 조성을 보여준다. 도 5에는 순수한 Sb 및 Sb2Te3 의 조성이 참고로 표시되어 있다. 도 5는 서로 다른 기울기를 갖는 두 개의 선형 영역을 보여준다. 제1 영역은 약 0 내지 약 0.66의 비율에 대하여 제1 기울기를 갖는다. 약 0.66 내지 약 1의 사이클 비의 경우, 제2 선형 영역의 기울기가 변화한다. 도 5의 데이타는, 증착된 Sb-Te 박막의 조성이 Sb 사이클 대 Sb2Te3 사이클의 비에 기초하여 맞춤조절될 수 있음을 나타낸다.
도 6은, Sb2Te3 사이클 대 총 Sb 및 Sb2Te3 사이클 횟수의 비에 따른, 사이클 당 평균 성장 속도를 도시한다. Sb 증착 사이클의 평균 성장 속도는 약 0.45 Å/cycle 이다. Sb2Te3 증착 사이클의 평균 성장 속도는 약 0.15 Å/cycle 이다. 도 6은, 사이클 당 평균 성장 속도가, 사이클 비가 약 0.66인 경우에, 사이클 당 Sb2Te3 성장 속도에 도달함을 나타낸다.
결정도 또한 GIXRD에 의하여 측정되었다. 도 7은, Sb70Te30, Sb83Te17, 및 Sb86Te14와 같은 다양한 조성을 갖는 Sb-Te 박막에 대한 GIXRD 데이타를 보여준다. 도 7에 도시된 세 개의 박막 모두 결정성이었다. 증착된 박막에 대한 EDX 측정 결과, 염소는 전혀 검출되지 않았다.
Ge - Sb 의 원자 층 증착
일부 구현예에 있어서, GexSby 박막이, 플라즈마를 사용하지 않은 채, ALD에 의하여 형성된다. 도 2는, 일 구현예에 따라, Ge-Sb 박막(20)을 형성하는 방법을 개략적으로 보여주는 플로우 차트이다. Ge-Sb 박막을 기재 위에 형성하기 위하여, 복수의 Ge-Sb 증착 사이클을 포함하는 ALD 형 공정이 사용되며, 각각의 증착 사이클은 다음의 단계를 포함한다:
Ge 전구체(21)를 포함하는 제1 기상 반응물 펄스를 반응 챔버 내로 공급하여, 기재 위에 Ge 전구체의 약 하나의 분자 층 만을 형성하는 단계;
반응 챔버(23)로부터 잉여의 제1 반응물을 제거하는 단계;
Sb 전구체(25)를 포함하는 제2 기상 반응물 펄스를 반응 챔버로 공급하여, Sb 전구체가 기재상의 Ge 전구체와 반응하도록 하는 단계; 및
반응 챔버(27)로부터 잉여의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
이는, Ge-Sb 증착 사이클이라고 지칭될 수 있다. 각각의 Ge-Sb 증착 사이클은 통상적으로 Ge-Sb의 최대 약 하나의 모노레이어를 형성한다. Ge-Sb 증착 사이클은 원하는 두께의 박막이 형성될 때까지(29) 반복된다. 일부 구현예에 있어서, 약 10 Å 내지 약 2000 Å의 Ge-Sb 박막이 형성된다.
GexSby 박막의 x 및 y 값 및 조성은 변화될 수 있다. 일부 구현예에 있어서, x 및 y는 1 보다 작다. 일부 구현예에 있어서, x와 y의 합은 약 1과 같으며, x 및 y가 백분율로 표시되는 경우에는 약 100과 같다.
비록, 예시된 Ge-Sb 증착 사이클이 Ge 전구체의 공급으로 시작하지만, 다른 구현예에서는, 상기 증착 사이클은 Sb 전구체의 공급으로 시작한다.
일부 구현예에 있어서, 반응물과 반응 부산물을 반응 챔버로부터 제거하기 위하여, Ge 또는 Sb 전구체의 흐름은 차단하고 질소 또는 아르곤과 같은 비활성 운반 기체는 계속 흐르게 할 수 있다.
바람직하게는, Ge 공급원은 GeX2 또는 GeX4이며, 여기서 X는 할로겐 원소이다. 바람직하게는, Ge의 산화상태는 +II이다. 일부 구현예에 있어서, Ge 공급원은 GeBr2이다. 일부 구현예에 있어서, Ge 공급원은, 디옥산 리간드와 같은 배위 리간드를 갖는 게르마늄 할라이드이다. 바람직하게는, 배위 리간드를 갖는 Ge 공급원은 게르마늄 디할라이드 착체이며, 더욱 바람직하게는, 게르마늄 디클로라이드 디옥산 착체 GeCl2·C4H8O2이다.
Sb 전구체는 본 명세서에서 설명되는 임의의 Sb 전구체일 수 있다. 바람직하게는, 제2 Sb 전구체는 Sb(SiR1R2R3)2의 화학식을 가지며, 여기서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬기이다. R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성 등과 같은 전구체의 원하는 물성에 기초하여 선택될 수 있다.
Ge-Sb 박막을 형성하는 동안의 기재 온도는, 바람직하게는 250 ℃ 이하이고, 더욱 바람직하게는 200 ℃ 이하이며, 더 더욱 바람직하게는 100 ℃ 이하이다. 비결정성 박막을 원하는 경우에는, 상기 온도는 약 90 ℃ 이하로 더욱 낮추어질 수 있다. 일부 구현예에 있어서, 증착 온도는 80 ℃ 이하, 70 ℃ 이하, 또는 60 ℃ 이하일 수 있다.
당업자는, 선택된 전구체의 특성에 기초하여, 최적의 반응물 기화 온도를 결정할 수 있다.
당업자는, 선택된 전구체의 특성 및 증착되는 Ge-Sb 박막의 원하는 특성에 기초하여, 일상적인 실험을 통하여 최적의 반응물 펄스 시간을 결정할 수 있다.
바람직하게는, Ge 및 Sb 반응물의 펄스 시간은 약 0.05 내지 약 10 초이고, 더욱 바람직하게는 약 0.2 내지 약 4 초이며, 가장 바람직하게는 약 1 내지 약 4 초이다. 퍼지 단계에서는 잉여의 반응물 및 반응 부산물이, 만약 남아 있다면, 제거된다. 퍼지 시간은 바람직하게는 약 0.05 내지 약 10 초이고, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 배치(batch) ALD 반응기와 같은 몇몇의 경우에는, 펄스 및 퍼지 시간은 더욱 많이 변화될 수 있으며, 더 긴 펄스 시간이 분(minutes)의 규모로 사용될 수 있다.
일부 구현예에 있어서, 증착된 Ge-Sb 박막은 비결정성이다. 일부 구현예에 있어서, 증착된 Ge-Sb 박막은 결정성이다.
일부 구현예에 있어서, 증착된 Ge-Sb 박막은 어닐링될 수 있다.
일부 구현예에 있어서, 비제한적인 예를 들면, O, N, C, Si, Sn, Ag, Al, Ga, P, Fe, Ge, In, Ag, Se, Te 또는 Bi로 이루어진 군으로부터 선택된 일종 이상의 도핑제가 Ge-Sb 박막에 도입될 수 있다.
실시예 3
Ge-Sb 박막이, 대략 95 ℃에서, Sb(SiEt3)3를 Sb 공급원으로서 사용하고 GeCl2·C4H8O2를 Ge 공급원으로서 사용하고 다음의 단계를 포함하는 증착 사이클을 사용하여, 기재 위에 증착되었다:
4 초의 GeCl2·C4H8O2 펄스;
6 초의 퍼지;
2 초의 Sb(SiEt3)3 펄스; 및
2 초의 퍼지.
사이클 당 성장 속도를 계산한 결과 약 0.23 Å/cycle 이었다. XRD(X-ray diffractogram) 결과는 증착된 박막이 비결정성임을 나타냈다. EDX(Energy dispersive x-ray) 분석결과는 증착된 박막이 Ge0 .82Sb0 .18 (82 원자% Ge 및 18 원자% Sb)임을 나타냈다.
Sb Ge - Sb 증착 사이클을 사용한 Ge - Sb 의 원자 층 증착
일부 구현예에 있어서, 원하는 조성을 갖는 GexSby 박막이 Sb 및 Ge-Sb 사이클을 사용하여 증착될 수 있다.
Ge-Sb 사이클 대 총 사이클 횟수의 비율(즉, Sb 사이클 및 Ge-Sb 사이클의 횟수)을 변화시킴으로써, GexSby 박막이 원하는 조성으로 증착될 수 있다. 변수 x 및 y는 원자비, 원자 퍼센트, 또는 분자당 원자조성으로서 표시될 수 있다. x 및 y의 표시 단위는 당업자에게 명백할 것이다.
일부 구현예에 있어서, Sb 사이클 대 Ge-Sb 사이클의 비는 약 1:100 내지 약 100:1 이다. 바람직하게는, Sb 사이클 대 Sb 및 Ge-Sb 사이클의 비는, 원하는 조성을 갖는 Ge-Sb 박막을 증착시킬 수 있도록 선택된다.
일부 구현예에 있어서, x는 바람직하게는 약 5% 내지 약 20% 이다. 일부 구현예에 있어서, y는 바람직하게는 약 80% 내지 약 95% 이다. 일 구현예에 있어서, 공융 조성 Ge15Sb85 를 갖는 박막이 증착된다. 일부 구현예에 있어서, Sb 박막이 Ge로 도핑되며, 그에 따라, y는 약 1 % 내지 약 10 %이고, x는 약 99 % 내지 약 90 %이다.
일부 구현예에 있어서, Ge-Sb 박막은 증착된 그 상태에서 결정성이다. 일부 구현예에 있어서, Ge-Sb 박막은 증착된 그 상태에서 비결정성이다. 일부 구현예에 있어서, 상기 박막은 어닐링되어 비결정성 박막에서 결정성 박막으로 전환될 수 있다.
일부 구현예에 있어서, 본 명세서에서 설명되는 임의의 공정 조건이 사용될 수 있다. 예를 들어, 본 명세서에서 설명되는 반응물, 반응물 유속 및 농도, 온도, 등이 Sb 또는 Ge-Sb 증착에 사용될 수 있다.
일부 구현예에 있어서, 비제한적인 예를 들면, O, N, C, Si, Sn, Ag, Al, Ga, P, Fe, Ge, In, Ag, Se, Te 또는 Bi로 이루어진 군으로부터 선택되는 일종 이상의 도핑제가 박막에 도입될 수 있다.
실시예 4
다양한 조성의 Ge-Sb 박막이 Sb 증착 사이클 및 Ge-Sb 증착 사이클을 사용하여 증착되었다. SbCl3 및 Sb(SiEt3)3를 사용하고 다음의 단계를 포함하는 Sb 증착 사이클을 사용하여 Sb를 증착시켰다:
1 초의 SbCl3 펄스;
2 초의 퍼지;
2 초의 Sb(SiEt3)3 펄스; 및
2 초의 퍼지.
Sb(SiEt3)3 를 Sb 공급원으로서 사용하고 GeCl2-C4H8O2 를 Ge 공급원으로서 사용하고 다음의 단계를 포함하는 증착 사이클을 사용하여, Ge-Sb 박막이 증착되었다:
4 초의 GeCl2·C4H8O2 펄스;
6 초의 퍼지;
2 초의 Sb(SiEt3)3 펄스; 및
2 초의 퍼지.
도 8 및 9는, Ge-Sb 사이클 대 총 사이클 횟수의 비에 따른, 증착된 Ge-Sb 박막에 대한 조성 및 성장 속도를 나타낸다.
도 8은, Ge-Sb 사이클 대 총 사이클 횟수의 비와 증착된 박막의 조성 사이의 선형 관계를 나타낸다. 도 9 또한, 사이클 당 평균 성장 속도와 Ge-Sb 사이클 대 총 사이클 횟수의 비 사이의 선형 관계를 나타낸다.
Ge0 .23Sb0 .77 및 Ge0 .82Sb0 .18 박막의 특성이 EDX로 분석되었다. Ge0 .23Sb0 .77 및 Ge0.82Sb0.18 박막은 증착된 그 상태에서 비결정질이었다. EDX 분석에서는 또한, 어느 정도의 염소가, 증착된 Ge-Sb 박막에 존재하고 있음이 발견되었다. 염소의 양은, 증착된 박막에 존재하는 Ge의 양에 따라 증가하였다. 염소는 GeCl2-C4H8O2 전구체로부터 유래하는 것으로 추정된다. 비교적 낮은 Ge 함량을 갖는 Ge-Sb 박막(예를 들어, Ge0 .15Sb0 .85)을 PCM에 적용하는 경우, GeCl2-C4H8O2 전구체로부터 유래되는 정도의 염소의 양으로는 소자의 성능에 악영향을 미치지 않을 수 있다.
Sb , Sb - Te , Ge - Te Ge - Sb 증착 사이클을 사용한 Ge - Sb - Te 의 원자 층 증착
일부 구현예에 따르면, GexSbyTez (GST) 박막이, 복수의 증착 사이클을 포함하는 ALD 형 공정에 의해 기재 위에 형성된다.
일부 구현예에 있어서, Sb, Te 및 Ge 증착 사이클이, 원하는 화학양론비 및 원하는 두께를 갖는 GST 박막을 증착하기 위하여, 제공된다. 사이클의 구체적인 비율 및 순서는 원하는 조성을 갖는 박막을 얻을 수 있도록 선택될 수 있다.
일부 구현예에 있어서, Sb, Sb-Te, Ge-Te 증착 사이클이, 원하는 화학양론비 및 원하는 두께를 갖는 GST 박막을 증착하기 위하여 제공된다. 사이클의 구체적인 비율 및 순서는, 원하는 조성을 얻도록 선택될 수 있다.
일부 구현예에 있어서, Sb, Sb-Te, Ge-Te 및 Ge-Sb 증착 사이클이, 원하는 화학양론비 및 원하는 두께를 갖는 GST 박막을 증착하기 위하여 제공된다. 사이클의 구체적인 비율 및 순서는, 원하는 조성을 얻도록 선택될 수 있다.
일부 구현예에 있어서, Ge2Sb2Te5 의 화학식을 갖는 GST 박막이 증착된다. 일부 구현예에 있어서, Ge3Sb6Te5와 같은 Sb-부화 GST 박막이 증착된다. 일부 구현예에 있어서, GeSb2Te4의 화학식을 갖는 박막이 증착된다. 일부 구현예에 있어서, GeSb4Te7의 화학식을 갖는 박막이 증착된다.
당업자가 인식할 수 있는 바와 같이, Sb, Sb-Te, Ge-Te 및 Ge-Sb 증착 사이클은 임의의 순서로 수행될 수 있다. 일부 구현예에 있어서 GST 증착 공정은 Sb 증착 사이클로 시작하고, 다른 구현예에 있어서는 GST 증착 공정은 Sb-Te 증착 사이클, Ge-Te 또는 Ge-Sb 증착 사이클로 시작한다.
당업자가 또한 인식할 수 있는 바와 같이, 복수의 Sb 증착 사이클이 Sb-Te 또는 Ge-Sb 사이클에 앞서 연속적으로 수행될 수 있고, 복수의 Sb-Te 증착 사이클이 그에 이어지는 Sb 또는 Ge-Sb 증착 사이클에 앞서 연속적으로 수행될 수 있으며, 복수의 Ge-Sb 증착 사이클이 그에 이어지는 Sb, Ge-Te 또는 Sb-Te 증착 사이클에 앞서 연속적으로 수행될 수 있다.
일부 구현예에 있어서, 본 명세서에서 설명되는 임의의 공정 조건이 사용될 수 있다. 예를 들면, 본 명세서에서 설명되는 반응물, 반응물 유속 및 농도, 온도 등이 Sb, Ge-Sb, Sb-Te, Ge-Te 증착 사이클에 사용될 수 있다.
일부 구현예에 있어서, GST 박막은 증착된 그 상태에서 결정성일 수 있다. 다른 구현예에 있어서는, 비결정성의 GST 박막이 증착된다. 일부 구현예에 있어서, 비결정성 박막은, 질소와 같은 비활성 기체의 존재하에서 어닐링될 수 있다. 기재 및 박막은 어닐링 단계 동안 증착 온도보다 높은 온도에서 가열될 수도 있다. 바람직하게는, 어닐링 단계 동안의 기재 온도는 약 130 ℃ 보다 높다. 더욱 바람직하게는, 어닐링 단계 동안의 기재 온도는 약 250 ℃ 보다 높다. 가장 바람직하게는, 어닐링 단계 동안의 온도는 약 300 ℃ 보다 높다. 어닐링 단계는 박막의 결정도를 변화시킬 수 있다. 일부 구현예에 있어서, 비결정성 박막은 어닐링 단계 동안 결정화될 수 있다. 일부 구현예에 있어서, 결정성 GST 박막의 결정도는 어닐링 단계 동안에 변화할 수 있다.
Sb , Sb - Se , Ge - Se Ge - Sb 증착 사이클을 사용한 Ge - Sb - Se 의 원자 층 증착
일부 구현예에 따르면, GexSbySez 박막이, 복수의 증착 사이클을 포함하는 ALD 형 공정에 의하여, 기재 위에 형성된다.
일부 구현예에 있어서, Sb, Sb-Se, Ge-Se 및 Ge-Sb 증착 사이클이, 원하는 화학양론비 및 원하는 두께를 갖는 박막을 증착하기 위하여, 제공된다. 사이클의 구체적인 비율 및 순서는, 원하는 조성을 얻을 수 있도록 선택될 수 있다.
당업자가 인식할 수 있는 바와 같이, Sb, Sb-Se, Ge-Se 및 Ge-Sb 증착 사이클은 임의의 순서로 수행될 수 있다. 일부 구현예에 있어서 증착 공정은 Sb 증착 사이클로 시작하고, 다른 구현예에 있어서는 증착 공정은 Sb-Se 증착 사이클, Ge-Se 또는 Ge-Sb 사이클로 시작한다.
당업자가 인식할 수 있는 바와 같이, 복수의 Sb 증착 사이클이 Sb-Se 또는 Ge-Sb 사이클에 앞서 연속적으로 수행될 수 있고, 복수의 Sb-Se 증착 사이클이 그에 이어지는 Sb 또는 Ge-Sb 증착 사이클에 앞서 연속적으로 수행될 수 있으며, 복수의 Ge-Sb 증착 사이클이 그에 이어지는 Sb, Ge-Se 또는 Sb-Se 증착 사이클에 앞서 연속적으로 수행될 수 있다.
일부 구현예에 있어서, 본 명세서에서 설명되는 임의의 공정 조건이 사용될 수 있다. 예를 들면, 본 명세서에서 설명되는 반응물, 반응물 유속 및 농도, 온도, 등이 Sb, Ge-Sb, Sb-Se, 및 Ge-Se 증착 사이클에 사용될 수 있다.
일부 구현예에 있어서, 박막은 증착된 그 상태에서 결정성일 수 있다. 다른 구현예에 있어서는, 비결정성 박막이 증착된다. 일부 구현예에 있어서, 비결정성 박막은 질소와 같은 비활성 기체의 존재하에서 어닐링될 수 있다. 기재와 박막은 어닐링 단계 동안에 증착 온도보다 높은 온도에서 가열될 수도 있다. 바람직하게는, 어닐링 단계 동안의 기재 온도는 약 130 ℃ 보다 높다. 더욱 바람직하게는, 어닐링 단계 동안의 기재 온도는 약 250 ℃ 보다 높다. 가장 바람직하게는, 어닐링 단계 동안의 온도는 300 ℃ 보다 높다. 어닐링 단계는 박막의 결정도를 변화시킬 수 있다. 일부 구현예에 있어서, 비결정성 박막은 어닐링 단계 동안에 결정화될 수 있다. 일부 구현예에 있어서, 결정성 박막의 결정도는 어닐링 단계 동안에 변화할 수 있다.
Al - Sb 의 원자 층 증착
일부 구현예에 있어서, AlxSby 박막이 플라즈마를 사용하지 않은 채 ALD에 의하여 형성된다. Al-Sb 박막이 복수의 Al-Sb 증착 사이클을 포함하는 ALD 형 공정에 의하여 기재 위에 형성되며, 각각의 증착 사이클은 다음의 단계를 포함한다:
Al 전구체를 포함하는 제1 기상 반응물 펄스를 반응 챔버 내로 공급하여, Al 전구체의 약 하나의 분자 층 만을 기재 위에 형성하는 단계;
반응 챔버로부터 잉여의 제1 반응물을 제거하는 단계;
Sb 전구체를 포함하는 제2 기상 반응물 펄스를 반응 챔버로 공급하여, Sb 전구체가 기재 위의 Al 전구체와 반응하도록 하는 단계; 및
반응 챔버로부터 잉여의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
이는 Al-Sb 증착 사이클이라고 지칭될 수 있다. 각각의 Al-Sb 증착 사이클은 통상적으로 Al-Sb의 최대 약 하나의 모노레이어를 형성한다. Al-Sb 증착 사이클은 원하는 두께의 박막이 형성될 때까지 반복된다. 일부 구현예에 있어서, 약 10 Å 내지 약 2000 Å의 Al-Sb 박막이 형성된다.
AlxSby 박막의 x 및 y 값 및 조성은 달라질 수 있다. 일부 구현예에 있어서, x 및 y는 1 보다 작다. 일부 구현예에 있어서, x와 y의 합은 약 1과 같으며, x 및 y 값이 백분율로 표시되는 경우에는 약 100과 같다.
비록 예시된 Al-Sb 증착 사이클이 Al 전구체의 공급으로 시작하지만, 다른 구현예에서는 상기 증착 사이클은 Sb 전구체의 공급으로 시작한다.
일부 구현예에 있어서, 반응물 및 반응 부산물은, Al 또는 Sb 전구체의 흐름을 차단하고 질소 또는 아르곤과 같은 비활성 운반 기체는 계속 흐르게 함으로써, 반응챔버로부터 제거될 수 있다.
바람직하게는, Al 공급원은 AlX3 이며, 여기서 X는 할로겐 원소이다. 일부 구현예에 있어서, Al 공급원은 AlCl3 이다. 일부 구현예에 있어서, Al 공급원은, 트리메틸알루미늄(TMA)과 같은 알루미늄 알킬 화합물이다.
Sb 전구체는 본 명세서에서 설명되는 임의의 Sb 전구체일 수 있다. 바람직하게는, 제2 Sb 전구체는 Sb(SiR1R2R3)3 의 화학식을 가지며, 여기서 R1, R2, 및 R3 는 하나 이상의 탄소 원자를 포함하는 알킬기이다. R1, R2, 및 R3 알킬기는 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 선택될 수 있다.
Al-Sb 박막을 형성하는 동안의 기재 온도는, 바람직하게는 500 ℃ 보다 낮으며, 더욱 바람직하게는 350 ℃ 보다 낮으며, 더 더욱 바람직하게는 200 ℃ 아래이다. 비결정성 박막을 원하는 경우에, 상기 온도는 약 90 ℃ 이하로 더욱 낮추어질 수 있다. 일부 구현예에 있어서, 증착 온도는 약 80 ℃ 보다 아래이거나, 약 70 ℃ 보다 아래이거나, 또는 심지어 약 60 ℃ 보다 아래일 수 있다.
당업자는 최적의 반응물 기화 온도를 선택된 전구체의 특성에 기초하여 결정할 수 있다.
당업자는 최적의 반응물 펄스 시간을 일상적인 실험을 통하여, 선택된 전구체의 특성 및 증착되는 Al-Sb 박막의 원하는 특성에 기초하여, 결정할 수 있다.
바람직하게는, Al 및 Sb 반응물의 펄스 시간은 약 0.05 내지 약 10 초이며, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 퍼지 단계에서는 잉여의 반응물 및 반응 부산물이, 남아 있다면, 제거된다. 퍼지 단계는 바람직하게는 약 0.05 내지 약 10 초이며, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 배치 ALD 반응기와 같은 일부의 경우에는, 펄스 및 퍼지 시간은 더 많이 변할 수 있으며, 더 긴 펄스 시간이 분(minutes)의 규모로 사용될 수 있다.
일부 구현예에 있어서, 증착된 Al-Sb 박막은 비결정성이다. 일부 구현예에 있어서, 증착된 Al-Sb 박막은 결정성이다.
일부 구현예에 있어서, 증착된 Al-Sb 박막은 어닐링될 수 있다.
In - Sb 의 원자 층 증착
일부 구현예에 있어서, InxSby 박막이 플라즈마를 사용하지 않은 채 ALD에 의하여 형성된다. In-Sb 박막이 복수의 In-Sb 증착 사이클을 포함하는 ALD 형 공정에 의하여 기재 위에 형성되며, 각각의 증착 사이클은 다음의 단계를 포함한다:
In 전구체를 포함하는 제1 기상 반응물 펄스를 반응 챔버 내로 공급하여, In 전구체의 약 하나의 분자 층 만을 기재 위에 형성하는 단계;
반응 챔버로부터 잉여의 제1 반응물을 제거하는 단계;
Sb 전구체를 포함하는 제2 기상 반응물 펄스를 반응 챔버로 공급하여, Sb 전구체가 기재 위의 In 전구체와 반응하도록 하는 단계; 및
반응 챔버로부터 잉여의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
이는 In-Sb 증착 사이클이라고 지칭될 수 있다. 각각의 In-Sb 증착 사이클은 통상적으로 In-Sb의 최대 약 하나의 모노레이어를 형성한다. In-Sb 증착 사이클은, 원하는 두께의 박막이 형성될 때까지 반복된다. 일부 구현예에 있어서, 약 10 Å 내지 약 2000 Å의 In-Sb 박막이 형성된다.
InxSby 박막의 x 및 y 값 및 조성은 달라질 수 있다. 일부 구현예에 있어서, x 및 y는 1 보다 작다. 일부 구현예에 있어서, x와 y의 합은 약 1과 같으며, x 및 y 값이 백분율로 표시되는 경우에는 약 100과 같다.
비록 예시된 In-Sb 증착 사이클이 In 전구체의 공급으로 시작하지만, 다른 구현예에서는, 상기 증착 사이클이 Sb 전구체의 공급으로 시작한다.
일부 구현예에 있어서, 반응물 및 반응 부산물은, In 또는 Sb 전구체의 흐름은 차단하고 질소 또는 아르곤과 같은 비활성 운반 기체는 계속 흐르게 함으로써, 반응 챔버로부터 제거될 수 있다.
바람직하게는, In 공급원은 InX3 이며, 여기서 X는 할로겐 원소이다. 일부 구현예에 있어서, In 공급원은 InCl3 이다. 일부 구현예에 있어서, In 공급원은, 트리메틸인듐(TMI)과 같은 인듐 알킬 화합물이다. 일부 구현예에 있어서, In 공급원은, 인듐 아세틸아세토네이트(In(acac)3)와 같은 인듐 베타디케토네이트이다. 일부 구현예에 있어서, In 공급원은 InCp 또는 이것의 치환된 Cp-유도체이다.
Sb 전구체는 본 명세서에서 설명되는 임의의 Sb 전구체일 수 있다. 바람직하게는, 제2 Sb 전구체는 Sb(SiR1R2R3)3의 화학식을 가지며, 여기서 R1, R2, 및 R3 는 하나 이상의 탄소 원자를 포함하는 알킬기이다. R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 선택될 수 있다.
In-Sb 박막을 형성하는 동안의 기재 온도는, 바람직하게는 500 ℃ 보다 낮고, 더욱 바람직하게는 350 ℃ 보다 낮으며, 더 더욱 바람직하게는 200 ℃ 보다 낮다. 비결정성 박막을 원하는 경우에, 상기 온도는 약 90 ℃ 이하로 더욱 낮추어질 수 있다. 일부 구현예에 있어서, 증착 온도는 약 80 ℃보다 낮거나, 약 70 ℃ 보다 낮거나, 또는 심지어 약 60 ℃보다 낮을 수 있다.
당업자는 최적의 반응물 기화 온도를, 선택된 전구체의 특성에 기초하여, 결정할 수 있다.
당업자는 최적의 반응물 펄스 시간을, 선택된 전구체의 특성 및 증착되는 In-Sb 박막의 원하는 특성에 기초한 일상적인 실험을 통하여, 결정할 수 있다.
바람직하게는 In 및 Sb 반응물의 펄스 시간은 약 0.05 내지 약 10 초이며, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 퍼지 단계에서는, 잉여의 반응물 및 반응 부산물이, 남아 있다면, 제거된다. 퍼지 단계는 바람직하게는 약 0.05 내지 약 10 초이며, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 배치 ALD 반응기와 같은 몇몇의 경우에는, 펄스 및 퍼지 시간이 더 많게 달라질 수 있으며, 더 긴 펄스 시간이 분의 규모로 사용될 수 있다.
일부 구현예에 있어서, 증착된 In-Sb 박막은 비결정성이다. 일부 구현예에 있어서, 증착된 In-Sb 박막은 결정성이다.
일부 구현예에 있어서, 증착된 In-Sb 박막은 어닐링될 수 있다.
Ga - Sb 의 원자 층 증착
일부 구현예에 있어서, GaxSby 박막이, 플라즈마를 사용하지 않은 채 ALD에 의하여 형성된다. Ga-Sb 박막이 복수의 Ga-Sb 증착 사이클을 포함하는 ALD 형 공정에 의하여 기재 위에 형성되며, 각각의 증착 사이클은 다음의 단계를 포함한다:
Ga 전구체를 포함하는 제1 기상 반응물 펄스를 반응 챔버 내로 공급하여, Ga 전구체의 약 하나의 분자 층 만을 기재 위에 형성하는 단계;
반응 챔버로부터 잉여의 제1 반응물을 제거하는 단계;
Sb 전구체를 포함하는 제2 기상 반응물 펄스를 반응 챔버에 공급하여, Sb 전구체가 기재 위의 Ga 전구체와 반응하도록 하는 단계; 및
반응 챔버로부터 잉여의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
이는 Ga-Sb 증착 사이클이라고 지칭될 수 있다. 각각의 Ga-Sb 증착 사이클은 통상적으로 Ga-Sb의 최대 약 하나의 모노레이어를 형성한다. Ga-Sb 증착 사이클은 원하는 두께의 박막이 형성될 때까지 반복된다. 일부 구현예에 있어서, 약 10 Å 내지 약 2000 Å의 Ga-Sb 박막이 형성된다.
GaxSby 박막의 x 및 y 값 및 조성은 달라질 수 있다. 일부 구현예에 있어서, x 및 y는 1 보다 작다. 일부 구현예에 있어서, x와 y의 합은 약 1과 같으며, x 및 y 값이 백분율로 표시되는 경우에는 약 100과 같다.
비록 예시된 Ga-Sb 증착 사이클이 Ga 전구체의 공급으로 시작하지만, 다른 구현예에서는, 상기 증착 사이클이 Sb 전구체의 공급으로 시작한다.
일부 구현예에 있어서, 반응물 및 반응 부산물은, Ga 또는 Sb 전구체의 흐름은 차단하고 질소 또는 아르곤과 같은 비활성 운반 기체는 계속 흐르게 함으로써, 반응 챔버로부터 제거될 수 있다.
바람직하게는, Ga 공급원은 GaX3 이며, 여기서 X는 할로겐 원소이다. 일부 구현예에 있어서, Ga 공급원은 GaCl3 이다. 일부 구현예에 있어서, Ga 공급원은, 트리메틸갈륨(TMG)과 같은 갈륨 알킬 화합물이다. 일부 구현예에 있어서, Ga 공급원은, 갈륨 베타디케토네이트이다.
Sb 전구체는 본 명세서에서 설명되는 임의의 Sb 전구체일 수 있다. 바람직하게는, 제2 Sb 전구체는 Sb(SiR1R2R3)3의 화학식을 가지며, 여기서 R1, R2, 및 R3 는 하나 이상의 탄소 원자를 포함하는 알킬기이다. R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 선택될 수 있다.
Ga-Sb 박막을 형성하는 동안의 기재 온도는, 바람직하게는 500 ℃ 보다 낮고, 더욱 바람직하게는 350 ℃ 보다 낮으며, 더 더욱 바람직하게는 200 ℃ 보다 낮다. 비결정성 박막을 원하는 경우에, 상기 온도는 약 90 ℃ 이하로 더욱 낮추어질 수 있다. 일부 구현예에 있어서, 증착 온도는 약 80 ℃보다 낮거나, 약 70 ℃ 보다 낮거나, 또는 심지어 약 60 ℃보다 낮을 수 있다.
당업자는 최적의 반응물 기화 온도를, 선택된 전구체의 특성에 기초하여, 결정할 수 있다.
당업자는 최적의 반응물 펄스 시간을, 선택된 전구체의 특성 및 증착되는 Ga-Sb 박막의 원하는 특성에 기초한 일상적인 실험을 통하여, 결정할 수 있다.
바람직하게는 Ga 및 Sb 반응물의 펄스 시간은 약 0.05 내지 약 10 초이며, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 퍼지 단계에서는, 잉여의 반응물 및 반응 부산물이, 남아 있다면, 제거된다. 퍼지 단계는 바람직하게는 약 0.05 내지 약 10 초이며, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 배치 ALD 반응기와 같은 몇몇의 경우에는, 펄스 및 퍼지 시간이 더 많게 달라질 수 있으며, 더 긴 펄스 시간이 분의 규모로 사용될 수 있다.
일부 구현예에 있어서, 증착된 Ga-Sb 박막은 비결정성이다. 일부 구현예에 있어서, 증착된 Ga-Sb 박막은 결정성이다.
일부 구현예에 있어서, 증착된 Ga-Sb 박막은 어닐링될 수 있다.
Sb Co 를 포함하는 재료를 증착하기 위한 Sb 전구체를 사용하는 ALD 공정
CoSb3, CeFe4 - xCoxSb12 및 LaFe4 - xCoxSb12 와 같은 스쿠테루다이트 물질(skutterudites)의 열전 재료로서의 사용 가능성에 대하여 연구가 이루어지고 있다.
일부 구현예에 있어서, Co 및 Sb를 포함하는 박막이, 플라즈마를 사용하지 않은 채 ALD에 의하여 형성된다. Co 및 Sb를 포함하는 박막이 복수의 증착 사이클을 포함하는 ALD 형 공정에 의하여 기재 위에 형성되며, 각각의 증착 사이클은 다음의 단계를 포함한다:
Co 전구체를 포함하는 제1 기상 반응물 펄스를 반응 챔버 내로 공급하여, Co 전구체의 약 하나의 분자 층 만을 기재 위에 형성하는 단계;
반응 챔버로부터 잉여의 제1 반응물을 제거하는 단계;
Co 전구체를 포함하는 제2 기상 반응물 펄스를 반응 챔버에 공급하여, Sb 전구체가 기재 위의 Co 전구체와 반응하도록 하는 단계; 및
반응 챔버로부터 잉여의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
이는 Co-Sb 증착 사이클이라고 지칭될 수 있다. 각각의 Co-Sb 증착 사이클은 통상적으로 Co-Sb의 최대 약 하나의 모노레이어를 형성한다. Co-Sb 증착 사이클은 원하는 두께의 박막이 형성될 때까지 반복된다. 일부 구현예에 있어서, 약 10 Å 내지 약 2000 Å의 Co-Sb 박막이 형성된다.
CoxSby 박막의 x 및 y 값 및 조성은 달라질 수 있다. 일부 구현예에 있어서, x 및 y는 1 보다 작다. 일부 구현예에 있어서, x와 y의 합은 약 1과 같으며, x 및 y 값이 백분율로 표시되는 경우에는 약 100과 같다.
비록 예시된 Co-Sb 증착 사이클이 Co 전구체의 공급으로 시작하지만, 다른 구현예에서는, 상기 증착 사이클이 Sb 전구체의 공급으로 시작한다.
일부 구현예에 있어서, 반응물 및 반응 부산물은, Sb 또는 Co 전구체의 흐름은 차단하고 질소 또는 아르곤과 같은 비활성 운반 기체는 계속 흐르게 함으로써, 반응 챔버로부터 제거될 수 있다.
바람직하게는, Co 공급원은, Co(acac)2, Co(acac)3, Co(thd)2 또는 Co(thd)3 와 같은, Co-베타디케토네이트 또는 Co-아미디네이트이다.
Sb 전구체는 본 명세서에서 설명되는 임의의 Sb 전구체일 수 있다. 바람직하게는, 제2 Sb 전구체는 Sb(SiR1R2R3)3의 화학식을 가지며, 여기서 R1, R2, 및 R3 는 하나 이상의 탄소 원자를 포함하는 알킬기이다. R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 선택될 수 있다.
Co-Sb 증착 사이클에 포함될 수 있는 추가적인 물질 또는 도핑제는 Fe, La 또는 Ce과 같은 전이금속을 포함한다. 비제한적인 예를 들면, O, N, C, Si, Sn, Ag, Al, Ga, P, Fe, Ge, In, Ag, Se, Te 또는 Bi로 이루어진 군으로부터 선택되는 다른 도핑제도 박막에 도입될 수 있다.
Co-Sb 박막을 형성하는 동안의 기재 온도는, 바람직하게는 500 ℃ 보다 낮고, 더욱 바람직하게는 350 ℃ 보다 낮으며, 더 더욱 바람직하게는 200 ℃ 보다 낮다. 비결정성 박막을 원하는 경우에, 상기 온도는 약 90 ℃ 이하로 더욱 낮추어질 수 있다. 일부 구현예에 있어서, 증착 온도는 약 80 ℃보다 낮거나, 약 70 ℃ 보다 낮거나, 또는 심지어 약 60 ℃보다 낮을 수 있다.
당업자는 최적의 반응물 기화 온도를, 선택된 전구체의 특성에 기초하여, 결정할 수 있다.
당업자는 최적의 반응물 펄스 시간을, 선택된 전구체의 특성 및 증착되는 Co-Sb 박막의 원하는 특성에 기초한 일상적인 실험을 통하여, 결정할 수 있다.
바람직하게는 Co 및 Sb 반응물의 펄스 시간은 약 0.05 내지 약 10 초이며, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 퍼지 단계에서는, 잉여의 반응물 및 반응 부산물이, 남아 있다면, 제거된다. 퍼지 단계는 바람직하게는 약 0.05 내지 약 10 초이며, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 배치 ALD 반응기와 같은 몇몇의 경우에는, 펄스 및 퍼지 시간이 더 많게 달라질 수 있으며, 더 긴 펄스 시간이 심지어 분의 규모로 사용될 수 있다.
일부 구현예에 있어서, 증착된 Co-Sb 박막은 비결정성이다. 일부 구현예에 있어서, 증착된 Co-Sb 박막은 결정성이다.
일부 구현예에 있어서, 증착된 Co-Sb 박막은 어닐링될 수 있다.
Zn - Sb 증착을 위한 Sb 전구체를 사용하는 ALD 공정
ZnSb에 대해서도 열전 재료로서의 사용 가능성이 연구되어 왔다. 일부 구현예에 있어서, Zn-Sb를 포함하는 박막이, 플라즈마를 사용하지 않은 채 ALD에 의하여 형성된다. Zn-Sb 박막이 복수의 Zn-Sb 증착 사이클을 포함하는 ALD 형 공정에 의하여 기재 위에 형성되며, 각각의 증착 사이클은 다음의 단계를 포함한다:
Zn 전구체를 포함하는 제1 기상 반응물 펄스를 반응 챔버 내로 공급하여, Zn 전구체의 약 하나의 분자 층 만을 기재 위에 형성하는 단계;
반응 챔버로부터 잉여의 제1 반응물을 제거하는 단계;
Zn 전구체를 포함하는 제2 기상 반응물 펄스를 반응 챔버에 공급하여, Sb 전구체가 기재 위의 Zn 전구체와 반응하도록 하는 단계; 및
반응 챔버로부터 잉여의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
이는 Zn-Sb 증착 사이클이라고 지칭될 수 있다. 각각의 Zn-Sb 증착 사이클은 통상적으로 Zn-Sb의 최대 약 하나의 모노레이어를 형성한다. Zn-Sb 증착 사이클은 원하는 두께의 박막이 형성될 때까지 반복된다. 일부 구현예에 있어서, 약 10 Å 내지 약 2000 Å의 Zn-Sb 박막이 형성된다.
ZnxSby 박막의 x 및 y 값 및 조성은 달라질 수 있다. 일부 구현예에 있어서, x 및 y는 1 보다 작다. 일부 구현예에 있어서, x와 y의 합은 약 1과 같으며, x 및 y 값이 백분율로 표시되는 경우에는 약 100과 같다.
비록 예시된 Zn-Sb 증착 사이클이 Zn 전구체의 공급으로 시작하지만, 다른 구현예에서는, 상기 증착 사이클이 Sb 전구체의 공급으로 시작한다.
일부 구현예에 있어서, 반응물 및 반응 부산물은, Zn 또는 Sb 전구체의 흐름은 차단하고 질소 또는 아르곤과 같은 비활성 운반 기체는 계속 흐르게 함으로써, 반응 챔버로부터 제거될 수 있다.
바람직하게는, Zn 공급원은 ZnX2이며, 여기서 X는 할로겐 원소이다. 일부 구현예에 있어서, Zn 공급원은 ZnCl2이다. 일부 구현예에 있어서, Zn 공급원은, 디메틸징크 또는 디에틸징크와 같은 징크 알킬 화합물이다.
Sb 전구체는 본 명세서에서 설명되는 임의의 Sb 전구체일 수 있다. 바람직하게는, 제2 Sb 전구체는 Sb(SiR1R2R3)3의 화학식을 가지며, 여기서 R1, R2, 및 R3 는 하나 이상의 탄소 원자를 포함하는 알킬기이다. R1, R2, 및 R3 알킬기는, 휘발성, 증기압, 독성, 등과 같은 전구체의 원하는 물성에 기초하여 선택될 수 있다.
Zn-Sb 박막을 형성하는 동안의 기재 온도는, 바람직하게는 500 ℃ 보다 낮고, 더욱 바람직하게는 350 ℃ 보다 낮으며, 더 더욱 바람직하게는 200 ℃ 보다 낮다. 비결정성 박막을 원하는 경우에, 상기 온도는 약 90 ℃ 이하로 더욱 낮추어질 수 있다. 일부 구현예에 있어서, 증착 온도는 약 80 ℃보다 낮거나, 약 70 ℃ 보다 낮거나, 또는 심지어 약 60 ℃보다 낮을 수 있다.
당업자는 최적의 반응물 기화 온도를, 선택된 전구체의 특성에 기초하여, 결정할 수 있다.
당업자는 최적의 반응물 펄스 시간을, 선택된 전구체의 특성 및 증착되는 Zn-Sb 박막의 원하는 특성에 기초한 일상적인 실험을 통하여, 결정할 수 있다.
바람직하게는 Zn 및 Sb 반응물의 펄스 시간은 약 0.05 내지 약 10 초이며, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 퍼지 단계에서는, 잉여의 반응물 및 반응 부산물이, 남아 있다면, 제거된다. 퍼지 단계는 바람직하게는 약 0.05 내지 약 10 초이며, 더욱 바람직하게는 약 0.2 내지 약 4 초이다. 배치 ALD 반응기와 같은 몇몇의 경우에는, 펄스 및 퍼지 시간이 더 많게 달라질 수 있으며, 더 긴 펄스 시간이 심지어 분의 규모로 사용될 수 있다.
일부 구현예에 있어서, 증착된 Zn-Sb 박막은 비결정성이다. 일부 구현예에 있어서, 증착된 Zn-Sb 박막은 결정성이다.
일부 구현예에 있어서, 증착된 Zn-Sb 박막은 어닐링될 수 있다.
As , Bi , 및 P를 포함하는 5A족 전구체를 사용하는 ALD 공정
일부 구현예에 있어서, As, Bi, 또는 P를 포함하는 전구체가 본 명세서에서 설명되는 임의의 Sb 전구체를 대체할 수 있다. 일부 구현예에 있어서, As 전구체는 As(SiMe2 tBu)3, As(SiEt3)3, 또는 As(SiMe3)3이다. 일부 구현예에 있어서, Bi 전구체는 Bi(SiMe2 tBu)3, Bi(SiEt3)3, 또는 Bi(SiMe3)3이다. 일부 구현예에 있어서, P 전구체는 P(SiMe2 tBu)3, P(SiEt3)3, 또는 P(SiMe3)3이다.
일부 구현예에 있어서, As-Te, As-Se, In-As, Ga-As, In-Ga-As, As-S 및 Al-As를 포함하는 As를 포함하는 박막이 제조될 수 있다. 본 명세서에서 설명되는 임의의 전구체 및 화합물이 As 전구체와 함께 사용될 수 있다. 일부 바람직한 구현예에 있어서, As 전구체는 As(SiMe2 tBu)3, As(SiEt3)3, 또는 As(SiMe3)3이다.
일부 구현예에 있어서, 원소 Bi, Bi-Te, Bi-Se, In-Bi, Sb-Bi, Ga-Bi, 및 Al-Bi를 포함하는 Bi를 포함하는 박막이 제조될 수 있다. 본 명세서에서 설명되는 임의의 전구체 및 화합물이 Bi 전구체와 함께 사용될 수 있다. 일부 바람직한 구현예에 있어서, Bi 전구체는 Bi(SiMe2 tBu)3, Bi(SiEt3)3, 또는 Bi(SiMe3)3이다.
일부 구현예에 있어서, P-Te, P-Se, In-P, Ga-P, Cu-P 및 Al-P를 포함하는 P를 포함하는 박막이 제조될 수 있다. 본 명세서에서 설명되는 임의의 전구체 및 화합물이 P 전구체와 함께 사용될 수 있다. 일부 바람직한 구현예에 있어서, P 전구체는 P(SiMe2 tBu)3, P(SiEt3)3, 또는 P(SiMe3)3이다.
일부 구현예에 있어서, Ga-As 박막이 GaCl3 및 As(SiH3)3 를 전구체로서 사용하여 증착된다.
일부 구현예에 있어서, 3족-5족 화합물 반도체 박막을 포함하는 박막이 증착되어 (M1, M2, M3)(A1, A2, A3), 즉, M1 xM2 yM3 zA1 pA2 kA3 l 의 화학식을 갖게 되며, 여기서 M들은 Al, Ga 또는 In 으로부터 선택될 수 있으며, A들은 P, As, Sb로부터 선택될 수 있다. 일부 구현예에 있어서, 3족-5족 화합물 반도체 박막은, (Ga, Al)As, Ga(As, Sb) 또는 (Ga, In)As 박막과 같은 3성분 화합물이다. 일부 구현예에 있어서, 3족-5족 화합물 반도체 박막은, (Ga, In)(As, P) 박막 또는 (Al, In, Ga)P 박막과 같은 4성분 화합물 박막이다.
실시예 5
Ga-As 박막이, GaCl3 및 As(SiEt3)3를 전구체로서 사용하여, 증착되었다. 500회의 Ga-As 사이클을 사용하여, Ga-As를 자연 산화막을 갖는 실리콘 기판 위에 증착하였다. 반응 온도는 100 ℃ 및 120 ℃ 이었다. As(SiEt3)3 공급원 온도는 약 50 ℃ 내지 70 ℃ 이었다. GaCl3는 실온에서 유지되었다. 각각의 Ga-As 사이클은 다음을 포함한다:
0.5 초의 GaCl3 펄스;
2 초의 퍼지;
1 초의 As(SiEt3)3 펄스; 및
2 초의 퍼지.
형성된 Ga-As 박막들을 EDX로 분석한 결과 그 조성은 Ga0 .58As0 . 42 이었다. Ga0.58As0.42 박막의 성장 속도는 대체로 약 0.5 Å/사이클 내지 약 0.7 Å/사이클 이었다. EDX 분석 결과에서 또한, 어느 정도의 염소 및 산소가 증착된 Ga-As 박막에 존재한다는 것이 발견되었다. 주목할 점은, 본 공정들이 최적화된 것은 아니기 때문에, 어느 정도의 불순물의 존재는 그 다지 이상한 일은 아니라는 점이다.
N을 포함하는 전구체를 사용한 ALD 공정
일부 구현예에 있어서, N을 포함하는 전구체가, 본 명세서에서 설명된 Sb 전구체를 대체하여, ALD 사이클에 사용될 수 있다. 일부 구현예에 있어서, N을 포함하는 전구체는 N(SiMe2 tBu)3, N(SiH3)3, N(SiEt3)3, 또는 N(SiMe3)3 이다. 일부 구현예에 있어서, N을 포함하는 전구체는 N(SiMe3)H이다. 일부 구현예에 있어서, 본 명세서에서 설명되는 N을 포함하는 전구체는 N(SiH3)3 가 아니다.
일부 구현예에 있어서, N을 포함하는 전구체는 ALD 사이클에서 B, Al, Ga, 또는 In을 포함하는 전구체와 함께 사용되어 다음과 같은 박막을 증착할 수 있다: B-N, Al-N, Ga-N 및 In-N.
일부 구현예에 있어서, 3족-5족 화합물 반도체 박막을 포함하는 박막이 증착되어 (M1, M2, M3)N, 즉, M1 xM2 yM3 zN의 화학식을 가지며, 여기서, M은 Al, Ga 또는 In 중에서 선택될 수 있다. 일부 구현예에 있어서, 3족-5족 화합물 반도체 박막은 3성분 화합물을 포함하며, 예를 들면, (Ga,In)N, Ga(N,P), (Ga,Al)N 또는 (Al, In)N 박막일 수 있다. 일부 구현예에 있어서, 3족-5족 화합물 반도체 박막은 4성분 화합물을 포함하며, 예를 들면, (Ga,In)(N,P), (Ga, In)(As, N), (Al, In)(N, P) 또는 (Al, In, Ga)N 일 수 있다.
나노적층체
3종 이상의 원소를 갖는 물질(3성분계 또는 그 이상)의 조성을 제어하는 것은 어려울 수 있다. 그러나, ALD에 의하여 증착되는 2성분계 물질의 조성을 제어하는 것은 더 쉽다.
일부 구현예에 있어서, 복수의 ALD 사이클을 사용하여 제1 박막을 증착한 후, 이어서, 복수의 ALD 사이클을 사용하여 제1 박막과 다른 조성을 갖는 제2 박막을 형성할 수 있다. 일부 구현예에 있어서, 2회 이상의 사이클이, 제1 박막을 증착하는 데 사용된다. 일부 구현예에 있어서, 2회 이상의 사이클이, 제2 박막을 증착하는 데 사용된다.
얻어진 박막의 화학양론비는, 제2 사이클들의 비율을 변화시킴으로써 정확히 제어될 수 있다. 각 증착 층의 두께는, ALD 사이클 횟수의 선택에 의하여 제어될 수 있다.
일부 구현예에 있어서, 복수의 ALD 사이클을 사용하여 약 1 나노미터 이상의 제1 또는 제2 박막을 증착할 수 있다. 일부 구현예에 있어서, 바람직하게는, 약 1 내지 약 6 나노미터의 물질이 증착된다.
일부 구현예에 있어서, 복수개의 제1 및 제2 박막이 형성된다. 예를 들어, 제1 및 제2 사이클들을 교대로 수행하여 제1 및 제2 박막이 서로 교번하도록 형성할 수 있다.
일부 구현예에 있어서, 서로 다른 조성을 갖는 3개 이상의 박막을 사용하여 원하는 조성, 결정구조, 및 기타 물성을 갖는 박막을 형성할 수 있다.
제1 및 제2 박막은 본 명세서에서 설명되는 임의의 물질일 수 있다. 바람직하게는, 증착된 필름은 다음 중의 일종 이상을 포함할 수 있다: Sb, Sb-Te, GeTe, Ge-Sb-Te, Sb2Te3, Sb2Te, Ge-Sb, Ge2Sb2Se5, Bi-Te, Bi-Se, Zn-Te, ZnSe, CuInSe2, 및 Cu(In,Ga)Se2. 일부 구현예에 있어서, 비제한적인 예를 들면, O, N, C, Si, Sn, Ag, Al, Ga, P, Fe, Ge, In, Ag, Se, Te 또는 Bi로 이루어진 군으로부터 선택되는 일종 이상의 도핑제가 박막에 도입될 수 있다.
일부 구현예에 있어서, 상기 박막은 유전성 물질을 포함할 수 있다. 일부 구현예에 있어서, 유전성 물질은 SiO2, TiO2, Al2O3, ZrO2, 및 HfO2 를 포함할 수 있다. 일부 구현예에 있어서, 유전성 물질은, 본 명세서에서 개시되는 상 변화 물질과 조합되어 형성될 수 있다. 예를 들면, 일 구현예에 있어서, SiO2가 Ge-Sb-Te 박막과 함께 증착될 수 있다. 상 변화 물질을 갖는 유전층의 사용은, 증착된 박막의 결정화 온도, 비저항, 안정성 및 기타 특성을 조절할 수 있다.
당 기술분야에서 공지된 기타 증착 공정이 또한, 나노적층체용 물질을 증착하는데 사용될 수 있으며, 예를 들면, CVD, RTCVD, 등이 있다.
일부 구현예에 있어서, Sb-Te 및 Ge-Te 사이클을 사용하여 Sb2Te3 및 Ge-Te의 층들을 서로 교번하도록 증착할 수 있다. 얻어진 박막의 화학양론비는, Sb-Te 및 Ge-Te 사이클들의 비율을 변화시킴으로써, 정확하게 조절될 수 있다. 각 증착 층의 두께는, Sb-Te 및 Ge-Te 사이클들의 횟수를 선택함으로써, 제어될 수 있다.
일부 구현예에 있어서, Sb, Sb-Te, 및 Ge-Te 사이클을 사용하여, Sb, Sb2Te3, 및 Ge-Te의 층들을 서로 교번하도록 증착할 수 있다.
일부 구현예에 있어서, Sb-Se 및 Ge-Se 사이클을 사용하여 Sb2Se3 및 Ge-Se의 층들을 서로 교번하도록 증착할 수 있다.
일부 구현예에 있어서, Sb, Sb-Se, 및 Ge-Se 사이클을 사용하여 Sb, Sb2Se3, 및 Ge-Se의 층들을 서로 교번하도록 증착할 수 있다.
일부 구현예에 있어서, 박막은 증착된 그 상태에서 결정성이다. 일부 구현예에 있어서, 박막은 증착된 그 상태에서 비결정성이다.
일부 구현예에 있어서, 박막은, 질소와 같은 비활성 기체의 존재하에서 어닐링될 수 있다. 일부 구현예에서, 어닐링은 증착된 박막의 결정구조를 바꿀 수 있다.
나노적층 박막은 벌크 박막에 비하여 매우 우수한 특성을 가질 수 있다. 예를 들면, 개별 층의 두께가 2 ~ 6 nm인 나노적층체는, 전체 두께가 동일한 벌크 GST 박막에 비하여, 더 낮은 프로그래밍 전류(programming currents) 및 더 빠른 작동 시간(working times)을 보일 수 있다.
실시예 6
GeTe/Sb2Te3 (GT/ST)-나노적층체 시료가 80℃에서 총 1000회의 사이클을 적용하여 제조되었다. 사용된 사이클 순서는 다음과 같다: (A) 250×(2GT+2ST), (B) 100×(5GT+5ST), (C) 50×(10GT+10ST), (D) 10×(50GT+50ST), (E) 5×(100GT+100ST) 및 (F) 2×(250GT+250ST). 또한, 기준시료로 사용될 GST 박막을 500×(GT+ST)의 순서를 사용하여 제조하였다. 증착된 그 상태에서, 박막 D, E 및 F는 결정성이었으며 큐빅(cubic) 구조를 가졌다. 다른 모든 박막들은 비결정성이었다. 그 조성을 EDX로 분석하였다. 도 11은, 박막의 조성을 적용된 서브사이클의 함수로서 보여준다. 여기서 알 수 있는 바와 같이, 서브사이클의 양이 증가할수록, Sb 함량은 감소하고 Ge 함량은 증가한다.
나노적층체 시료와 더불어 GeTe, Sb2Te3 및 GST 시료의 고유저항을, N2 흐름하에서 여러온도에서의 어닐링 후에, 측정하였다(도 12 참조). 결정성 시료는, 예상한 바와 같이, 이미 고 전도도 상태이었다. 비결정성 나노적층체 시료들은 125 ~ 150 ℃에서 결정화하였고, 그에 따라, 그것들의 고유저항의 규모가 10의 4 ~ 5 제곱만큼 감소하였다. GeTe 시료의 결정화 온도는 150 ~ 175 ℃이었다.
고온 XRD(HTXRD) 측정을, 405 ℃까지의 온도에서, 질소분위기에서, 시료 A ~ E에 대하여 수행하였다. 시료 D 및 E의 큐빅 구조는 상기 측정 동안에 유지되었다. 서브사이클의 양이 더 작음에 따라 초기에 비결정성이던 시료 A ~ C는 150 ℃에서 결정화하여 큐빅 상이 되었고, 이어서, 350 ℃에서 안정한 육방정 상이 되었다(도 13a 및 13b).
결과적으로, 나노적층체를 사용함으로써, 박막의 조성, 결정구조 및 고유저항을 맞춤 재단할 수 있다.
실온으로부터 405 ℃까지의 HTXRD 측정을 시료 D(도 13a) 및 시료 C(도 13b)에 대하여 수행하였다. 스캔 번호 1 ~ 20은 실온으로부터 405 ℃까지의 가열을 나타내고, 스캔 번호 21 ~ 30은 온도가 실온으로 다시 떨어지는 냉각을 나타낸다.
5A 족 전구체의 합성
본 명세서에서 설명되는 ALD 공정에서 사용되는 5A족 전구체의 제조방법이 또한 제공된다. 일부 구현예에 있어서, 5A족 원소는 As, Sb, Bi, 또는 P이다. 일부 구현예에 있어서, 합성된 전구체는 L(SiR1R2R3)3의 화학식을 가지며, 여기서, L은 As, Sb, Bi, 또는 P이고, R1, R2, 및 R3는 바람직하게는 하나 이상의 탄소 원자를 갖는 알킬기이다. 일부 구현예에 있어서, 합성된 5A족 전구체는 L(SiMe3)3의 화학식을 가지고, 다른 구현예에서는, L(SiEt3)3의 화학식을 가지며, 여기서 L은 As, Sb, Bi, 또는 P이다.
특히, 합성된 Sb 전구체는 Sb(SiR1R2R3)3의 화학식을 가질 수 있으며, 여기서 R1, R2, 및 R3는 바람직하게는 하나 이상의 탄소 원자를 갖는 알킬기이다. 일부 구현예에 있어서, 합성된 Sb 전구체는 Sb(SiMe3)3이고, 다른 구현예에서는 Sb(SiEt3)3이다.
도 10은 Sb 전구체 제조방법을 개략적으로 도시하는 플로우차트이다. 일부 구현예에 있어서, Sb 전구체 제조방법은 다음의 단계를 포함한다:
1A족 금속을 Sb를 포함하는 화합물과, 바람직하게는 원소상태의 Sb와, 반응시켜 제1 생성물을 형성하는 단계; 및
이어서, R1R2R3SiX를 포함하는 제2 반응물을 제1 생성물에 첨가하여, Sb(SiR1R2R3)3를 형성하는 단계로서, 여기서, R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이고 X는 할로겐 원자인, 단계.
일부 구현예에 있어서, Li, Na, K, 등과 같은 1A족 원소상태 금속은, 원소상태의 Sb와 결합한다. 바람직하게는, 1A족 원소는 분말 또는 박편의 형태로 제공되고, 원소상태 Sb는 금속분말의 형태로 제공된다.
일부 구현예에 있어서, 용매가 1A족 금속 및 Sb에 첨가된다. 용매는 바람직하게는 탄화수소이며, 방향족 또는 비방향족일 수 있고, 적합한 끓는점을 가지며, 구체적인 예를 들면, 테트라하이드로퓨란(THF, (CH2)4O), 또는 디메톡시에탄(DME, CH3OCH2CH2OCH3)일 수 있다. 바람직하게는, 나프탈렌(C10H8)이 상기 혼합물에 첨가된다. 이 경우 나프탈렌은, 예를 들어, 1A족 금속의 용해도를 촉진할 수 있으며, 그에 따라, Sb가 환원되는 것 또한 촉진할 수 있다. 일부 구현예에 있어서, 상기 반응을 촉진하기 위하여 나프탈렌 대신에 암모니아가 사용될 수 있다. 일부 구현예에 있어서, 용매는 톨루엔 또는 크실렌이다. 일부 구현예에 있어서, 용매는 적합한 끓는점을 갖는 에테르이다.
일부 구현예에 있어서, 상기 혼합물을 가열하면서, 환류 응축기를 사용하여 용액을 아르곤과 같은 비활성 기체 분위기 하에서 반응이 완료될 때까지 환류시킨다. 원하는 온도까지 가열된 압력 용기가, 환류 응축기 대신에 사용될 수도 있다. 원하는 중간 생성물이 형성된 후에, 용액은 냉각될 수 있다.
일부 구현예에 있어서, 그 다음, 실리콘 함유 화합물이 상기 혼합물에 첨가된다. 바람직하게는, 실리콘 함유 화합물은 R1R2R3SiX의 화학식을 가지며, 여기서 R1, R2, 및 R3는 바람직하게는 하나 이상의 탄소 원자를 갖는 알킬기이고 X는 바람직하게는 할로겐 원자이다. R1, R2, 및 R3는, 증기압, 녹는점, 등과 같은 최종 제품의 원하는 전구체 특성에 기초하여 선택될 수 있다. 일부 구현예에 있어서, R1, R2, 및 R3는 모두 동일한 기일 수 있다. 다른 구현예에 있어서, R1, R2, 및 R3는 모두 서로 다른 기일 수 있다. 일부 구현예에 있어서, R1, R2, 및 R3는 모두 에틸기(Et)이다. 일부 구현예에 있어서, R1, R2, 및 R3는 모두 메틸기(Me)이다. 다른 구현예에 있어서, R1 및 R2는 메틸기이고 R3는 터트부틸기이다(Me2 tBu). 일부 구현예에 있어서, X는 Cl이다. 일부 바람직한 구현예에 있어서, 실리콘 함유 화합물은 Et3SiCl 또는 Me3SiCl의 화학식을 갖는다.
상기 혼합물은 반응이 완료될 때까지 연속적으로 교반된다. 일부 구현예에 있어서, 상기 혼합물은, 반응이 완료될 때까지, 비활성 기체 분위기 하에서 환류되거나 가열된다. 반응이 실질적으로 종료된 후에, 최종 제품은, 임의의 용매, 부생성물, 과잉의 반응물, 또는 최종 제품에서 원하지 않는 임의의 기타 화합물로부터 분리 및 단리된다. 최종 제품은, 표준 온도 및 압력에서 고체 또는 액체일 수 있다.
Sb 전구체 제조를 위하여 다른 방법이 사용될 수도 있다. 일부 구현예에 있어서, (R3Si)3Sb 화합물은, R3SiH와 SbR3 화합물을 반응시켜서 제조될 수 있다. 일부 구현예에 있어서, (SiR3)3Sb 화합물은, R3SiLi와 SbCl3 화합물을 반응시켜서 제조될 수 있다.
실시예 7
Sb(SiMe3)3를 다음의 공정으로 제조하였다. 먼저, 2.02 g의 나트륨을 200 ml의 건조 THF에 첨가하였다. 3.56 g의 Sb 분말 및 0.1 g (5.47 mmol)의 나프탈렌을, 350 ml 쉴렝크병(Schlenk bottle)에 들어 있는 상기 나트륨과 THF 혼합물에 첨가하였다. 이렇게 얻어진 혼합물을, 48 시간 동안, 교반하면서 환류하였다. 그 다음, 이 혼합물을 실온까지 냉각하였다.
그 다음, 9.55 g의 Me3SiCl을 상기 혼합물에 첨가하였다. 이렇게 얻어진 혼합물을, 48 시간 동안, 교반하면서 환류하였다. 진공을 사용하여 미반응 Me3SiCl 및 용매를 제거하였다. 이 혼합물의 여과를 용이하게 하기 위하여, 100 ml의 톨루엔을 이 혼합물에 첨가하였다. 그 다음, 이렇게 얻은 톨루엔 용액을 여과하였다. 최종 제품을 포함하는 여과액으로부터, 용매 및 휘발성 불순물을 진공을 사용하여 제거하였다.
회수된 제품의 무게는 약 2.5 g이었으며, 이로부터 계산된 반응 효율은 약 25%이었다. 이 제품의 조성을 핵자기공명(NMR) 및 질량분석법(MS)으로 밝혀낸 결과 Sb(SiMe3)3 이었다. 생성된 Sb(SiMe3)3는, 2 Torr의 압력에서 약 88 ℃의 끓는점을 가졌다.
실시예 8
Sb(SiEt3)3를, 실시예 7에서 설명된 것과 유사한 방법으로, 제조하였다. 먼저, 0.45 g의 리튬을 300 ml의 건조 THF에 2.60 g의 Sb 분말 및 0.1 g의 나프탈렌과 함께 첨가하였으며, 이때, 사용된 용기는 350 ml 쉴렌크병(Schlenk bottle)이었다. 이렇게 얻은 혼합물을 48 시간 동안 교반하면서 환류하였다. 그 다음, 이 혼합물을 실온까지 냉각하였다.
그 다음, 9.68 g의 Et3SiCl를 이 혼합물에 첨가하였다. 이 혼합물을 48 시간 동안 환류하였다. 진공을 사용하여 미반응 Et3SiCl 및 미반응 용매를 제거하였다. 이 혼합물의 여과를 용이하게 하기 위하여, 100 ml의 톨루엔을 이 혼합물에 첨가하였다. 그 다음, 이렇게 얻은 톨루엔 용액을 여과하였다. 최종 제품을 포함하는 여과액으로부터, 용매 및 휘발성 불순물을 진공을 사용하여 제거하였다.
회수된 제품의 무게는 약 0.5 g이었으며, 이로부터 계산된 반응 효율은 약 5%이었다. 이 제품의 조성을 핵자기공명(NMR) 및 질량분석법(MS)으로 밝혀낸 결과 Sb(SiEt3)2이었다. 생성된 Sb(SiEt3)2는, 1 Torr의 압력에서 약 148~153 ℃의 끓는점을 가졌다.
실시예 9
Sb(SiEt3)3를, 실시예 7에서 설명된 것과 유사한 방법으로, 제조하였다. 먼저, 4.2 g의 나트륨을 200 ml의 건조 DME(dimethoxyethane, CH3OCH2CH2OCH3)에 7.4 g의 Sb 분말 및 0.4 g의 나프탈렌과 함께 첨가하였으며, 이때, 사용된 용기는 600 ml 쉴렌크병이었다. 이렇게 얻은 혼합물을 대략 70 시간 동안 교반하면서 환류하였다. 그 다음, 이 혼합물을 실온까지 냉각하였다.
그 다음, 30.0 g의 Et3SiCl를 이 혼합물에 첨가하였다. 이 혼합물을 96 시간 동안 환류하였다. 진공을 사용하여 미반응 Et3SiCl 및 용매를 제거하였다. 이 혼합물의 여과를 용이하게 하기 위하여, 100 ml의 헥산을 이 혼합물에 첨가하였다. 그 다음, 이렇게 얻은 헥산 용액을 여과하였다. 그 다음, 최종 제품을 포함하는 여과액을 증발시켜 건조하였다.
회수된 제품의 무게는 약 21.3 g이었으며, 반응 효율은 77.5%이었다. 이 제품의 조성을 핵자기공명(NMR) 및 질량분석법(MS)으로 밝혀낸 결과 Sb(SiEt3)3이었다. 생성된 Sb(SiEt3)3는, 1 Torr의 압력에서 약 150 ℃의 끓는점을 가졌다.
실시예 10
As(SiEt3)3를, 실시예 7에서 설명된 것과 유사한 방법으로, 제조하였다. 먼저, 0.86 g의 나트륨을 150 ml의 건조 DME(dimethoxyethane, CH3OCH2CH2OCH3)에 0.89 g의 As 분말 및 0.1 g의 나프탈렌과 함께 첨가하였으며, 이때, 사용된 용기는 350 ml 쉴렌크병이었다. 이렇게 얻은 혼합물을 대략 24 시간 동안 교반하면서 환류하였다. 그 다음, 이 혼합물을 -10 ℃까지 냉각하였다.
그 다음, 6.0 g의 Et3SiCl를 이 혼합물에 첨가하였다. 이 혼합물을 24 시간 동안 환류하였다. 진공을 사용하여 미반응 Et3SiCl 및 용매를 제거하였다. 이 혼합물의 여과를 용이하게 하기 위하여, 100 ml의 헥산을 이 혼합물에 첨가하였다. 그 다음, 이렇게 얻은 헥산 용액을 여과하였다. 최종 제품을 포함하는 여과액으로부터, 용매 및 휘발성 불순물을 진공을 사용하여 제거하였다.
회수된 제품의 무게는 약 2.8 g이었으며, 반응 효율은 56%이었다. 이 제품의 조성을 핵자기공명(NMR) 및 질량분석법(MS)으로 밝혀낸 결과 As(SiEt3)3이었다.
실시예 11
Bi(SiEt3)3를, 실시예 7에서 설명된 것과 유사한 방법으로, 제조하였다. 먼저, 0.76 g의 나트륨을 100 ml의 건조 DME(dimethoxyethane, CH3OCH2CH2OCH3)에 2.31 g의 Bi 분말 및 0.1 g 의 나프탈렌과 함께 첨가하였으며, 이때, 사용된 용기는 350 ml 쉴렌크병이었다. 이렇게 얻은 혼합물을 대략 24 시간 더하기 4 일 동안 실온에서 교반하면서 환류하였다. 그 다음, 이 혼합물을 -10 ℃까지 냉각하였다.
그 다음, 5.25 g의 Et3SiCl를 이 혼합물에 첨가하였다. 이 혼합물을 24 시간 동안 환류하였다. 진공을 사용하여 미반응 Et3SiCl 및 용매를 제거하였다. 이 혼합물의 여과를 용이하게 하기 위하여, 100 ml의 헥산을 이 혼합물에 첨가하였다. 그 다음, 이렇게 얻은 헥산 용액을 여과하였다. 최종 제품을 포함하는 여과액으로부터, 용매 및 휘발성 불순물을 진공을 사용하여 제거하였다.
회수된 제품의 무게는 약 3.2 g이었으며, 반응 효율은 52%이었다. 이 제품의 조성을 핵자기공명(NMR) 및 질량분석법(MS)으로 확인한 결과 Bi(SiEt3)3이었다.
5A족 원소를 포함하는 전구체 및 합성
(R3Si)3As, (R3Si)3P, 및 (R3Si)3Bi와 같은, As, Bi 및 P를 포함하는 전구체의 합성에 있어서, Sb에 대하여 본 명세서에서 설명된 것과 유사한 방법이 사용될 수 있다.
Sn에 결합된 N을 포함하는 전구체를 합성하는 방법은, 예를 들면, "Sisido et al. Journal of Organic Chemistry (1964), 29(4), 907-9" 및 "Lehn et al. Journal of the American Chemical Society (1964), 86(2), 305"에서 찾아볼 수 있다.
당업자가 인식할 수 있는 바와 같이, 다양한 변형 및 변화가 본 발명의 범위를 벗어나지 않은 채 이루어질 수 있다. 유사한 기타 변형 및 변화가 본 발명의 범위 내에 들어오는 것으로 의도되며, 본 발명의 범위는 첨부된 청구항에 의하여 정의된다.

Claims (99)

  1. 반응 챔버 내에서 기재 위에 Sb-함유 박막을 형성하기 위한 원자 층 증착 방법으로서, 상기 방법은 복수의 Sb 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함하는 원자 층 증착 방법:
    제1 기상 반응물의 펄스를 상기 반응 챔버 내로 공급하여 상기 기재 위에 상기 반응물의 약 하나의 분자 층만을 형성하는 단계;
    상기 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계;
    제2 기상 반응물의 펄스를 상기 반응 챔버로 공급하여 상기 제2 기상 반응물이 상기 기재 위의 상기 제1 반응물과 반응하도록 함으로써 Sb 함유 박막을 형성하는 단계로서, 상기 제2 기상 반응물이 Sb(SiR1R2R3)3를 포함하고, 여기서 R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인 단계; 및
    상기 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
  2. 제 1 항에 있어서, 상기 제1 기상 반응물이 안티몬을 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 상기 제1 기상 반응물이 안티몬 할로겐화물인 것을 특징으로 하는 방법.
  4. 제 1 항에 있어서, 상기 제1 기상 반응물이 알코올, 물 또는 오존이 아닌 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서, 상기 제1 기상 반응물이 플라즈마가 아닌 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 상기 제1 기상 반응물이 환원성 반응물(reducing reactant)이 아닌 것을 특징으로 하는 방법.
  7. 제 1 항에 있어서, 상기 제2 기상 반응물이 Sb(SiEt3)3인 것을 특징으로 하는 방법.
  8. 제 1 항에 있어서, 상기 제2 기상 반응물이 Sb(SiMe3)3인 것을 특징으로 하는 방법.
  9. 제 1 항에 있어서, 상기 반응물 공급 단계들 동안에 상기 기재의 온도가 약 150 ℃ 미만인 것을 특징으로 하는 방법.
  10. 제 1 항에 있어서, 상기 방법이, 다음의 단계를 포함하는 Sb-Te 증착 사이클을 더 포함하는 것을 특징으로 하는 방법:
    Sb 전구체를 포함하는 제1 기상 반응물의 펄스를 상기 반응 챔버 내로 공급하여 상기 기재 위에 상기 반응물의 약 하나의 분자 층만을 형성하는 단계;
    상기 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계;
    Te 전구체를 포함하는 제2 기상 반응물 펄스를 상기 반응 챔버로 공급하여 상기 Te 전구체가 상기 기재 위의 상기 Sb 전구체 반응하도록 하는 단계로서, 상기 Te 전구체가 Te(SiR1R2R3)2의 화학식을 가지며, 여기서 R1, R2 및 R3가 알킬기인 단계; 및
    상기 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
  11. 제 10 항에 있어서, 상기 Sb 증착 사이클 대 상기 Sb-Te 증착 사이클의 비율이, 원하는 조성을 갖는 Sb-Te를 포함하는 박막이 증착되도록, 선택되는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서, 상기 증착된 Sb-Te 박막이 SbxTey의 화학식을 가지며, 여기서 x는 약 2이고 y는 3 보다 작은 것을 특징으로 하는 방법.
  13. 제 10 항에 있어서, 상기 Te 전구체가 Te(SiEt3)2인 것을 특징으로 하는 방법.
  14. 제 10 항에 있어서, 상기 Sb-Te 증착 사이클에서의 상기 Sb 전구체가 SbCl3인 것을 특징으로 하는 방법.
  15. 제 1 항에 있어서, 상기 방법이, 다음의 단계를 포함하는 Ge-Sb 증착 사이클을 더 포함하는 것을 특징으로 하는 방법:
    Ge 전구체를 포함하는 제1 기상 반응물 펄스를 상기 반응 챔버 내로 공급하여 상기 기재 위에 상기 Ge 전구체의 약 하나의 분자 층만을 형성하는 단계;
    상기 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계;
    Sb 전구체를 포함하는 제2 기상 반응물 펄스를 상기 반응 챔버로 공급하여 상기 Sb 전구체가 상기 기재 위의 상기 Ge 전구체 반응하도록 하는 단계; 및
    상기 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
  16. 제 15 항에 있어서, 상기 Sb 증착 사이클 대 상기 Ge-Sb 증착 사이클의 비율이, 원하는 조성을 갖는 Ge-Sb 박막이 증착되도록 선택되는 것을 특징으로 하는 방법.
  17. 제 16 항에 있어서, 상기 증착된 Ge-Sb 박막이 GexSby의 화학식을 가지며, 여기서 x는 약 5 내지 약 20이고 y는 약 80 내지 약 95인 것을 특징으로 하는 방법.
  18. 제 15 항에 있어서, 상기 Ge 전구체가 GeBr2인 것을 특징으로 하는 방법.
  19. 제 15 항에 있어서, 상기 Ge 전구체가 GeCl2-C4H8O2인 것을 특징으로 하는 방법.
  20. 제 15 항에 있어서, 상기 Ge-Sb 증착 사이클에서의 상기 Sb 전구체가 Sb(SiR1R2R3)3를 포함하며, 여기서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인 것을 특징으로 하는 방법.
  21. 제 10 항에 있어서, 상기 방법이 Ge 전구체 및 Sb 전구체의 교번 및 순차 펄스를 포함하는 Ge-Sb 사이클을 더 포함하고, 상기 Sb 전구체는 Sb(SiR1R2R3)3을 포함하며, 여기서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인 것을 특징으로 하는 방법.
  22. 제 21 항에 있어서, 상기 박막이 Ge-Sb-Te를 포함하는 것을 특징으로 하는 방법.
  23. 제 22 항에 있어서, 상기 Sb 증착 사이클, Ge-Sb 증착 사이클, 및 Sb-Te 증착 사이클 사이의 비율이, 원하는 조성을 갖는 Ge-Sb-Te를 포함하는 박막이 증착되도록 선택되는 것을 특징으로 하는 방법.
  24. 제 22 항에 있어서, 상기 박막이 Ge3Sb6Te5를 포함하는 것을 특징으로 하는 방법.
  25. 제 22 항에 있어서, 상기 박막이 Ge2Sb2Te5를 포함하는 것을 특징으로 하는 방법.
  26. 반응 챔버에서 기재 위에 Ge-Sb-Te 박막을 다음의 단계를 포함하는 ALD 공정에 의하여 형성하는 방법:
    복수의 Sb 증착 사이클로서, 각각의 사이클은 제1 전구체 및 제2 Sb 전구체의 교번 및 순차 펄스를 포함하고, 상기 제2 Sb 전구체는 Sb(SiR1R2R3)3를 포함하며, 여기서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인, 복수의 Sb 증착 사이클;
    복수의 Te 함유 증착 사이클로서, 각각의 사이클은 제3 전구체 및 Te를 포함하는 제4 전구체의 교번 및 순차 펄스를 포함하는, 복수의 Te 함유 증착 사이클; 및
    복수의 Ge 함유 증착 사이클로서, 각각의 사이클은 제5 전구체 및 Ge를 포함하는 제6 전구체의 교번 및 순차 펄스를 포함하는, 복수의 Ge 함유 증착 사이클.
  27. 제 26 항에 있어서, 상기 제1 전구체가 알코올, 물 또는 오존이 아닌 것을 특징으로 하는 방법.
  28. 제 26 항에 있어서, 상기 제1 기상 반응물이 환원성 반응물(reducing reactant)이 아닌 것을 특징으로 하는 방법.
  29. 제 26 항에 있어서, 상기 제1 전구체가 안티몬 할로겐화물인 것을 특징으로 하는 방법.
  30. 제 26 항에 있어서, 상기 제3 전구체가 안티몬 할로겐화물인 것을 특징으로 하는 방법.
  31. 제 26 항에 있어서, Ge를 포함하는 상기 제6 전구체가 게르마늄 할로겐화물인 것을 특징으로 하는 방법.
  32. 제 26 항에 있어서, Te를 포함하는 상기 제4 전구체가 Te(SiR1R2R3)2를 포함하며, 여기서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인 것을 특징으로 하는 방법.
  33. 제 26 항에 있어서, 상기 제1 전구체가 안티몬 할로겐화물이고, 상기 제3 전구체가 안티몬 할로겐화물이고, Ge를 포함하는 상기 제6 전구체가 게르마늄 할로겐화물이며, Te를 포함하는 상기 제4 전구체가 Te(SiR1R2R3)2를 포함하며, 여기서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인 것을 특징으로 하는 방법.
  34. 제 26 항에 있어서, 상기 제1, 제2, 제3, 제4, 제5 및 제6 반응물이 모두 서로 다른 화합물인 것을 특징으로 하는 방법.
  35. 제 26 항에 있어서, Sb(SiR1R2R3)3를 포함하는 상기 제2 Sb 전구체가 Sb(SiEt3)3인 것을 특징으로 하는 방법.
  36. 제 26 항에 있어서, Sb(SiR1R2R3)3를 포함하는 상기 제2 Sb 전구체가 Sb(SiMe3)3인 것을 특징으로 하는 방법.
  37. 제 26 항에 있어서, 상기 Sb, Te 함유 및 Ge 함유 증착 사이클들의 비율이, 원하는 조성을 갖는 GexSbyTez를 포함하는 박막이 증착되도록 선택되는 것을 특징으로 하는 방법.
  38. 제 26 항에 있어서, 상기 제1 및 제3 전구체가 SbCl3인 것을 특징으로 하는 방법.
  39. 제 26 항에 있어서, Te를 포함하는 상기 제4 전구체가 Te(SiEt3)2 또는 Te(SiMe3)2인 것을 특징으로 하는 방법.
  40. 제 26 항에 있어서, Ge를 포함하는 상기 제6 전구체가 GeCl2-C4H8O2인 것을 특징으로 하는 방법.
  41. 제 26 항에 있어서, Ge-Sb-Te를 포함하는 상기 박막이, O, N, Si, S, In, Ga, Ag, Sn, Au, As, Bi, Zn, Se, Te, Ge, Sb, 및 Mn을 포함하는 1종 이상의 도핑제로 도핑되는 것을 특징으로 하는 방법.
  42. ALD 공정에 의하여 반응 챔버에서 기재 위에 Ge-Sb-Se 박막을 형성하는 방법으로서, 다음 단계를 포함하는 형성 방법:
    복수의 Sb 증착 사이클로서, 각각의 사이클이 제1 전구체 및 제2 Sb 전구체의 교번 및 순차 펄스를 포함하며, 상기 제2 Sb 전구체는 Sb(SiR1R2R3)3를 포함하며, 여기서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인, 복수의 Sb 증착 사이클;
    복수의 Se 함유 증착 사이클로서, 각각의 사이클은 제3 전구체 및 Se를 포함하는 제4 전구체의 교번 및 순차 펄스를 포함하는, 복수의 Se 함유 증착 사이클; 및
    복수의 Ge 함유 증착 사이클로서, 각각의 사이클은 제5 전구체 및 Ge를 포함하는 제6 전구체의 교번 및 순차 펄스를 포함하는, 복수의 Ge 함유 증착 사이클.
  43. 다음의 단계를 포함하는 Sb 전구체 제조방법:
    1A족 금속을 Sb를 포함하는 화합물과 반응시켜 제1 생성물을 형성하는 단계; 및
    이어서, R1R2R3SiX를 포함하는 제2 반응물을 상기 제1 생성물과 결합하여, Sb(SiR1R2R3)3의 화학식을 갖는 화합물을 형성하는 단계이며, 여기서 R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이고 X는 할로겐 원자인 단계.
  44. 제 43 항에 있어서, DME, THF, 톨루엔, 및/또는 크실렌이 상기 제1 생성물 형성 반응에서 용매로서 사용되는 것을 특징으로 하는 방법.
  45. 제 43 항에 있어서, 상기 제1 생성물 형성 단계가 DME 또는 THF를 용매로서 사용하고 나프탈렌을 촉매로서 사용하는 단계를 포함하는 것을 특징으로 하는 방법.
  46. 제 43 항에 있어서, 상기 제2 반응물이 Et3SiCl을 포함하고, Sb(SiEt3)3이 형성되는 것을 특징으로 하는 방법.
  47. 제 43 항에 있어서, 상기 제2 반응물이 Me3SiCl을 포함하고, Sb(SiMe3)3이 형성되는 것을 특징으로 하는 방법.
  48. 제 43 항에 있어서, 상기 1A족 금속이 Na, Li 또는 K인 것을 특징으로 하는 방법.
  49. 다음의 단계를 포함하는, 5A족 원소를 포함하는 전구체를 제조하는 방법:
    1A족 금속을 5A족 원소를 포함하는 화합물과 반응시켜서 제1 생성물을 형성하는 단계; 및
    이어서, R1R2R3SiX를 포함하는 제2 반응물을 상기 제1 생성물과 결합하여, L(SiR1R2R3)3의 화학식을 갖는 화합물을 형성하는 단계로서, 여기서, R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이고, X는 할로겐 원자이고, L은 5A족 원소이며, 여기서 상기 5A족 원소는 As, Sb, Bi, N 또는 P인 단계.
  50. 제 49 항에 있어서, 상기 5A족 원소가 As, Sb, Bi, 또는 P인 것을 특징으로 하는 방법.
  51. 제 49 항에 있어서, 상기 제2 반응물이 Et3SiCl을 포함하고, L(SiEt3)3가 형성되는 것을 특징으로 하는 방법.
  52. 제 49 항에 있어서, 상기 제2 반응물이 Me3SiCl을 포함하고, L(SiMe3)3가 형성되는 것을 특징으로 하는 방법.
  53. 제 49 항에 있어서, DME, THF, 톨루엔, 및/또는 크실렌이 상기 제1 생성물을 형성하는 반응에서 용매로서 사용되는 것을 특징으로 하는 방법.
  54. 다음 단계를 포함하는, 5A족 원소를 포함하는 전구체를 제조하는 방법:
    1A족 금속을 5A족 원소를 포함하는 화합물과 반응시켜 제1 생성물을 형성하는 단계; 및
    이어서, R1R2R3AX를 포함하는 제2 반응물을 상기 제1 생성물과 결합하여, L(AR1R2R3)3의 화학식을 갖는 5A족 원소 함유 화합물을 형성하는 단계로서, 여기서, R1, R2 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이고, A는 Si, Sn, 또는 Ge이고, X는 할로겐 원자이고, L은 5A족 원소이며, 여기서 상기 5A족 원소는 As, Sb, Bi, 또는 P인 단계.
  55. 제 54 항에 있어서, 상기 제2 반응물이 Et3ACl을 포함하고, L(AEt3)3가 형성되는 것을 특징으로 하는 방법.
  56. 제 54 항에 있어서, 상기 제2 반응물이 Me3ACl을 포함하고, L(AMe3)3가 형성되는 것을 특징으로 하는 방법.
  57. 제 54 항에 있어서, 상기 5A족 원소가 As인 것을 특징으로 하는 방법.
  58. 제 54 항에 있어서, DME, THF, 톨루엔, 및/또는 크실렌이 상기 제1 생성물을 형성하는 반응에서 용매로서 사용되는 것을 특징으로 하는 방법.
  59. 반응 챔버에서 기재 위에 5A족 원소 함유 박막을 형성하기 위한 원자 층 증착(ALD) 방법으로서, 상기 방법은 복수의 5A족 원소 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함하는 원자 층 증착 방법:
    제1 기상 반응물의 펄스를 상기 반응 챔버 내로 공급하여 상기 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계;
    상기 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계;
    제2 기상 반응물의 펄스를 상기 반응 챔버로 공급하여 상기 제2 기상 반응물이 상기 기재 위의 상기 제1 반응물과 반응하도록 함으로써 5A족 원소 함유 박막을 형성하는 단계로서, 여기서, 상기 제2 기상 반응물은 X(SiR1R2R3)3를 포함하고, R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이고, X는 5A족 원소(Sb, As, Bi, P)인 단계; 및
    상기 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
  60. 제 59 항에 있어서, 상기 제1 기상 반응물이 할로겐화물 함유 반응물인 것을 특징으로 하는 방법.
  61. 제 59 항에 있어서, 상기 5A족 원소가 As이고, 상기 제1 기상 반응물이 할로겐화물 함유 반응물인 것을 특징으로 하는 방법.
  62. 제 59 항에 있어서, 상기 5A족 원소가 Bi이고, 상기 제1 기상 반응물이 할로겐화물 함유 반응물인 것을 특징으로 하는 방법.
  63. 제 59 항에 있어서, 상기 5A족 원소가 P이고, 상기 제1 기상 반응물이 할로겐화물 함유 반응물인 것을 특징으로 하는 방법.
  64. 제 59 항에 있어서, 상기 5A족 원소 함유 박막이 3족-5족 화합물 반도체 박막을 포함하는 것을 특징으로 하는 방법.
  65. 제 59 항에 있어서, 상기 5A족 원소 함유 박막이 3성분계 3족-5족 화합물을 포함하는 것을 특징으로 하는 방법.
  66. 제 65 항에 있어서, 상기 3성분계 3족-5족 화합물이 (Ga, Al)As, (Ga, In)As 또는 (Ga, In)(As, P) 중의 하나를 포함하는 것을 특징으로 하는 방법.
  67. 제 59 항에 있어서, 상기 5A족 원소 함유 박막이 AlSb, GaSb 또는 InSb을 포함하는 것을 특징으로 하는 방법.
  68. 제 59 항에 있어서, 상기 5A족 원소 함유 박막이 AlAs, GaAs 또는 InAs를 포함하는 것을 특징으로 하는 방법.
  69. 제 59 항에 있어서, 상기 5A족 원소 함유 박막이 AlP, GaP 또는 InP를 포함하는 것을 특징으로 하는 방법.
  70. 제 59 항에 있어서, 상기 5A족 원소 함유 박막이 Ga2Te3Sb5를 포함하는 것을 특징으로 하는 방법.
  71. 제 59 항에 있어서, 상기 제1 기상 반응물이 알코올, 물, 또는 오존이 아닌 것을 특징으로 하는 방법.
  72. 제 59 항에 있어서, 상기 5A족 원소 함유 박막이 3족-5족 화합물 반도체 박막을 포함하는 것을 특징으로 하는 방법.
  73. 반응 챔버에서 기재 위에 As-함유 박막을 형성하기 위한 원자 층 증착(ALD) 방법으로서, 상기 방법은 복수의 As 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함하는 원자 층 증착 방법:
    제1 기상 반응물의 펄스를 상기 반응 챔버 내로 공급하여 상기 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계;
    상기 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계;
    제2 기상 반응물의 펄스를 상기 반응 챔버로 공급하여 상기 제2 기상 반응물이 상기 기재 위의 상기 제1 반응물과 반응하도록 함으로써 As 함유 박막을 형성하는 단계로서, 여기서, 상기 제2 기상 반응물은 As(SiR1R2R3)3를 포함하고, R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인 단계; 및
    상기 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
  74. 제 73 항에 있어서, 상기 제1 기상 반응물이 할로겐화물 함유 반응물인 것을 특징으로 하는 방법.
  75. 제 73 항에 있어서, 상기 제1 기상 반응물이 GaCl3인 것을 특징으로 하는 방법.
  76. 반응 챔버에서 기재 위에 Sb-함유 박막을 형성하기 위한 원자 층 증착(ALD) 방법으로서, 상기 방법은 복수의 Sb 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함하는 원자 층 증착 방법:
    제1 기상 반응물의 펄스를 상기 반응 챔버 내로 공급하여 상기 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계;
    상기 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계;
    제2 기상 반응물의 펄스를 상기 반응 챔버로 공급하여 상기 제2 기상 반응물이 상기 기재 위의 상기 제1 반응물과 반응하도록 함으로써 Sb 함유 박막을 형성하는 단계로서, 여기서, 상기 제2 기상 반응물은 Sb(GeR1R2R3)3를 포함하고, R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기인 단계; 및
    상기 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
  77. 반응 챔버에서 기재 위에 5A족 원소 함유 박막을 형성하기 위한 원자 층 증착(ALD) 방법으로서, 상기 방법은 복수의 5A족 원소 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함하는 원자 층 증착 방법:
    제1 기상 반응물의 펄스를 상기 반응 챔버 내로 공급하여 상기 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계;
    상기 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계;
    제2 기상 반응물의 펄스를 상기 반응 챔버로 공급하여 상기 제2 기상 반응물이 상기 기재 위의 상기 제1 반응물과 반응하도록 함으로써 5A족 원소 함유 박막을 형성하는 단계로서, 여기서, 상기 제2 기상 반응물은 Si, Ge, 또는 Sn 중의 하나 이상에 결합된 5A족 원자를 포함하며, 여기서 상기 5A족 원소는 Sb, As, Bi 또는 P인 단계; 및
    상기 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
  78. 제 77 항에 있어서, 상기 제1 기상 반응물은 알코올, 물, 또는 오존이 아닌 것을 특징으로 하는 방법.
  79. 제 77 항에 있어서, 상기 제1 기상 반응물은 환원성 반응물이 아닌 것을 특징으로 하는 방법.
  80. 제 77 항에 있어서, 상기 제1 반응물이 안티몬을 포함하는 것을 특징으로 하는 방법.
  81. 제 77 항에 있어서, 상기 제2 기상 반응물은 L(AR1R2R3)xR3-x를 포함하는 화학식을 가지며, 여기서, x는 1 내지 3이고, L은 Sb, As, Bi 또는 P이고, A는 Si, Sn, 또는 Ge이며, R, R1, R2, 및 R3는 하나 이상의 탄소 원자를 포함하는 알킬기인 것을 특징으로 하는 방법.
  82. 반응 챔버에서 기재 위에 5A족 원소를 포함하는 박막을 형성하기 위한 원자 층 증착(ALD) 방법으로서, 상기 방법은 복수의 5A족 원소 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함하는 원자 층 증착 방법:
    제1 기상 반응물의 펄스를 상기 반응 챔버 내로 공급하여 상기 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계;
    상기 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계;
    제2 기상 반응물의 펄스를 상기 반응 챔버로 공급하여 상기 제2 기상 반응물이 상기 기재 위의 상기 제1 반응물과 반응하도록 함으로써 5A족 원소를 포함하는 박막을 형성하는 단계로서, 여기서, 상기 제2 기상 반응물은 Si, Ge, 또는 Sn 중의 하나 이상에 결합된 5A족 원자를 포함하고, 상기 5A족 원소는 Sb, As, Bi, N, 또는 P이며, 그리고, 상기 제2 기상 반응물의 상기 5A족 원자가 N인 경우 상기 제1 기상 반응물은 전이금속, Si 또는 Ge를 포함하지 않는 단계; 및
    상기 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
  83. 제 82 항에 있어서, 상기 제1 기상 반응물은 아미노게르마늄 또는 오르가노텔루륨 전구체가 아닌 것을 특징으로 하는 방법.
  84. 제 82 항에 있어서, 상기 방법이 알코올, 물, 또는 오존을 포함하지 않는 것을 특징으로 하는 방법.
  85. 제 82 항에 있어서, 상기 제1 기상 반응물이 알코올, 물, 또는 오존이 아닌 것을 특징으로 하는 방법.
  86. 제 82 항에 있어서, 상기 제1 기상 반응물이 환원성 반응물이 아닌 것을 특징으로 하는 방법.
  87. 제 82 항에 있어서, 상기 제1 기상 반응물이 할로겐화물이고, 상기 제1 기상 반응물이 리간드로서 유기기를 함유하지 않는 것을 특징으로 하는 방법.
  88. 제 82 항에 있어서, 상기 제1 기상 반응물이 리간드로서 염화물 만을 갖는 것을 특징으로 하는 방법.
  89. 반응 챔버에서 기재 위에 질소-함유 박막을 형성하기 위한 원자 층 증착(ALD) 방법으로서, 상기 방법은 복수의 증착 사이클을 포함하며, 각각의 사이클은 다음의 단계를 포함하는 원자 층 증착 방법:
    제1 기상 반응물의 펄스를 상기 반응 챔버 내로 공급하여 상기 기재 위에 상기 반응물의 약 하나의 분자 층 만을 형성하는 단계로서, 상기 제1 기상 반응물이 전이금속을 포함하지 않는 단계;
    상기 반응 챔버로부터 과잉의 제1 반응물을 제거하는 단계;
    제2 기상 반응물의 펄스를 상기 반응 챔버로 공급하여 상기 제2 기상 반응물이 상기 기재 위의 상기 제1 반응물과 반응하도록 함으로써 질소 함유 박막을 형성하는 단계로서, 상기 제2 기상 반응물이 N(AR1R2R3)xR3-x를 포함하고, 여기서, x는 1 내지 3이고, A는 Si, Ge 또는 Sn이며, R, R1, R2, 및 R3 는 선형, 환형, 분지된 또는 치환된 알킬기, 수소 또는 아릴기 중에서 독립적으로 선택되는 단계; 및
    상기 반응 챔버로부터 과잉의 제2 반응물 및, 만약 존재한다면, 반응 부산물을 제거하는 단계.
  90. 제 89 항에 있어서, x는 2이고, A는 Si이며, R은 수소인 것을 특징으로 하는 방법.
  91. 제 89 항에 있어서, 상기 제2 기상 반응물이 N(SiR1R2R3)xR3-x의 화학식을 갖는 것을 특징으로 하는 방법.
  92. 제 89 항에 있어서, 상기 제2 기상 반응물이 N(SiH3)3인 것을 특징으로 하는 방법.
  93. 제 89 항에 있어서, 상기 제2 기상 반응물이 N(SiH3)3이 아닌 것을 특징으로 하는 방법.
  94. 제 89 항에 있어서, 상기 질소 함유 박막이 SiN이 아닌 것을 특징으로 하는 방법.
  95. 제 89 항에 있어서, 상기 질소 함유 박막이 BN, AlN, GaN 또는 InN인 것을 특징으로 하는 방법.
  96. 제 89 항에 있어서, 상기 제1 기상 반응물이 GaCl3 또는 InCl3인 것을 특징으로 하는 방법.
  97. 원자 층 증착(ALD) 공정에 의하여 나노적층체 박막을 증착하는 방법으로서, 다음의 단계를 포함하는 증착 방법:
    제1 전구체 및 제2 전구체의 교번 및 순차 펄스를 포함하는 제1 증착 사이클로서, 상기 제2 전구체는 A(SiR1R2R3)x를 포함하며, 여기서, R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이고, A 는 Sb, Te, 또는 Se이며, A가 Sb인 경우 x는 3이고 A가 Te 또는 Se인 경우 x는 2인 제1 증착 사이클; 및
    제3 전구체 및 제4 전구체의 교번 및 순차 펄스를 포함하는 제2 증착 사이클로서, 상기 제4 전구체는 A(SiR1R2R3)x를 포함하며, 여기서, R1, R2, 및 R3는 하나 이상의 탄소 원자를 갖는 알킬기이고, A는 Sb, Te, 또는 Se이며, A가 Sb인 경우 x는 3이고 A가 Te 또는 Se인 경우 x는 2인 제2 증착 사이클.
  98. 제 97 항에 있어서, 상기 제1 및 제2 증착 사이클이 다음으로 이루어진 군으로부터 선택되는 물질을 증착하는 것을 특징으로 하는 방법: Sb, Sb-Te, GeTe, Ge-Sb-Te, Sb2Te3, Sb2Te, Ge-Sb, Ge2Sb2Se5, Bi-Te, Bi-Se, Zn-Te, ZnSe, CuInSe2, 및 Cu(In,Ga)Se2.
  99. 제 97 항에 있어서, 상기 나노적층체 박막이, O, N, C, Si, Sn, Ag, Al, Ga, P, Fe, Ge, In, Ag, Se, Te 또는 Bi로 이루어진 군으로부터 선택되는 1종 이상의 도핑제를 더 포함하는 것을 특징으로 하는 방법.
KR1020127012997A 2009-10-26 2010-10-25 5a족 원소 함유 박막의 원자 층 증착용 전구체의 합성 및 용도 KR101829380B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US25505509P 2009-10-26 2009-10-26
US61/255,055 2009-10-26
US30879310P 2010-02-26 2010-02-26
US61/308,793 2010-02-26
US38314310P 2010-09-15 2010-09-15
US61/383,143 2010-09-15
PCT/US2010/053982 WO2011056519A2 (en) 2009-10-26 2010-10-25 Synthesis and use of precursors for ald of group va element containing thin films

Publications (2)

Publication Number Publication Date
KR20120102641A true KR20120102641A (ko) 2012-09-18
KR101829380B1 KR101829380B1 (ko) 2018-02-19

Family

ID=43970655

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127012997A KR101829380B1 (ko) 2009-10-26 2010-10-25 5a족 원소 함유 박막의 원자 층 증착용 전구체의 합성 및 용도

Country Status (7)

Country Link
US (7) US9315896B2 (ko)
EP (1) EP2494587B1 (ko)
JP (1) JP5731519B2 (ko)
KR (1) KR101829380B1 (ko)
CN (1) CN102687243B (ko)
TW (2) TWI565828B (ko)
WO (1) WO2011056519A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150044416A (ko) * 2013-10-16 2015-04-24 에이에스엠 아이피 홀딩 비.브이. 보론 및 카본 함유 물질들의 퇴적
KR20170124470A (ko) * 2016-05-02 2017-11-10 에이에스엠 아이피 홀딩 비.브이. 콘포말한 고상 도핑을 통한 향상된 소스/드레인 성능
WO2018048124A1 (ko) * 2016-09-08 2018-03-15 주식회사 유피케미칼 5족 금속 화합물, 이의 제조 방법, 이를 포함하는 막 증착용 전구체 조성물, 및 이를 이용하는 막의 증착 방법
US10818489B2 (en) 2013-12-11 2020-10-27 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based material

Families Citing this family (333)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130210217A1 (en) * 2008-01-28 2013-08-15 Air Products And Chemicals, Inc. Precursors for GST Films in ALD/CVD Processes
EP2279285B1 (en) 2008-04-25 2015-02-11 ASM International N.V. Synthesis and use of precursors for ald of tellurium and selenium thin films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
JP5649894B2 (ja) * 2010-09-29 2015-01-07 東京エレクトロン株式会社 Ge−Sb−Te膜の成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101713920B1 (ko) * 2011-09-29 2017-03-09 인텔 코포레이션 반도체 응용을 위한 양전성 금속 포함 층
JP6202798B2 (ja) * 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI586828B (zh) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 原子層沈積之摻雜方法
JP5905858B2 (ja) * 2012-08-13 2016-04-20 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated Ald/cvdプロセスにおけるgst膜のための前駆体
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103855302A (zh) * 2012-12-05 2014-06-11 中国科学院上海微系统与信息技术研究所 用于相变存储器的Al-Sb-Se材料及制备方法
US9428842B2 (en) * 2012-12-20 2016-08-30 Asm Ip Holding B.V. Methods for increasing growth rate during atomic layer deposition of thin films
CN103898474B (zh) * 2012-12-27 2016-02-17 中国科学院上海微系统与信息技术研究所 钨-锑-碲相变材料沉积方法及相变存储单元制备方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014210328A1 (en) * 2013-06-26 2014-12-31 Applied Materials, Inc. Methods of depositing a metal alloy film
SG11201510292VA (en) 2013-07-02 2016-01-28 Ultratech Inc Formation of heteroepitaxial layers with rapid thermal processing to remove lattice dislocations
KR101621470B1 (ko) 2013-07-31 2016-05-16 건국대학교 산학협력단 MoS2 박막 및 이의 제조방법
SG11201601129VA (en) * 2013-09-23 2016-03-30 Ultratech Inc Method and apparatus for forming device quality gallium nitride layers on silicon substrates
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9543144B2 (en) 2014-12-31 2017-01-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vapor deposition of chalcogenide-containing films
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN107475688B (zh) * 2015-11-11 2019-05-03 南通大学 用于制备BiGaO3薄膜的真空反应腔
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10192734B2 (en) 2016-12-11 2019-01-29 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploration des Procédés Georges Claude Short inorganic trisilylamine-based polysilazanes for thin film deposition
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
JP2020502790A (ja) * 2016-12-15 2020-01-23 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 半導体処理装置
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) * 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10950427B2 (en) * 2018-06-14 2021-03-16 Samsung Electronics Co., Ltd. Quantum dots and production method thereof
US11581501B2 (en) 2018-06-20 2023-02-14 Samsung Electronics Co., Ltd. Electronic device and production method thereof
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
CN109920861B (zh) * 2019-03-15 2020-02-21 诺迪克(余姚)光电产业研究院有限公司 铟砷氮铋、使用该材料的激光器和探测器及制备方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11268210B2 (en) * 2020-07-10 2022-03-08 Korea Advanced Institute Of Science And Technology Method for manufacturing transition metal chalcogenide and transition metal chalcogenide prepared thereby
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023059603A (ja) * 2021-10-15 2023-04-27 国立研究開発法人産業技術総合研究所 結晶化積層構造体の製造方法
FR3131332A1 (fr) * 2021-12-23 2023-06-30 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Nouveaux dérivés de silyle et polysilyle inorganiques d’éléments du groupe v et procédés de synthèse de ceux-ci et procédés d’utilisation de ceux-ci pour un dépôt
TWI838011B (zh) * 2021-12-23 2024-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8529651D0 (en) 1985-12-02 1986-01-08 Drilex Ltd Directional drilling
EP0457022B1 (fr) 1990-05-16 1994-08-03 Firmenich Sa Alcools aliphatiques optiquement actifs nouveaux et leur utilisation à titre d'ingrédients parfumants
DE4214281A1 (de) * 1992-04-30 1993-11-04 Consortium Elektrochem Ind Verfahren zur herstellung von germaniumdihalogenid-ether-addukten
JP3361922B2 (ja) * 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3007971B1 (ja) 1999-03-01 2000-02-14 東京大学長 単結晶薄膜の形成方法
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
WO2002009187A2 (en) * 2000-07-24 2002-01-31 Motorola, Inc. Heterojunction tunneling diodes and process for fabricating same
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
JP2002322181A (ja) 2001-04-23 2002-11-08 Sankyo Co Ltd キノリン誘導体の製造方法
US20030024471A1 (en) * 2001-08-06 2003-02-06 Motorola, Inc. Fabrication of semiconductor structures and devices forms by utilizing laser assisted deposition
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US20040072882A1 (en) 2002-05-20 2004-04-15 Kosan Biosciences, Inc., A Delaware Corporation Methods to administer epothilone D
US7115304B2 (en) * 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
US7670758B2 (en) 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR100688532B1 (ko) 2005-02-14 2007-03-02 삼성전자주식회사 텔루르 전구체, 이를 이용하여 제조된 Te-함유 칼코게나이드(chalcogenide) 박막, 상기 박막의 제조방법 및 상변화 메모리 소자
JP4994599B2 (ja) * 2005-03-23 2012-08-08 Hoya株式会社 InP微粒子の製造方法およびその方法で得られたInP微粒子分散液
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
DE102006038885B4 (de) 2005-08-24 2013-10-10 Wonik Ips Co., Ltd. Verfahren zum Abscheiden einer Ge-Sb-Te-Dünnschicht
KR100962623B1 (ko) 2005-09-03 2010-06-11 삼성전자주식회사 상변화 물질층 형성 방법, 이를 이용한 상변화 메모리 유닛및 상변화 메모리 장치의 제조 방법
KR101216381B1 (ko) * 2005-12-21 2012-12-28 주성엔지니어링(주) 박막 형성 방법
KR100695168B1 (ko) 2006-01-10 2007-03-14 삼성전자주식회사 상변화 물질 박막의 형성방법, 이를 이용한 상변화 메모리소자의 제조방법
US20070249086A1 (en) 2006-04-19 2007-10-25 Philipp Jan B Phase change memory
DE102006020404A1 (de) 2006-05-03 2007-11-08 Cognis Ip Management Gmbh Verdickungsmittel
CN101473382A (zh) 2006-05-12 2009-07-01 高级技术材料公司 相变化记忆体材料的低温沉积
KR100782482B1 (ko) 2006-05-19 2007-12-05 삼성전자주식회사 GeBiTe막을 상변화 물질막으로 채택하는 상변화 기억 셀, 이를 구비하는 상변화 기억소자, 이를 구비하는 전자 장치 및 그 제조방법
KR100807223B1 (ko) 2006-07-12 2008-02-28 삼성전자주식회사 상변화 물질층, 상변화 물질층 형성 방법 및 이를 이용한상변화 메모리 장치의 제조 방법
US7531458B2 (en) 2006-07-31 2009-05-12 Rohm And Haas Electronics Materials Llp Organometallic compounds
KR100858083B1 (ko) * 2006-10-18 2008-09-10 삼성전자주식회사 하부전극 콘택층과 상변화층 사이에 넓은 접촉면적을 갖는상변화 메모리 소자 및 그 제조 방법
KR100829602B1 (ko) 2006-10-20 2008-05-14 삼성전자주식회사 상변화 물질층 형성 방법 및 상변화 메모리 장치의 제조방법
KR101263822B1 (ko) 2006-10-20 2013-05-13 삼성전자주식회사 상변화 메모리 소자의 제조 방법 및 이에 적용된상변화층의 형성방법
CN101495672B (zh) * 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
US8377341B2 (en) 2007-04-24 2013-02-19 Air Products And Chemicals, Inc. Tellurium (Te) precursors for making phase change memory materials
TWI471449B (zh) * 2007-09-17 2015-02-01 Air Liquide 用於gst膜沈積之碲前驅物
US7772073B2 (en) 2007-09-28 2010-08-10 Tokyo Electron Limited Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
JP5650880B2 (ja) 2007-10-31 2015-01-07 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 非晶質Ge/Te蒸着方法
US7960205B2 (en) * 2007-11-27 2011-06-14 Air Products And Chemicals, Inc. Tellurium precursors for GST films in an ALD or CVD process
US8318252B2 (en) * 2008-01-28 2012-11-27 Air Products And Chemicals, Inc. Antimony precursors for GST films in ALD/CVD processes
US20130210217A1 (en) 2008-01-28 2013-08-15 Air Products And Chemicals, Inc. Precursors for GST Films in ALD/CVD Processes
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
EP2279285B1 (en) 2008-04-25 2015-02-11 ASM International N.V. Synthesis and use of precursors for ald of tellurium and selenium thin films
US8765223B2 (en) 2008-05-08 2014-07-01 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8507040B2 (en) 2008-05-08 2013-08-13 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
KR101489327B1 (ko) * 2008-05-15 2015-02-03 삼성전자주식회사 물질막의 형성 방법 및 메모리 장치의 제조 방법
US8802194B2 (en) 2008-05-29 2014-08-12 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Tellurium precursors for film deposition
US8674142B2 (en) * 2009-03-12 2014-03-18 Pmc Organometallix, Inc. Naphthenic hydrocarbon additives for diaryl phosphide salt formation
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
US8148197B2 (en) 2010-07-27 2012-04-03 Micron Technology, Inc. Methods of forming germanium-antimony-tellurium materials and a method of forming a semiconductor device structure including the same
US9496491B2 (en) 2012-05-21 2016-11-15 Micron Technology, Inc. Methods of forming a metal chalcogenide material and related methods of forming a memory cell
US9543144B2 (en) 2014-12-31 2017-01-10 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Vapor deposition of chalcogenide-containing films
US9711396B2 (en) 2015-06-16 2017-07-18 Asm Ip Holding B.V. Method for forming metal chalcogenide thin films on a semiconductor device
CN112911382B (zh) 2015-09-30 2023-11-03 苹果公司 用于媒体回放的协调控制的方法和系统
US20190006586A1 (en) 2017-06-29 2019-01-03 Asm Ip Holding B.V. Chalcogenide films for selector devices
CN110780746B (zh) 2019-10-09 2021-08-24 维沃移动通信有限公司 一种按键结构、按键的控制方法及电子设备

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150044416A (ko) * 2013-10-16 2015-04-24 에이에스엠 아이피 홀딩 비.브이. 보론 및 카본 함유 물질들의 퇴적
US10410856B2 (en) 2013-10-16 2019-09-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10790137B2 (en) 2013-10-16 2020-09-29 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10818489B2 (en) 2013-12-11 2020-10-27 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based material
KR20170124470A (ko) * 2016-05-02 2017-11-10 에이에스엠 아이피 홀딩 비.브이. 콘포말한 고상 도핑을 통한 향상된 소스/드레인 성능
WO2018048124A1 (ko) * 2016-09-08 2018-03-15 주식회사 유피케미칼 5족 금속 화합물, 이의 제조 방법, 이를 포함하는 막 증착용 전구체 조성물, 및 이를 이용하는 막의 증착 방법
US10577385B2 (en) 2016-09-08 2020-03-03 Up Chemical Co., Ltd. Group 5 metal compound, method for preparing the same, precursor composition for depositing layer containing the same, and method for depositing layer using the same

Also Published As

Publication number Publication date
EP2494587A4 (en) 2016-03-02
TWI565828B (zh) 2017-01-11
JP2013508555A (ja) 2013-03-07
US20200291518A1 (en) 2020-09-17
US20190177843A1 (en) 2019-06-13
US20180087154A1 (en) 2018-03-29
CN102687243B (zh) 2016-05-11
US10941487B2 (en) 2021-03-09
US9315896B2 (en) 2016-04-19
WO2011056519A2 (en) 2011-05-12
KR101829380B1 (ko) 2018-02-19
US20230093384A1 (en) 2023-03-23
US20160222515A1 (en) 2016-08-04
TW201610219A (zh) 2016-03-16
EP2494587B1 (en) 2020-07-15
US20210164101A1 (en) 2021-06-03
WO2011056519A3 (en) 2011-08-25
EP2494587A2 (en) 2012-09-05
US11542600B2 (en) 2023-01-03
TWI516632B (zh) 2016-01-11
JP5731519B2 (ja) 2015-06-10
US9828674B2 (en) 2017-11-28
TW201126013A (en) 2011-08-01
US20120329208A1 (en) 2012-12-27
US10208379B2 (en) 2019-02-19
US10619244B2 (en) 2020-04-14
CN102687243A (zh) 2012-09-19

Similar Documents

Publication Publication Date Title
US11542600B2 (en) Synthesis and use of precursors for ALD of group VA element containing thin films
US11072622B2 (en) Synthesis and use of precursors for ALD of tellurium and selenium thin films
KR101499260B1 (ko) 상 변화 메모리 재료의 저온 증착
US20090280052A1 (en) Binary and Ternary Metal Chalcogenide Materials and Method of Making and Using Same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant