CN101651112B - Foup开闭装置和探针装置 - Google Patents

Foup开闭装置和探针装置 Download PDF

Info

Publication number
CN101651112B
CN101651112B CN2009101652069A CN200910165206A CN101651112B CN 101651112 B CN101651112 B CN 101651112B CN 2009101652069 A CN2009101652069 A CN 2009101652069A CN 200910165206 A CN200910165206 A CN 200910165206A CN 101651112 B CN101651112 B CN 101651112B
Authority
CN
China
Prior art keywords
foup
opening
lid
wafer
probe unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009101652069A
Other languages
English (en)
Other versions
CN101651112A (zh
Inventor
带金正
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101651112A publication Critical patent/CN101651112A/zh
Application granted granted Critical
Publication of CN101651112B publication Critical patent/CN101651112B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明提供一种FOUP开闭装置,其能够搬入FOUP,有效地活用FOUP盖体打开的区域的下方空间。设有载置台(13),该载置台(13)具备移动机构(61)和旋转机构(40),用于载置FOUP(100),具有包括晶片(W)的交接口(11d)和开闭并保持FOUP(100)的盖体(91)的盖体开闭机构(42)的框体(11a),通过在盖体开闭机构(42)上安装而卸下FOUP(100)的盖体(91),使FOUP(100)的朝向向着交接口(11d)而旋转。由此,可以将FOUP(100)的盖体(91)保持在框体(11a)上,由于不需要将盖体(91)保持在装载口(11)的下方区域,所以可以有效地活用下方区域。

Description

FOUP开闭装置和探针装置
技术领域
本发明涉及一种对作为收纳多个基板的密闭型搬送容器的FOUP进行开闭的开闭装置和使用该开闭装置的探针装置。 
背景技术
在半导体制造过程中,在向各种处理装置、检查装置等搬送半导体晶片(以下简称晶片)时,为了减少对晶片的颗粒污染,很多时候使用作为密闭型的搬送容器的FOUP。该FOUP具有开闭搬送容器主体的前面开口部的盖体,因此,在处理装置侧的装载口设有FOUP的载置台和通过该载置台的前进与上述盖体的锁孔卡合,进一步打开盖体的锁、支承该盖体的盖体支承部。该盖体支承部也起到了开闭处理装置(这种处理在此也包括晶片的检查等)的晶片搬送气氛和外部的作用,一般地,会在支承FOUP的盖体后下降,由此FOUP的开口部成为进入面对晶片搬送气氛的状态(专利文件1)。 
另一方面,虽然在半导体制造工序的最后工序所使用的探针装置中也设有FOUP的装载口,但为了提高探针测试,建议使用具有多个、例如2个探针单元的探针装置。该装置包括使2个装载口通过晶片的搬送机构的待机区域相对配置的装载部,通过在该装载部上排列2个探针单元而构成。因此,搬送机构的臂体在从FOUP上接受晶片之后下降,为了将晶片交接到探针单元内的工作台上,必须使臂体朝向左侧(右侧)倾斜进行进退。但是,由于上述门支承部位于比FOUP高度低的地方,所以为了避开臂体和门支承部之间的侧室,而受到例如探针单元侧的工作台的交接位置、装载口间的距离等布局上的制约。 
而且,如果在将探针装置整体的平面形状维持成四角形的同时要进一步增加探针单元的个数,则整体的Y方向尺寸就由探针单元组的尺寸决定,所以,结果两端的探针单元的中心位置渐渐靠近。因此,如果想要避开门支承部而将晶片搬送到两端的探针单元,则装载口就 不得不从探针单元向左(右)侧突出。另外,不只限于这种探针单元,在使FOUP的门下降到下方的结构中,也有妨碍比FOUP的载置区域更靠向下方侧的区域的有效利用,例如,妨碍电单元和预校准机构的配置的问题。 
另外,在专利文件2中记载了使FOUP的盖体可以横开旋转的结构,但这种FOUP没有通用性,所以不能实际使用。 
[专利文件1]日本特开2008-91597号公报(第0049段) 
[专利文件2]日本特开2003-249537号公报(第0007段) 
发明内容
本发明是鉴于上述情况而完成的,其目的在于提供一种FOUP开闭装置,该装置可以搬入FOUP,有效地应用FOUP盖体被打开的区域的下方空间。本发明的另一个目的在于提供一种通过具备上述FOUP开闭装置而使配置自由度大的探针装置。 
本发明的FOUP开闭装置,其对FOUP盖体进行开闭,其特征在于,包括: 
框体,其内部设有载置FOUP的载置台; 
FOUP搬入口,其为在该框体的正面的开口,被闸板开闭; 
交接口,其为在上述框体的侧面的开口,用于进行FOUP内的基板的交接; 
旋转机构,其使上述载置台绕铅垂轴旋转; 
盖体开闭机构,其设置在上述框体内的背面一侧,用于开闭并保持FOUP盖体; 
移动机构,其使上述FOUP和上述盖体开闭机构相对进退以相互接近和分离;和 
控制部,其输出控制信号,使得通过该移动机构使上述FOUP和上述盖体开闭机构相对移动,使该FOUP的盖体安装于盖体开闭机构,从FOUP卸下该盖体,然后使上述盖体开闭机构和上述FOUP相对分离,使载置台旋转以使FOUP的朝向向着上述交接口。 
另外,在本发明的FOUP开闭装置中,例如载置台的旋转中心也可以与FOUP的中心相比更靠近上述FOUP搬入口侧。 
而且,本发明的探针装置,其特征在于,也可以包括:FOUP开闭装置;沿左右方向排列而设置在上述框体的背面一侧,通过探针卡进行基板检查的多个探针单元;和搬送机构,其通过上述交接口接收FOUP内的基板,在下降到该交接口的下方侧的状态下,将基板搬送到探针单元内。例如2个上述FOUP开闭装置也可以隔着上述搬送机构的配置区域互相面对设置。 
根据本发明,在设有载置FOUP的载置台的框体内设置盖体开闭机构,在从FOUP取下盖体后,使载置台旋转以使FOUP朝向基板的交接口。因此,由于不需要在框体的下方区域设置保持盖体的区域,所以可以有效活用搬入FOUP的装载口(FOUP开闭装置)的下方空间。而且,在具备该FOUP开闭装置的探针装置中,可以对使载置FOUP的框体的下方区域与基板的搬送路径重叠等有效地活用,因此,可以提供一种布局的自由度大的探针装置。 
附图说明
图1为表示本实施方式的探针装置的概略的立体图。 
图2为表示本实施方式的探针装置的概略的平面图。 
图3为表示本实施方式的探针装置的概略的侧面图。 
图4为表示本实施方式的装载口11、12的概略的侧面图。 
图5为用于说明FOUP100的载置方法的第一说明图。 
图6为用于说明FOUP100的载置方法的第二说明图。 
图7为说明探针装置的交接晶片W的说明图。 
图8为表示第二实施方式的装载口的概要的截面图。 
图9为表示第二实施方式的装载口的概要的立体图。 
图10为用于说明第二实施方式的FOUP100的载置方法的第一说明图。 
图11为用于说明第二实施方式的FOUP100的载置方法的第二说明图。 
图12为用于说明本发明的其它实施方式的探针装置的立体图。 
符号说明 
1装载部 
2探针装置主体 
3晶片搬送臂 
10搬送室 
11、12装载口 
11a、12a框体 
11b、12b FOUP搬入口 
11c、12c闸板 
11d、12d交接口 
13、14载置台 
15控制部 
20间隔壁 
21A、21B探针单元 
22壳体 
22a、22b搬送口 
30臂体 
40旋转机构 
42、242盖体开闭机构 
42a锁部 
42b销 
60载置板 
61移动机构 
62旋转支承部 
100FOUP 
270进退机构(移动机构) 
W晶片 
具体实施方式
以下,说明适用本发明的FOUP开闭装置的探针装置的实施方式。如图1至图4所示,该探针装置包括:用于交接作为被检查基板的晶片W的装载部1,和对晶片W进行探测的探针装置主体2。首先,简单说明装载部1和探针装置主体2的整体布局。 
装载部1包括:搬入作为收纳有多个晶片W的密闭型搬送容器(载体)的FOUP100,在Y方向(图示左右方向)上互相分离相对配置的第一装载口11和第二装载口12,以及配置在上述装载口11、12之间的搬送室10。第一装载口11和第二装载口12相当于本发明的FOUP的实施方式。第一装载口11(12)包括各个框体11a(12a),在这些框体11a(12a)的前面侧(X方向面前)设有用于从外部搬入搬出FOUP100的FOUP搬入搬出口11b(12b)。在该FOUP搬入搬出口11b(12b)上,具有手柄的闸板11c(12c)在上升位置关闭装载口11(12),在下降位置打开装载口11(12)。框体11a(12a)的内部设有用于载置FOUP100的载置台13(14)。 
然后,参考图2至图4,详细描述作为本发明重要部分的装载口(FOUP开闭装置)11(12)和被搬入装载口11(12)的FOUP100。这里,装载部1的第一装载口11和第二装载口12互相对称并且结构相同,所以图3、图4中代表表示第一装载口11。 
装载口11的周围被框体11a所包围,在框体11a的搬送室10侧设有FOUP100的晶片W的交接口11d。在框体11a内部设有用于打开并保持后述FOUP100的盖体91的盖体开闭机构42。盖体开闭机构42以FOUP搬入搬出口11b为正面,设置在框体11a的背面,如图4所示,在与盖体91相接的面上设有锁部42a和定位用的销42b。盖体开闭机构42的内部配设有未图示的锁旋转部,使锁部42a旋转90度。另外,盖体开闭机构42在FOUP100的盖体91打开时,用锁部42a和销42b保持盖体91。而且,基于锁部42a的旋转动作进行盖体91的开闭。 
载置台13包括载置板60、移动机构61以及旋转支承部62。载置板60,在FOUP100的载置面侧具有3根定位销63和钩爪部64,在另一面侧设有引导载置板60的引导部件65。移动机构61具有使载置板60沿着引导部件65向图示X方向移动的、例如气缸等未图示的驱动源。而且,载置板60通过移动机构61从FOUP100的载置位置移动至后述的盖体91的开闭位置、即盖体开闭机构42的锁部42a和锁眼92卡合的位置。换言之,被定位固定在载置板60上的FOUP100以载置板60向盖体开闭机构42侧移动时锁部42a和锁眼92卡合的方式固定。 
另外,旋转支承部62在下部连接有旋转机构40的旋转轴,对移动机构61搭载载置板60。而且,通过旋转机构40使载置板60旋转90度。由此,FOUP100在X方向上进退且绕Z轴(纵轴)旋转90度。另外,载置台13的旋转中心P1从载置台13的中心位置P2、即被载置的FOUP100的中心位置向搬送室10侧且闸板11c、12c一侧偏心。 
FOUP100的内部具有多层例如25层的未图示的收纳架,可以收纳25个晶片W。FOUP100具有用于密封FOUP100的开口部的盖体91,盖体91具备包括锁眼92、销孔93以及未图示的插销机构。锁眼92和盖体开闭机构42的锁部42a卡合。销孔93与盖体开闭机构42的定位用销42b嵌合。插销机构在锁眼92的内部通过锁部42a旋转而动作,通过该动作在对盖体91的FOUP100的锁定状态和开启状态之间切换。另外,在FOUP100的下部设有台座部94,该台座部94的下面形成有用于将FOUP100卡止在载置台13上的定位孔95和突出部96。定位孔95与载置台13的定位销63嵌合,突出部96构成为挂有载置台13的钩爪部64。而且,当将FOUP100载置于载置板60时,以定位孔95和定位销63嵌合的方式载置FOUP100之后,将钩爪部64挂在突出部96上。由此,FOUP100被定位固定于载置板60。 
如图2及图3所示,在搬送室10内设有作为搬送机构的晶片搬送臂3。晶片搬送臂3包括搬送基台35、使该搬送基台35绕铅垂轴旋转的旋转轴3a、和使该旋转轴3a升降的未图示的升降机构,并且,在搬送基台35上进退自由地设置多个、例如2个臂体30,2个臂体30互相独立进退,具有搬送晶片W的作用。旋转轴3a的旋转中心设定在距2个装载口11(12)等距离的位置。另外,晶片搬送臂3构成为可以在与FOUP100之间用于交接晶片W的上位置和与第一探针单元21A或者第二探针单元21B之间用于交换晶片W的装载口11(12)下侧的下位置之间升降。 
另外,在装载口11的下方区域,在不妨碍向探针装置主体2搬送晶片W的位置,设有包括旋转工作台和检测晶片的周边的光学系统检测部在内的预校准单元。在图2中用符号55表示预校准单元所使用的旋转工作台。该预校准单元对从晶片搬送臂3接受的晶片W进行预校准,调整晶片W的朝向并检测中心位置。 
探针装置主体2以与装载部1在X方向上并列的方式与该装载部1邻接配置,具备构成探针装置主体2的外装部分的壳体22。该壳体22通过间隔壁20在Y方向上分割成2部分,一个分割部分和另一个分割部分分别相当于区划形成第一探针单元21A和第二探针单元21B的外装体。第一探针单元21A具备:作为基板载置台的晶片卡盘4A;构成具有使该晶片卡盘4A的上方区域在Y方向上(连结装载口11、12的方向)移动的摄像机的摄像单元的作为移动体的校准桥5A;和设置于构成壳体22的顶部的顶板80的探针卡6A。第二探针单元21B也是同样的结构,具备晶片卡盘4B、校准桥5B和探针卡。 
在该探针装置主体2的壳体22的装载部1侧的侧壁上,为了在第一探针单元和第二探针单元21B之间交接晶片W,向Y方向延伸的带状搬送口22a、22b(参考图2)开口。另外,上述第一探针单元21A和第二探针单元21B通过晶片搬送机构3的旋转中心,相对于与连结第一装载口11和第二装载口12的直线正交的水平线,各自的晶片W的交接位置、晶片W表面的摄像位置和探针卡6A的设置位置等左右对称,并且结构相同,所以为了避免重复,参考图2、3说明第一探针单元21A。 
探针单元21A内的晶片卡盘4A以在X、Y、Z(上下)方向上自由移动并且可以绕铅垂轴旋转的方式组合安装在台单元23上,能够在与晶片搬送机构3之间用于进行晶片W交接的交接位置、如后所述的晶片W表面的摄像位置和接触探针卡6A的探针29的接触位置(检查位置)之间移动。 
在晶片卡盘4A的移动区域上方设有探针卡6A,该探针卡6A的上面侧设有弹簧针(pogo pin)单元28。该弹簧针(pogo pin)单元28的上面配置有通常未图示的测试头,但在本例中,测试头配置在与探针装置主体2分开的位置,弹簧针(pogo pin)单元28和测试头通过未图示的电缆连接。另外,在探针卡6A的下面侧,被分别电连接于上面侧的电极组的探针例如相对于晶片W的表面垂直延伸的垂直针(线材探针),与晶片W的电极垫的排列对应,设置在例如探针卡6A的整体上。校准桥5A上设置有用于拍摄晶片表面的CCD摄像机。 
另外,如图2所示,在探针装置中设置有例如由计算机构成的控制部15,该控制部15具备由程序、储存器、CPU构成的数据处理部等。程序由控制FOUP100被搬入装载口11(12)之后对晶片W进行探针测试,之后晶片W返回到FOUP100,至FOUP100被搬出的一系列各部的动作的步骤组组成。该程序(也包括与处理参数的输入操作和显示相关的程序)被存储在例如软盘、光盘、MO(光磁盘)、硬盘等存储介质中后,被安装到控制部15。 
接着,说明上述实施形式的作用。首先,参考图5、图6具体地说明盖体91的开闭动作。在此,由于装载部1的第一装载口11和第二装载口12互相对称且结构相同,所以在图5、6中代表表示第一装载口11的构造。另外,在图5、6中,为了便于说明,只表示框体11a的内部位置、FOUP100、载置台13、盖体开闭机构42,而省略对其它部件的说明。首先,打开装载口11的闸板11c,如图5(a)所示,从FOUP搬入口11b将FOUP100搬入装载口11。然后,如上所述,使台座部94的定位孔95和定位销63嵌合,进行FOUP100的定位,在FOUP100定位完成之时将钩爪部64挂在突出部96上,将FOUP100固定到载置板60上。在图5(b)表示该状态。 
在固定FOUP100之后,如图5(c)所示,使载置板60朝向盖体开闭机构42前进至盖体91的锁孔92和盖体开闭机构42的锁部42a卡合、且销孔93和销42b嵌合的位置。然后,如果锁孔92和锁部42a卡合,则锁部42a旋转以使盖体91成为开启状态,并且,盖体开闭机构42成为可保持盖体91的状态。在该状态下如果FOUP100后退,则如图6(a)所示,开启状态的盖体91成为被盖体开闭机构42所保持的状态,FOUP100的开口部被开放。 
如果开口部被开放,则如图6(b)所示,旋转机构40驱动,以FOUP100的开口部朝向交接口11d的方向的方式使FOUP100旋转。如图6(a)所示,此时,在装载口11中,载置台13的旋转中心P1从载置台13的中心位置偏心,在X轴上向着FOUP搬入口11b侧偏心距离X1,在Y轴上向着交接口11d侧偏心距离Y1。因此,如图6(c)所示,如果使载置台13旋转90度而使开口部朝向交接口11d侧,就可以使载置台13的中心P2在Y轴上的位置向交接口11d侧移动距离X1+Y1。由此,被FOUP100收纳的晶片W的中心位置P3从载置台13的旋转前的位置向交接口11d侧移动距离X1+Y1。 
而且,如图6(c)所示,在FOUP100的开口部朝向交接口11d之后,通过晶片搬送臂3取出内部的晶片W。之后,被晶片搬送臂3从FOUP100搬出的晶片W被搬送到预校准单元的旋转工作台55,进行预校准,调整晶片W的朝向使其成为与探针单元21A、21B对应的切口的朝向,另外,也检测其中心位置。如果预校准结束,则晶片搬送臂3基于预校准所得到的数据使晶片保持区域的中心与晶片W的中心一致以接收晶片W,通过装载口11(12)的下方区域搬送晶片W。然后,晶片W从搬送口22a、22b向探针单元21A、21B搬入,向卡盘顶4A、4B交接。图7简略表示该晶片W的交接。 
在被搬入到探针单元21A、21B之后,通过设置在校准桥5A的未图示的CCD摄像机拍摄晶片W的电极垫,并且,通过设置在卡盘顶4A附近的未图示的摄像机拍摄探针卡6A的探针29的针尖,用各摄像时的卡盘顶4A的驱动系统求得被特定的X、Y、Z方向的坐标位置,使晶片W移动到基于这些坐标位置求得的接触位置。然后,使探针29和晶片W上的电极垫接触,利用通过连接在探针卡6A上的测试头连接的未图示的检测器测定各芯片的电特性。如果探针测试结束,则不将晶片W搬送到预校准单元而使晶片W返回FOUP100。上述一系列操作是在读入了测试用程序16的控制部15所控制的状态下进行的。 
然后,晶片W被搬送向探针单元21A、21B,进行上述探针测试。结束探针测试的晶片W通过晶片搬送臂3返回FOUP100,如果对FOUP100所有的晶片W的探针测试结束,则按照与上述顺序相反的顺序在FOUP100上安装盖体91,并将盖体91向装载口11的外部搬出。 
如上所述,在本实施方式的探针装置中,在装载口11(12)的内部设有打开并保持FOUP100的盖体91的盖体开闭部42,用该盖体开闭机构42使卸下盖体91的FOUP100朝向由晶片搬送臂3的晶片W的交接口11d侧旋转。因此,不需要如现有技术那样使盖体退避到装载口11(12)的下方区域的机构。因此,由于不再将盖体91保持在装载部11(12)的下方区域,所以可以有效地利用目前为止作为盖体91的保持区域而使用的该区域,可以如本实施方式那样作为晶片W的搬 送区域使用。而且,在相对于探针单元21A、21B搬入搬出晶片W时,能够通过装载口11(12)的下方区域搬送晶片W,所以对卡盘顶4A、4B的交接位置的自由度变大,结果有如下效果,装载口11(12)的两端(外侧)不会向比探针单元21A、21B的外端更靠向Y方向的外侧突出,能够很容易地设计可以使两肩的外端面一致的结构。这样,根据本实施方式,可以有如下效果,对将载置有FOUP100的装载口11(12)的下方区域作为晶片W的搬送区域加以利用等有效活用且布局的自由度大。 
另外,由于载置台13的旋转中心P1相对于载置台13的中心P2如上所述那样偏心,所以当使开口部朝向交接口11d侧时,可以使被FOUP100收纳的晶片W的中心位置从载置台13的旋转前的位置向交接口11d侧靠近距离X1+Y1。由此,有由于可以回避或者抑制晶片搬送臂3的冲程变长,而不必使晶片搬送臂3大型化的优点。 
[第二实施方式] 
参考图8至图11说明本发明的第二实施方式的探针装置。第二实施方式的探针装置除了装载口11的内部构造之外都与第一实施方式相同,所以对与第一实施方式相同的部分或者相当的部分标注相同的符号并加以说明。在第一实施方式中,使FOUP100进退,但在第二实施方式中,在使FOUP100停止的状态下使盖体开闭机构242进退而开闭盖体91这一点上,与第一实施方式不同。另外,第二实施方式,仅说明装载口11和与装载口11相关的部件。 
如图8所示,在装载口11的框体11a内设有载置台213和盖体开闭机构242。载置台213具备载置板60和旋转支承部62,在旋转支承部62的下部连接有旋转轴41。而且,在本实施方式中,由于不使载置于载置台213的FOUP100进退,所以删除第一实施方式中设置在载置板60的下部的移动机构61。另外,载置台213的旋转中心P4(参考图11)从载置台213的中心位置P5(参考图11)、即被载置的FOUP100的中心位置P6向搬送室10侧(参照图8的里侧、图2)且FOUP搬入口11b侧偏心。 
如图8以及图9所示,盖体开闭机构242如果以FOUP搬入口11b为正面,则被设置在框体11a内的背面一侧。盖体开闭机构242具备 
当从框体11a的中心看以背面一侧为后方时,将下部的左右两端分别按照通过后述的支承臂271以垂直姿势而且与框体11a的背面大概平行的方式支承的支承板245。在该支承板245的前面(载置台213侧的面)设有锁部42a和吸附机构243。 
如图9所示,吸附机构243具备吸盘244、设置在该吸盘244中央的定位用销42b;在销42b被插入形成于盖体91的销孔而使盖体91定位之后,吸盘244吸引盖体91。而且,盖体开闭机构242用支承板245的另一面吸附保持由锁孔42a开闭的盖体91。 
另外,在框体11a的底部设有作为覆盖该底部整体的扁平的长方形箱体的罩214,在该罩214的内部设有进退机构270。另外,在罩214的上面形成有与后述的支承臂271的移动区域对应的缝隙215,并且,在罩214的上方配置有载置台213。而且,旋转轴41贯通罩214和框体11a,与旋转支承部62的框体11a的底部侧连接。另外,在图9中为了便于说明省略框体11a和罩214的记载。 
进退机构270是相当于使盖体开闭机构242从框体11a的背面推进至载置台213的本发明的移动机构的机构。进退机构270在框体11a底部的两端侧分别具备向图示X方向(前后方向)延伸的2根导轨272,在各导轨272上安装有移动该导轨272的移动部273。而且,在各移动部273上安装有支承支承板245的支承臂271的一端。 
该支承臂271是L字上的部件,L字一边的顶端(一端)如上所述那样安装在移动部273上,另一边的顶端部如上所述那样在支承板245下部的左右两侧部分别连接一个。而且,两支承臂271通过架设在L字的各边的根部分的、X方向的截面呈大概L字状的连结棒274连结。 
在两导轨272之间设有成为进退机构270的驱动源的,例如压气缸等的汽缸275,如图9所示,以与旋转轴41在框体11a的X-Y平面上不重叠的方式,并且以活塞杆276的伸缩方向与导轨272平行的方式配置在框体11a的底部。而且,该活塞杆276的顶端与连结棒274连接。 
该进退机构270根据活塞杆276的伸缩在X方向上进退。而且,如果活塞杆276退缩,则支承的盖体开闭机构242向载置台213侧前 进,如果活塞杆276伸长则支承的盖体开闭机构242向框体11a的背面一侧后退。即,被进退机构270支承的盖体开闭机构242会以被导轨272引导的状态朝向前后方向(图示的X方向)进退。 
另外,在吸附机构243上通过未图示的吸引管连接有未图示的吸引泵,在汽缸275上连接有未图示的动力供给源(空气供给源)。而且,进退机构270通过控制部15控制来自动力供给源的动力的供给。 
然后,参考图8至图11说明本实施方式的盖体91的开闭动作。这里,图10、图11为了说明上的方便,仅表示装载口11的框体11a的内面和FOUP100、载置台213、盖体开闭机构242、进退机构270的一部分,省略对其它部件的说明。首先,打开如图8所示的装载口11的闸板11c将FOUP100从FOUP搬入口11b搬入装载口11内(参考图10(a))。然后,如上所述,使定位孔95(参考图8)和定位销63嵌合,将钩爪部64挂在突出部96上,将FOUP100固定到载置板60上。图10(b)表示上述状态。 
在固定FOUP100之后,如图10(c)所述,使盖体开闭机构242朝向FOUP100前进至盖体91的锁孔92和盖体开闭机构242的锁部42a卡合且未图示的销孔和销42b嵌合的位置。然后,在使销42b与销孔嵌合之后,在通过吸附机构243将盖体91吸附保持于盖体开闭机构242。然后,与锁孔92卡合的锁部42a旋转以使盖体91进入开启状态。在该状态下,如果盖体开闭机构242后退,则如图11(a)所示,开启状态的盖体91在被盖体开闭机构242吸附保持的状态下,与盖体开闭机构242一起朝向框体11a的背面一侧移动。由此,开放FOUP100的开口部。 
然后,与第一实施方式相同,如图11(b)所示,以FOUP100的开口部朝向交接口11d的方向的方式使FOUP100旋转。然后,如图11(c)所示,在FOUP100的开口部朝向交接口11d之后,由晶片搬送臂3(参考图2)取出内部的晶片W,进行与第一实施方式同样的探针测试。结束了探针测试的晶片W返回FOUP100,如果对FOUP100的所有晶片W的探针测试结束,则按照与上述顺序相反的顺序将盖体91安装到FOUP100上,向装载口11的外部搬出FOUP100。 
如上所述,在本实施方式的探针装置中,在装载口11(12)的内部设有打开并保持FOUP100的盖体91的盖体开闭机构242,能够将打开的盖体91保持在装载口11(12)内。因此,不需要使盖体退避到装载口11(12)的下方区域的机构,可以有效地活用目前为止作为盖体91的保持区域使用的该区域。因而,根据本实施方式,与第一实施方式同样,有可以对将载置FOUP100的装载口11(12)的下方区域作为晶片W的搬送区域利用等有效地活用,使探针装置的单元布局的自由度变大的效果。 
另外,在本实施方式中,由于构成为不是载置台213而是盖体242进退,所以可以使FOUP100不进退而开闭盖体91。由此,开口部打开的FOUP100不进退,FOUP100进退所产生的力作用于内部的晶片W,不必担心晶片W会从开口部飞出。 
另外,在上述各实施方式中,在由2个装载口和1个搬送室构成的装载室中,使2个以上的探针单元沿该装载室排成一列以形成探针装置,但是,本发明也可以适用于由2个装载口和1个搬送室构成的装载室和将多个例如3个以上的探针单元沿该装载室排成一列的探针装置。 
作为具体的一个例子,例如如图12所示,也可以是具有使4个与上述实施方式的探针单元21A(21B)结构相同的探针单元21沿装载室1在Y方向上排成一列的探针装置主体2的探针装置。这样,在排列4个探针单元21组成探针装置主体2的情况下,必须以能够相对于全部探针单元21搬入晶片W的方式形成装载部。 
而且,目前为止只从装载部的搬送室将晶片搬入探针单元,所以必须要使搬送室的宽度和探针装置主体的宽度相符合。因此,出现装载口的分载部的长度比探针装置主体长,装载部侧的Y方向的侧部会从探针装置主体横向突出的问题。 
对此,如图12所示,在具备本发明的装载口11(12)(FOUP开闭装置)的探针装置可以将装载口11(12)的下方区域作为晶片W的搬送区域利用,所以可以使其分搬送室10的Y方向的宽度变窄,使装载部1的Y方向的宽度和探针装置主体2的Y方向的宽度相一致。 
即在具备本发明的FOUP开闭装置的探针装置中,在使多个探针单元排列而形成探针装置主体时,可以使装载口(FOUP开闭装置)的两端(外侧)不向比探针装置主体的外端更靠向Y方向的外侧突出,进一步显著地得到能够容易设计可以使两肩的外端面一致的结构的效果。 
另外,本实施方式的FOUP开闭装置也可以适用于具有1个探针单元的探针装置,在该情况下也有通过使装载口(FOUP开闭装置)的下方区域为晶片W的搬送区域而使得布局的自由度大的效果。而且,能够在该下方区域配置电力系统、控制系统的单元或者预校准机构等,实现有效地活用该区域。 
另外,在第一实施方式中,通过使锁部42a和锁孔92卡合而使盖体91保持在盖体开闭机构42上,第二实施方式用吸盘244吸引以使盖体91吸附保持在盖体开闭机构242上。但是,作为本发明的实施方式,也可以在第一实施方式的盖体开闭机构42上设有第二实施方式的吸盘,使盖体吸附保持,也可以在第二实施方式的盖体开闭机构242中如第一实施方式那样使锁部42a和锁孔92卡合而保持盖体91。 
而且,本实施方式的FOUP开闭装置并不限定于探针装置,也可以作为进行气体处理、热处理的半导体制造装置、或者在半导体晶片上涂敷抗蚀剂等涂敷液的涂敷装置等的装载口而加以应用。 

Claims (4)

1.一种FOUP开闭装置,其对FOUP盖体进行开闭,其特征在于,包括:
框体,其内部设有载置FOUP的载置台;
FOUP搬入口,其为在该框体的正面的开口,被闸板开闭;
交接口,其为在所述框体的侧面的开口,用于进行FOUP内的基板的交接;
旋转机构,其使所述载置台绕铅垂轴旋转;
盖体开闭机构,其设置在所述框体内的背面一侧,用于开闭并保持FOUP盖体;
移动机构,其使所述FOUP和所述盖体开闭机构相对进退以相互接近和分离;和
控制部,其输出控制信号,使得通过该移动机构使所述FOUP和所述盖体开闭机构相对移动,使该FOUP的盖体安装于盖体开闭机构,从FOUP卸下该盖体,然后使所述盖体开闭机构和所述FOUP相对分离,使载置台旋转以使FOUP的开口部朝向所述交接口。
2.如权利要求1所述的FOUP开闭装置,其特征在于:
载置台的旋转中心与FOUP的中心相比更靠近所述FOUP搬入口侧。
3.一种探针装置,其特征在于,包括:
如权利要求1或2所述的FOUP开闭装置;沿左右方向排列而设置在所述框体的背面一侧,通过探针卡进行基板检查的多个探针单元;和搬送机构,其通过所述交接口接收FOUP内的基板,在下降到该交接口的下方侧的状态下,将基板搬送到探针单元内。
4.如权利要求3所述的探针装置,其特征在于:
2个所述FOUP开闭装置隔着所述搬送机构的配置区域互相面对设置。
CN2009101652069A 2008-08-13 2009-08-13 Foup开闭装置和探针装置 Active CN101651112B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP2008208340 2008-08-13
JP2008-208340 2008-08-13
JP2008208340 2008-08-13
JP2009013436A JP5338335B2 (ja) 2008-08-13 2009-01-23 搬送容器の開閉装置及びプローブ装置
JP2009013436 2009-01-23
JP2009-013436 2009-01-23

Publications (2)

Publication Number Publication Date
CN101651112A CN101651112A (zh) 2010-02-17
CN101651112B true CN101651112B (zh) 2012-07-04

Family

ID=41681367

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009101652069A Active CN101651112B (zh) 2008-08-13 2009-08-13 Foup开闭装置和探针装置

Country Status (5)

Country Link
US (1) US8267633B2 (zh)
JP (1) JP5338335B2 (zh)
KR (1) KR101279318B1 (zh)
CN (1) CN101651112B (zh)
TW (1) TWI503915B (zh)

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102116835B (zh) * 2009-11-06 2014-12-03 东京毅力科创株式会社 探测装置以及衬底运送方法
JP2012204645A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6038476B2 (ja) * 2012-04-07 2016-12-07 平田機工株式会社 基板収納用の容器の搬入出装置及び搬入出方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102186620B1 (ko) * 2013-05-06 2020-12-03 삼성전자주식회사 로드 포트 모듈 및 이를 이용한 기판 로딩 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2016098930A1 (ko) * 2014-12-19 2016-06-23 주식회사 썬닉스 다방향 웨이퍼 이송 시스템
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102335827B1 (ko) 2014-12-24 2021-12-08 삼성전자주식회사 프로브 카드 로딩 장치, 그를 포함하는 프로브 카드 관리 시스템
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6582676B2 (ja) 2015-07-24 2019-10-02 東京エレクトロン株式会社 ロードロック装置、及び基板処理システム
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
TWI708309B (zh) * 2015-08-04 2020-10-21 日商昕芙旎雅股份有限公司 門開閉系統及具備門開閉系統之載入埠
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN105575862B (zh) * 2015-12-24 2018-06-12 北京中电科电子装备有限公司 一种foup装载门装置
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
JP6882656B2 (ja) * 2016-07-08 2021-06-02 シンフォニアテクノロジー株式会社 ロードポート及びロードポートを備える基板搬送システム
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10840121B2 (en) 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for unpacking semiconductor wafer container
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US20180197762A1 (en) * 2017-01-08 2018-07-12 Christian O. Cojocneanu Apparatus and Methods for Testing Semiconductor Devices
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN110770890B (zh) 2017-06-23 2023-09-08 应用材料公司 可索引侧储存仓设备、加热的侧储存仓设备、系统和方法
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
KR101816239B1 (ko) * 2017-07-24 2018-01-09 한국건설기술연구원 지반 테스트가 가능한 진공 챔버를 구비한 테스트 장치 및 이를 이용한 테스트 방법
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
TWI695170B (zh) * 2019-09-03 2020-06-01 尹鑽科技有限公司 檢測裝置及使用該檢測裝置之檢測方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111977290A (zh) * 2020-08-24 2020-11-24 台州市老林装饰有限公司 一种光刻设备的晶圆储存盒输送小车
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230035556A1 (en) * 2021-07-29 2023-02-02 Taiwan Semiconductor Manufacturing Company Limited Portable robotic semiconductor pod loader
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1819135A (zh) * 2005-01-28 2006-08-16 大日本网目版制造株式会社 基板处理装置
CN101128915A (zh) * 2005-02-24 2008-02-20 阿赛斯特技术公司 加工设备直接装载

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4856904A (en) * 1985-01-21 1989-08-15 Nikon Corporation Wafer inspecting apparatus
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
JPH1187460A (ja) * 1997-09-09 1999-03-30 Dainippon Screen Mfg Co Ltd 基板収納容器供給装置
JP3664897B2 (ja) * 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
JP3927018B2 (ja) * 2001-11-28 2007-06-06 株式会社ディスコ 切削装置
JP2003249537A (ja) 2002-02-22 2003-09-05 Tadashi Kamimura 開きドア方式でfoupドアを開閉保持するfoupオープナー
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
US7780391B2 (en) * 2002-05-10 2010-08-24 Tokyo Electron Limited Substrate processing device
JP4168724B2 (ja) * 2002-10-15 2008-10-22 神鋼電機株式会社 ロードポート
JP4166813B2 (ja) * 2006-05-11 2008-10-15 東京エレクトロン株式会社 検査装置及び検査方法
JP4194051B2 (ja) * 2006-05-31 2008-12-10 Tdk株式会社 防塵機能を備えたロードポート装置及びミニエンバイロンメントシステム
JP4848916B2 (ja) 2006-10-02 2011-12-28 シンフォニアテクノロジー株式会社 クランプ機構
JP2008117986A (ja) * 2006-11-07 2008-05-22 Shinko Electric Co Ltd ロードポート
JP5003292B2 (ja) * 2006-11-07 2012-08-15 シンフォニアテクノロジー株式会社 搬送システム
JP4985171B2 (ja) * 2007-07-19 2012-07-25 シンフォニアテクノロジー株式会社 ロードポート装置の取付装置
JP4989398B2 (ja) * 2007-09-27 2012-08-01 大日本スクリーン製造株式会社 基板処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1819135A (zh) * 2005-01-28 2006-08-16 大日本网目版制造株式会社 基板处理装置
CN101128915A (zh) * 2005-02-24 2008-02-20 阿赛斯特技术公司 加工设备直接装载

Also Published As

Publication number Publication date
JP2010067940A (ja) 2010-03-25
KR20100020929A (ko) 2010-02-23
US8267633B2 (en) 2012-09-18
TW201013826A (en) 2010-04-01
US20100040441A1 (en) 2010-02-18
CN101651112A (zh) 2010-02-17
KR101279318B1 (ko) 2013-06-26
TWI503915B (zh) 2015-10-11
JP5338335B2 (ja) 2013-11-13

Similar Documents

Publication Publication Date Title
CN101651112B (zh) Foup开闭装置和探针装置
US6979165B2 (en) Reduced footprint tool for automated processing of microelectronic substrates
KR101022959B1 (ko) 기판처리장치
US9048273B2 (en) Substrate conveying container opening/closing device, lid opening/closing device and semiconductor manufacturing apparatus
KR101461339B1 (ko) 기판 처리 장치 및 기판 처리 방법
KR101813326B1 (ko) 반송 방법 및 검사 시스템
CN108701636A (zh) 基板处理装置
US20190283244A1 (en) Over and under linear axis robot
KR20190019986A (ko) 기판 처리 장치
KR101965931B1 (ko) 기판 처리 장치
JP2003516622A (ja) 狭い据付面積のフロントエンドローダ運搬装置
JP2017092487A (ja) プローバ
JPH11165863A (ja) 基板搬送装置
TWI681490B (zh) 載體搬送裝置及載體搬送方法
CN113169107B (zh) 装载锁定腔室
TW202129818A (zh) 基板處理裝置及基板收納容器保管方法
JP4910033B2 (ja) プローブ装置
JP3974992B2 (ja) 基板収納容器の蓋開閉装置および基板搬入搬出装置
JP7175735B2 (ja) 基板搬送装置
JP2004047839A (ja) 密閉容器開閉装置
JPH11214470A (ja) 基板搬送装置
JP4913201B2 (ja) 基板搬送方法
JPH11168130A (ja) 処理システム

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant