TWI503915B - FOUP opening and closing device and probe device - Google Patents

FOUP opening and closing device and probe device Download PDF

Info

Publication number
TWI503915B
TWI503915B TW098127142A TW98127142A TWI503915B TW I503915 B TWI503915 B TW I503915B TW 098127142 A TW098127142 A TW 098127142A TW 98127142 A TW98127142 A TW 98127142A TW I503915 B TWI503915 B TW I503915B
Authority
TW
Taiwan
Prior art keywords
foup
opening
probe
closing
wafer
Prior art date
Application number
TW098127142A
Other languages
English (en)
Other versions
TW201013826A (en
Inventor
Tadashi Obikane
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201013826A publication Critical patent/TW201013826A/zh
Application granted granted Critical
Publication of TWI503915B publication Critical patent/TWI503915B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

FOUP開閉裝置及探針裝置
本發明是有關對於收納複數片的基板之密閉型的搬送容器的FOUP進行開閉之開閉裝置及使用此開閉裝置的探針裝置。
在半導體製造工程中,將半導體晶圓(以下稱為晶圓)搬送至各種的處理裝置或檢查裝置等時,大多為了減少對晶圓的粒子污染,而使用密閉型的搬送容器之FOUP來進行。此FOUP是具備開閉搬送容器本體的前面開口部的蓋體,因應於此在處理裝置側的裝載口設有:FOUP的載置台、及藉由此載置台的前進來與前述蓋體的鍵孔卡合且開啟蓋體的鍵來支撐該蓋體之蓋體支撐部。此蓋體支撐部亦具有開閉處理裝置(此處理在此是亦含晶圓的檢查等)的晶圓搬送環境與外部的任務,一般在支撐FOUP的蓋體之後下降,藉此FOUP的開口部會形成面臨晶圓搬送環境的狀態(專利文獻1)。
另一方面,在半導體製造工程的最終工程所被使用的探針裝置中亦設有FOUP的裝載口,為了提升探針測試,而提案具備複數台例如2台探針單元的探針裝置。此裝置是具備使2個的裝載口隔著晶圓的搬送機構的待機區域而對向配置的裝載器部,藉由在此裝載器部排列2台探針單元來構成。因應於此,搬送機構的臂體會從FOUP接受晶圓後降下,為了在探針單元內的平台交接晶圓,必須朝左側(右側)斜向使臂體進退。然而,因為前述門支撐部是位於比FOUP的高度更低之處,所以為了避開臂體與門支撐部的側室,而例如受到探針單元側的平台的交接位置或裝載口間的距離等的佈局上的限制。
而且,若一邊將探針裝置全體的平面形狀維持成4角形,一邊更增加探針單元的台數,則全體的Y方向尺寸會形成取決於探針單元群的尺寸,所以結果兩端的探針單元的中心位置會靠過來。因此,若想要避開門支撐部來將晶圓搬送至兩端的探針單元,則裝載口不得不比探針單元更凸出至左(右)側。且非限於如此的探針裝置,在使FOUP的門下降至下方的構成中,也會有妨礙到比FOUP的載置區域更下方側的區域的有效活用之課題,例如妨礙到電氣單元或預對準機構的配置之課題。
另外,在專利文獻2中記載有構成可將FOUP的蓋體旋轉成橫開的構造,但如此的FOUP因為無泛用性,所以實際上無法使用。
[先行技術文獻]
[專利文獻]
[專利文獻1]特開2008-91597號公報(段落號碼0049)
[專利文獻2]特開2003-249537號公報(段落號碼0007)
本發明是有鑑於如此情事而研發者,其目的是在於提供一種可有效地活用被搬入FOUP,且FOUP的蓋體被開啟的區域的下方空間之FOUP開閉裝置。
本發明的另一目的是在於藉由具備前述FOUP開閉裝置來提供一種佈局的自由度大的探針裝置。
本發明的FOUP開閉裝置,係開閉FOUP的蓋體之FOUP開閉裝置,其特徵係具備:框體,其係載置FOUP的載置台係設於其內部;FOUP搬入口,其係開口於該框體的正面,藉由遮板來開閉;交接口,其係開口於前述框體的側面,用以進行FOUP內的基板的交接;旋轉機構,其係使前述載置台旋轉於鉛直軸周圍;蓋體開閉機構,其係設於前述框體內的背面側,用以開閉FOUP的蓋體的同時予以保持;移動機構,其係使前述FOUP與前述蓋體開閉機構,以彼此能夠接近離開的方式相對地進退;控制部,其係輸出控制信號,而使能夠藉由該移動機構來使前述FOUP與前述蓋體開閉機構相對地移動,使該FOUP的蓋體裝於蓋體開閉機構上,從FOUP解開該蓋體,接著使前述蓋體開閉機構與前述FOUP相對地離開,使載置台旋轉來將FOUP的方向朝向前述交接口。
又,本發明的FOUP開閉裝置,例如載置台的旋轉中心也可以比FOUP的中心更往前述FOUP搬入口側偏芯。
又,本發明的探針裝置,其特徵係具備:上述各FOUP開閉裝置;複數台的探針單元,其係於前述框體的背面側,於左右方向排列設置,藉由探針卡來進行基板的檢查;及搬送機構,其係經由前述交接口來接受FOUP內的基板,在比該交接口更下降至下方側的狀態下將基板搬送至探針單元內。
又,本發明的探針裝置,例如前述FOUP開閉裝置也可以隔著前述搬送機構的配置區域來彼此相向設置2個。
本發明是在設有載置FOUP的載置台的框體內設置蓋體開閉機構,從FOUP解開蓋體後,使載置台旋轉,而使FOUP能夠朝向基板的交接口。因此,不必在框體的下方區域設置保持蓋體的區域,所以可有效地活用搬入FOUP的裝載口(FOUP開閉裝置)的下方空間。而且,在具備此FOUP開閉裝置的探針裝置中,可有效地活用載置FOUP的框體的下方區域,例如可將載置FOUP的框體的下方區域與基板的搬送路徑重疊等,因此可提供一種佈局(layout)的自由度大的探針裝置。
說明有關適用本發明的FOUP開閉裝置之探針(prpbe)裝置的實施形態。如圖1~圖4所示,此探針裝置具備:用以進行被檢查基板亦即晶圓W的交接之裝載器部1、及對晶圓W進行探測(probing)之探針裝置本體2。首先,簡單說明有關裝載器部1及探針裝置本體2的全體佈局。
裝載器部1是具備:第1裝載口11及第2裝載口12,其係搬入收納有複數片的晶圓W之密閉型搬送容器(載體)的FOUP100,彼此在Y方向(圖示左右方向)分離而對向配置;及搬送室10,其係配置於該等裝載口11、12之間。
第1裝載口11及第2裝載口12是相當於本發明的FOUP開閉裝置的實施形態。裝載口11(12)是分別具備框體11a(12a),且在該等框體11a(12a)的前面側(X方向前側)設有用以從外部來搬出入FOUP100的FOUP搬入口11b(12b)。在此FOUP搬入口11b(12b),具備把手的遮板11c(12c)會被設成在上昇位置關閉裝載口11(12),在下降位置開啟裝載口11(12)。在框體11a(12a)的內部設有用以載置FOUP100的載置台13(14)。
其次,參照圖2~圖4來詳述有關本發明的要部之裝載口(FOUP開閉裝置)11(12)、及被搬入至裝載口11(12)的FOUP100。在此,因為裝載器部1的第1裝載口11及第2裝載口12是彼此對稱且同構成,所以在圖3、圖4中以第1裝載口11作為代表顯示。
裝載口11是藉由框體11a來包圍周圍,在框體11a的搬送室10側設有FOUP100的晶圓W的交接口11d。在框體11a的內部配設有用以開啟後述的FOUP100的蓋體91而予以保持的蓋體開閉機構42。若將FOUP搬入口11b設為正面,則蓋體開閉機構42是設於框體11a的背面,在與蓋體91接觸的面,如圖4所示設有鍵部42a及定位用的銷42b。在蓋體開閉機構42的內部配設有未圖示的鍵旋轉部,構成可使鍵部42a旋轉90度。並且,當FOUP100的蓋體91被開封時,蓋體開閉機構42是構成可用鍵部42a及銷42b來保持蓋體91。而且,根據鍵部42a的旋轉動作來進行蓋體91的開閉。
載置台13是具備載置板60、移動機構61及旋轉支撐部62。載置板60是在FOUP100的載置面側具有3根的定位銷63及鉤爪部64,在他面側設有引導載置板60的引導構件65。移動機構61是具有沿著引導構件65來使載置板60移動於圖示X方向之例如汽缸等未圖示的驅動源。然後,載置板60是藉由移動機構61來從FOUP100的載置位置移動至後述蓋體91的開閉位置,亦即蓋體開閉機構42的鍵部42a與鍵穴92所卡合的位置。換言之,被定位固定於載置板60的FOUP100是以載置板60往蓋體開閉機構42側移動時鍵部42a與鍵穴92會卡合的方式固定。
並且,旋轉支撐部62是在下部連接旋轉機構40的旋轉軸41,載置板60連同移動機構61一起搭載。然後,藉由旋轉機構40來使載置板60旋轉90度。藉此,FOUP100是在X方向進退,且在Z軸(鉛直軸)周圍旋轉90度。又,載置台13的旋轉中心P1是從載置台13的中心位置P2亦即所被載置的FOUP100的中心位置往搬送室10側及遮板11c、12c側偏芯。
FOUP100是在內部具有複數段例如25段未圖示的收納棚架,可收納25片的晶圓W。FOUP100是具有用以密封FOUP100的開口部之蓋體91,在蓋體91具備鍵穴92、銷孔93及未圖示的閂鎖機構。鍵穴92是與蓋體開閉機構42的鍵部42a卡合。銷孔93是與蓋體開閉機構42的定位用的銷42b嵌合。閂鎖機構是藉由鍵部42a在鍵穴92的內部旋轉來作動,可藉由此作動來切換蓋體91對FOUP100的閉鎖狀態與開鎖狀態。並且,在FOUP100的下部設有台座部94,在此台座部94的下面形成有用以在載置台13卡止FOUP100的定位孔95及突出部96。定位孔95是構成可與載置台13的定位銷63嵌合,突出部96是構成可掛住載置台13的鉤爪部64。然後,在載置板60載置FOUP100時,以定位孔95與定位銷63能夠嵌合的方式載置FOUP100之後,將鉤爪部64掛於突出部96。藉此,FOUP100會被定位固定於載置板60。
在搬送室10中,如圖2及圖3所示,設有搬送機構的晶圓搬送臂3。晶圓搬送臂3是具備:搬送基台35、及 使該搬送基台35旋轉於鉛直軸周圍的旋轉軸、及使該旋轉軸3a昇降之未圖示的昇降機構,且在搬送基台35,進退自如地設有複數個例如2個的臂體30,兩臂體30彼此獨立進退,而具有進行晶圓W的搬送之任務。旋轉軸3a的旋轉中心是被設定於離二個裝載口11(12)等距離位置。並且,晶圓搬送臂3是構成可在上位置與下位置之間昇降,該上位置是供以在和FOUP100之間交接晶圓W,該下位置是比供以在與第1探針單元21A或第2探針單元21B之間交接晶圓W的裝載口11(12)更下側。
並且,在裝載口11的下方區域,在不阻礙往探針裝置本體2之晶圓W的搬送的位置,設有包含旋轉平台及檢測出晶圓的周緣的光學系檢出部之預對準單元。在圖2中以符號55來表示使用於預對準單元的旋轉平台。此預對準單元是對於從晶圓搬送臂3所接受的晶圓W進行預對準,調整晶圓W的方向的同時檢測出中心位置。
探針裝置本體2是以能夠和裝載器部1排列於X方向的方式鄰接於該裝載器部1而配置,具備構成探針裝置本體2的外裝部分的外箱22。此外箱22是隔著間隔壁20在Y方向上二分割,一方的分割部分及另一方的分割部分是分別相當於區劃形成第1探針單元21A及第2探針單元21B的外裝體。
第1探針單元21A是具備:基板載置台的晶圓吸盤4A;對準橋5A,其係成為具備攝影機的攝影單元之移動體,該攝影機係於該晶圓吸盤4A的上方區域移動於Y方向(連結裝載口11、12的方向);及探針卡6A,其係設於成為外箱22的頂部之頂板80。
有關第2探針單元21B也是同様地構成,具備晶圓吸盤4B、對準橋5B及探針卡。
在此探針裝置本體2的外箱22之裝載器部1側的側壁,為了在第1探針單元與第2探針單元21B之間交接晶圓W,而有延伸於Y方向的帶狀搬送口22a、22b(參照圖2)開口。另外,該等的第1探針單元21A與第2探針單元21B是對於通過晶圓搬送機構3的旋轉中心與連結第1裝載口11及第2裝載口12的直線正交的水平線而言,各個晶圓W的交接位置、晶圓W表面的攝影位置及探針卡6A的設置位置等是形成左右對稱,且形成同構成,因此為了避免重複說明,針對第1探針單元21A參照圖2、3來進行說明。
探針單元21A內的晶圓吸盤4A是以能夠在X、Y、Z(上下)方向移動自如,且可旋轉於鉛直軸周圍的方式組合設於工作台單元23,可在與晶圓搬送機構3之間移動於供給進行晶圓W的交接的交接位置、及如後述般晶圓W表面的攝影位置、以及接觸於探針卡6A的探針針29的接觸位置(檢查位置)之間。
在晶圓吸盤4A的移動區域的上方設有探針卡6A,在此探針卡6A的上面側設有探針(pogo pin)單元28。在此探針單元28的上面,通常是配置有未圖示的測試頭,但就此例而言,測試頭是配置於與探針裝置本體2不同的位置,探針單元28與測試頭是以未圖示的電纜來連接。並且,在探針卡6A的下面側,分別電性連接至上面側的電極群之探針例如對晶圓W的表面垂直延伸的垂直針(線材探針針)是對應於晶圓W的電極焊墊的配列來例如設於探針卡6A的全面。在對準橋5A設有用以對晶圓的表面進行攝影的CCD攝影機。
並且,如圖2所示,在探針裝置設有例如由電腦所構成的控制部15,此控制部15是具備程式、記憶體、CPU所構成的資料處理部等。程式是編有步驟群,使在FOUP100被搬入裝載口11(12)後,對晶圓W進行探針測試,然後晶圓W回到FOUP100,控制到FOUP100被搬出為止的一連串的各部動作。此程式(亦含有關處理參數的輸入操作或顯示的程式)是例如被儲存於軟碟、光碟、MO(光磁碟)、硬碟等的記憶媒體,而來安裝於控制部15。
其次,說明有關上述實施形態的作用。首先,參照圖5、圖6來說明有關具體的蓋體91的開閉動作。在此,裝載器部1的第1裝載口11及第2裝載口12是互相對稱且同一構成,因此以第1裝載口11的構造為代表顯示於圖5、6。並且,在圖5、圖6,基於說明方便,只顯示框體11a的內部位置、及FOUP100、載置台13、蓋體開閉機構42,有關其他的構件是省略說明。首先,開啟裝載口11的遮板11c,從FOUP搬入口11b,如圖5(a)所示,將FOUP100搬入裝載口11。其次,如已述般,使台座部94的定位孔95與定位銷63嵌合,進行FOUP100的定位,在FOUP100的定位完成的時間點,將鉤爪部64掛於突出部96,而把FOUP100固定於載置板60上。將此狀態顯示於圖5(b)。
固定FOUP100後,如圖5(c)所示,至蓋體91的鍵穴92與蓋體開閉機構42的鍵部42a卡合,且銷孔93與銷42b嵌合的位置為止,使載置板60朝蓋體開閉機構42前進。然後,一旦鍵穴92與鍵部42a係合,則鍵部42a會旋轉而使蓋體91形成開鎖狀態的同時,蓋體開閉機構42會形成可保持蓋體91的狀態。在此狀態下一旦FOUP100後退,則如圖6(a)所示,開鎖狀態的蓋體91會形成被蓋體開閉機構42保持的狀態,FOUP100的開口部會被開放。
一旦開口部被開放,則如圖6(b)所示,旋轉機構40會驅動,而以FOUP100的開口部能夠朝向交接口11d方向的方式來使FOUP100旋轉。此時在裝載口11,如圖6(a)所示,載置台13的旋轉中心P1會從載置台13的中心位置偏芯,在X軸上是往FOUP搬入口11b側只偏芯距離X1,在Y軸上是往交接口11d側只偏芯距離Y1。因此,如圖6(c)所示,若使載置台13旋轉90度來將開口部朝向交接口11d側,則可使載置台13的中心P2的Y軸上的位置往交接口11d側只移動距離X1+Y1量。藉此,收納於FOUP100的晶圓W的中心位置會從載置台13的旋 轉前的位置往交接口11d側只移動距離X1+Y1量。
然後,如圖6(c)所示,FOUP100的開口部朝向交接口11d之後,藉由晶圓搬送臂3來取出內部的晶圓W。然後,從FOUP100藉由晶圓搬送臂3來搬出的晶圓W會被搬送至預對準單元的旋轉平台55,進行預對準,調整晶圓W的方向,而使能夠形成對應於探針單元21A、21B的凹槽的方向,且有關其中心位置也會被檢測出。一旦預對準終了,則晶圓搬送臂3會根據藉由預對準所取得的資料來以晶圓W的中心能夠一致於晶圓搬送臂3的晶圓保持區域的中心之方式接受晶圓W,使能夠通過裝載口11(12)的下方區域來搬送晶圓W。然後,晶圓W會從搬送口22a、22b來搬入至探針單元21A、21B,交接至吸盤頂部4A、4B。圖7是簡略化顯示此晶圓W的交接。
被搬入至探針單元21A、21B後,是藉由設於對準橋5A的CCD攝影機(未圖示)來對晶圓W的電極焊墊進行攝影,且藉由設於吸盤頂部4A附近的下攝影機(未圖示)來對探針卡6A的探針針29的針端進行攝影,求取在各攝影時的吸盤頂部4A的驅動系所被特定的X、Y、Z方向的座標位置,晶圓W移動至根據該等座標位置來求得的接觸位置。然後,使探針針29與晶圓W上的電極焊墊接觸,藉由未圖示的測試器來測定各晶片的電氣特性,該測試器是經由被連接至探針卡6A的測試頭來連接。一旦探針測試終了,則晶圓W是以不會被搬送至預對準單元的方式來回到FOUP100。此一連串的動作是在藉由讀進測試 用程式的控制部15來控制的狀態下進行。
然後,晶圓W會被搬送至探針單元21A、21B來進行上述的探針測試。探針測試終了的晶圓W是藉由晶圓搬送臂3來回到FOUP100,一旦對FOUP100的全部晶圓W完成探針測試,則依照與上述程序相反的程序,在FOUP100裝上蓋體91,而搬出至裝載口11的外部。
如上述般,本實施形態的探針裝置是在裝載口11(12)的內部設置開啟FOUP100的蓋體91而予以保持的蓋體開閉機構42,使以此蓋體開閉機構42來解開蓋體91的FOUP100朝向晶圓搬送臂3之晶圓W的交接口11d側而旋轉。因此,不需要像以往那樣使蓋體退避於裝載口11(12)的下方區域之機構。因此,不會有在裝載口11(12)的下方區域保持蓋體91的情形,所以可有效地活用以往作為蓋體91的保持區域使用的區域,像本實施形態那樣可作為晶圓W的搬送區域使用。而且,在對探針單元21A、21B搬出入晶圓W時,可以能夠通過裝載口11(12)的下方區域之方式搬送晶圓W,因此對吸盤頂部4A、4B之交接位置的自由度會變大,其結果,不會有裝載口11(12)的兩端(外側)比探針單元21A、21B的外端更突出至Y方向外側的情形,具有可容易設計能使兩肩的外端面一致之構成的效果。如此若根據本實施形態,則可有效地活用載置FOUP100的裝載口11(12)的下方區域,例如可將載置FOUP100的裝載口11(12)的下方區域作為晶圓W的搬送區域利用等,而具有佈局的自由度大的效果 。
又,由於載置台13的旋轉中心P1對載置台13的中心P2是如已述般偏芯,因此在將開口部朝向交接口11d側時,可使收納於FOUP100的晶圓W的中心位置從載置台13的旋轉前的位置往交接口11d側只靠近距離X1+Y1量。藉此,可避免或抑制晶圓搬送臂3的行程(stroke)變長,因此具有不使晶圓搬送臂3大型化等的優點。
[第2實施形態]
參照圖8~圖11來說明有關本發明的第2實施形態的探針裝置。第2實施形態的探針裝置是除了裝載口11的內部構造以外,與第1實施形態相同,因此對於和第1實施形態相同部分或相當部分附上同一符號來進行說明。在第1實施形態是使FOUP100進退,但在第2實施形態是使FOUP100停止的狀態下,使蓋體開閉機構242進退來開閉蓋體91的點不同。另外,在第2實施形態的說明是只針對裝載口11及與裝載口11相關的構件來進行說明。
如圖8所示,在裝載口11的框體11a內設有載置台213及蓋體開閉機構242。載置台213是具備載置板60及旋轉支撐部62,在旋轉支撐部62的下部連接旋轉軸41。而且,就此實施形態而言,因為不使載置於載置台213的FOUP100進退,所以在第1實施形態被設於載置板60下部的移動機構61會被去除。另外,載置台213的旋轉中心P4(參照圖11)是從載置台213的中心位置P5(參照圖11)亦即所被載置的FOUP100的中心位置往搬送室10側(圖8的內側,參照圖2)及FOUP搬入口11b側偏芯。
如圖8及圖9所示,若將FOUP搬入口11b設為正面,則蓋體開閉機構242是設於框體11a內的背面側。蓋體開閉機構242是具備支撐板245,其係由框體11a的中心來看,以背面側作為後方時,是將下部的左右兩端分別藉由後述的支撐臂271以垂直姿勢且能與框體11a的背面大致平行的方式支撐。在此支撐板245的前面(載置台213側的面)設有鍵部42a與吸附機構243。
吸附部243是如圖9所示具備吸盤244、及設於該吸盤244的中央之定位用的銷42b,當銷42b被插入形成於蓋體91的銷孔而進行蓋體91的定位後,吸盤244會吸引蓋體91。而且,蓋體開閉機構242會在支撐板245另一面吸附保持藉由鍵部42a來開閉的蓋體91。
並且,在框體11a的底部設有覆蓋該底部的全面之扁平的矩形狀的箱之罩蓋(cover)214,在其內部設有進退機構270。並且,在罩蓋214的上面形成有對應於後述的支撐臂271的移動區域之開縫(slit)215,且在罩蓋214的上方配置有載置台213。而且,旋轉軸41會貫通罩蓋214與框體11a來連接至旋轉支撐部62的框體11a的底部側。另外,在圖9中基於說明的方便,省略了框體11a及罩蓋214的記載。
進退機構270是相當於使蓋體開閉機構242從框體11a的背面至載置台213進退之本發明的移動機構之機構。進退機構270是分別在框體11a的底部的兩端側具備延伸於圖示X方向(前後方向)的2根導軌272,在各導軌272安裝有移動於該導軌272上的移動部273。而且,支撐支撐板245的支撐臂271的一端會被安裝於各移動部273。
此支撐臂271是L字狀的構件,L字的一邊的前端(一端)如已述般是被安裝於移動部273,另一邊的前端部是如已述般各連接至支撐板245的下部的左右兩側部。而且,兩支撐臂271是藉由架設於L字狀的各邊的根部之連結棒274來連結,該連結棒274是X方向的剖面大致呈L字狀。
在兩導軌272之間設有成為進退機構270的驅動源之例如汽缸275等,如圖9所示,以旋轉軸41和框體11a在X-Y平面上不會重疊的方式,且活塞連桿(piston rod)276的伸縮方向會與導軌272平行的方式,配置於框體11a的底部。而且,此活塞連桿276的前端是被連接至連結棒274。
此進退機構270是構成可按照活塞連桿276的伸縮來進退於X方向。而且,一旦活塞連桿276退縮,則支撐的蓋體開閉機構242會前進至載置台213側,一旦活塞連桿276伸長,則支撐的蓋體開閉機構242會後退至框體11a的背面側。亦即,被進退機構270支撐的蓋體開閉機構242是在被導軌272引導的狀態下可進退至前後方向(圖示X方向)。
另外,在吸附機構243經由未圖示的吸引管來連接至未圖示的吸引泵,在汽缸275連接未圖示的動力供給源(空氣供給源)。而且,進退機構270是藉由控制部15來控制來自動力供給源的動力供給等。
其次,參照圖8~圖11來說明有關本實施形態的蓋體91的開閉動作。在此,基於說明的方便起見,在圖10、圖11中是僅顯示裝載口11的框體11a的內面、及FOUP100、載置台213、蓋體開閉機構242、進退機構270的一部分,有關其他的構件則省略說明。首先,開啟圖8所示的裝載口11的遮板11c,從FOUP搬入口11b來將FOUP100搬入裝載口11內(參照圖10(a))。然後如已述般使定位孔95(參照圖8)與定位銷63嵌合,將鉤爪部64掛於突出部96,而將FOUP100固定於載置板60上。將此狀態顯示於圖10(b)。
將FOUP100固定後,如圖10(c)所示,至蓋體91的鍵穴92與蓋體開閉機構242的鍵部42a卡合,且未圖示的銷孔與銷42b嵌合的位置為止,使蓋體開閉機構242朝向FOUP100前進。然後,使銷42b嵌合於銷孔之後,藉由吸附機構243來將蓋體91吸附於蓋體開閉機構242而保持。其次,卡合於鍵穴92的鍵部42a會旋轉而使蓋體91形成開鎖狀態。在此狀態下一旦蓋體開閉機構242後退,則如圖11(a)所示,開鎖狀態的蓋體91是在被吸附保持於蓋體開閉機構242的狀態下,與蓋體開閉機構242一起往框體11a的背面側移動。藉此,FOUP100的開口部會被開放。
然後,與第1實施形態同様,如圖11(b)所示,以FOUP100的開口部能夠朝向交接口11d方向的方式,使FOUP100旋轉。然後,如圖11(c)所示,FOUP100的開口部朝向交接口11d之後,藉由晶圓搬送臂3(參照圖2)來取出內部的晶圓W,而與第1實施形態同様地進行探針測試。探針測試終了的晶圓W會回到FOUP100,一旦對FOUP100的全部晶圓W完成探針測試,則依照與上述程序相反的程序在FOUP100裝上蓋體91,而FOUP100會被搬出至裝載口11的外部。
如上述般在本實施形態的探針裝置中,亦於裝載口11(12)的內部設置開啟FOUP100的蓋體91而予以保持的蓋體開閉機構242,可將開啟的蓋體91保持於裝載口11(12)內。因此,不需要使蓋體退避於裝載口11(12)的下方區域之機構,可有效地活用以往作為蓋體91的保持區域使用的區域。因此,若根據本實施形態,則與第1實施形態同様,可有效地活用載置FOUP100的裝載口11(12)的下方區域,例如可將載置FOUP100的裝載口11(12)的下方區域作為晶圓W的搬送區域利用等,而具有可加大探針裝置的單元佈局的自由度的效果。
又,由於本實施形態並非是載置台213,而是構成蓋體開閉機構242會進退,因此可不使FOUP100進退來開閉蓋體91。藉此,不會有開口部開啟的FOUP100進退的情形,不會有因為FOUP100的進退所產生的力量作用,而使得內部的晶圓W從開口部飛出之虞。
另外,就上述各實施形態而言,是在由2個裝載口及1個搬送室所構成的裝載器室,以能夠沿著該裝載器室的方式來將2台以上的探針單元排列成一列而形成探針裝置,但本發明是即使由2個裝載口及1個搬送室所構成的裝載器室、及以能夠沿著該裝載器室的方式來將複數台例如3台以上的探針單元排列成一列的探針裝置也可適用。
具體的一例,例如圖12所示,亦可為具備探針裝置本體2的探針裝置,該探針裝置本體2係以能夠沿著裝載器室1的方式在Y軸方向將與上述實施形態的探針單元21A(21B)同構成的探針單元21合計4台排列成一列。在如此將探針單元21排列4台來構成探針裝置本體2時,必須以能夠對全部的探針單元21搬入晶圓W的方式形成裝載器部。
以往是只從裝載器部的搬送室來將晶圓搬入探針單元,所以必須將搬送室的寬度配合探針裝置本體的寬度。因此,裝載口的分裝載器部的長度會比探針裝置本體長,會有裝載器部側的Y方向的側部從探針裝置本體旁邊突出的問題。
相對的,如圖12所示,具備本發明的裝載口11(12)(FOUP開閉裝置)的探針裝置,因為可將裝載口11(12)的下方區域作為晶圓W的搬送區域利用,所以可縮小搬送室10的Y方向的寬度,可合倂裝載器部1的Y方向的寬度與探針裝置本體2的Y方向的寬度。
亦即,具備本發明的FOUP開閉裝置的探針裝置,在排列複數台探針單元來形成探針裝置本體時,不會有使裝載口(FOUP開閉裝置)的兩端(外側)比探針裝置本體的外端更突出至Y方向外側的情形,可容易設計能使兩肩的外端面一致之構成的效果更為顯著。
又,本實施形態的FOUP開閉裝置是探針單元亦可適用於1台的探針裝置,此情況亦可將裝載口(FOUP開閉裝置)的下方側區域作為晶圓W的搬送區域,具有佈局的自由度大的效果,且可在該下方區域配置電氣系或控制系的單元或預對準機構等,可謀求該區域的有效活用。
又,第1實施形態是藉由鍵部42a與鍵穴92卡合來使蓋體91保持於蓋體開閉機構42,第2實施形態是藉由吸盤244吸引來使蓋體91吸附保持於蓋體開閉機構242,但本發明的實施形態亦可在第1實施形態的蓋體開閉機構42設置第2實施形態的吸盤來使蓋體吸附保持,或在第2實施形態的蓋體開閉機構242,如第1實施形態那樣使鍵部42a與鍵穴92卡合來保持蓋體91。
又,本實施形態的FOUP開閉裝置並非限於探針裝置,亦可適合作為進行氣體處理或熱處理的半導體製造裝置、或對半導體晶圓塗佈阻劑等的塗佈液之塗佈裝置等的裝載口使用。
1...裝載器部
2...探針裝置本體
3...晶圓搬送臂
10...搬送室
11、12...裝載口
11a、12a...框體
11b、12b...FOUP搬入口
11c、12c...遮板
11d、12d...交接口
13、14...載置台
15...控制部
20...間隔壁
21A、21B...探針單元
22...外箱
22a、22b...搬送口
30...臂體
40...旋轉機構
42、242...蓋體開閉機構
42a...鍵部
42b...銷
55...預對準單元
60...載置板
61...移動機構
62...旋轉支撐部
100...FOUP
270...進退機構(移動機構)
W...晶圓
圖1是表示本實施形態的探針裝置的概略立體圖。
圖2是表示本實施形態的探針裝置的概略平面圖。
圖3是表示本實施形態的探針裝置的概略側面圖。
圖4是表示本實施形態的裝載口11、12的概略側面圖。
圖5是用以說明有關FOUP100的載置方法的第1說明圖。
圖6是用以說明有關FOUP100的載置方法的第2說明圖。
圖7是用以說明有關探針裝置的晶圓W的交接的說明圖。
圖8是表示第2實施形態的裝載口的概要剖面圖。
圖9是表示第2實施形態的裝載口的概要立體圖。
圖10是用以說明有關第2實施形態的FOUP100的載置方法的第1說明圖。
圖11是用以說明有關第2實施形態的FOUP100的載置方法的第2說明圖。
圖12是用以說明有關本發明的其他實施形態的探針裝置的立體圖。
1...裝載器部
2...探針裝置本體
3...晶圓搬送臂
10...搬送室
11、12...裝載口
11a、12a...框體
11b、12b...FOUP搬入口
12c...遮板
13...載置台
21A、21B...探針單元
42...蓋體開閉機構
91...蓋體
100...FOUP

Claims (4)

  1. 一種FOUP開閉裝置,係開閉FOUP的蓋體之FOUP開閉裝置,其特徵係具備:框體,其係載置FOUP的載置台係設於其內部;FOUP搬入口,其係開口於該框體的正面,藉由遮板來開閉;交接口,其係開口於前述框體的側面,用以進行FOUP內的基板的交接;旋轉機構,其係使前述載置台旋轉於鉛直軸周圍;蓋體開閉機構,其係設於前述框體內的背面側,用以開閉FOUP的蓋體的同時予以保持;移動機構,其係使前述FOUP與前述蓋體開閉機構,以彼此能夠接近離開的方式相對地進退;控制部,其係輸出控制信號,而使能夠藉由該移動機構來使前述FOUP與前述蓋體開閉機構相對地移動,使該FOUP的蓋體裝在蓋體開閉機構,從FOUP解開該蓋體,接著使前述蓋體開閉機構與前述FOUP相對地離開,使載置台旋轉來將FOUP的方向朝向前述交接口。
  2. 如申請專利範圍第1項之FOUP開閉裝置,其中,載置台的旋轉中心係比FOUP的中心更往前述FOUP搬入口側偏芯。
  3. 一種探針裝置,其特徵係具備:如申請專利範圍第1或2項所記載之FOUP開閉裝置;複數台的探針單元,其係於前述框體的背面側,於左右方向排列設置,藉由探針卡來進行基板的檢查;及搬送機構,其係經由前述交接口來接受FOUP內的基板,在比該交接口更下降至下方側的狀態下將基板搬送至探針單元內。
  4. 如申請專利範圍第3項之探針裝置,其中,前述FOUP開閉裝置係隔著前述搬送機構的配置區域來彼此相向設置2個。
TW098127142A 2008-08-13 2009-08-12 FOUP opening and closing device and probe device TWI503915B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008208340 2008-08-13
JP2009013436A JP5338335B2 (ja) 2008-08-13 2009-01-23 搬送容器の開閉装置及びプローブ装置

Publications (2)

Publication Number Publication Date
TW201013826A TW201013826A (en) 2010-04-01
TWI503915B true TWI503915B (zh) 2015-10-11

Family

ID=41681367

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098127142A TWI503915B (zh) 2008-08-13 2009-08-12 FOUP opening and closing device and probe device

Country Status (5)

Country Link
US (1) US8267633B2 (zh)
JP (1) JP5338335B2 (zh)
KR (1) KR101279318B1 (zh)
CN (1) CN101651112B (zh)
TW (1) TWI503915B (zh)

Families Citing this family (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102116835B (zh) * 2009-11-06 2014-12-03 东京毅力科创株式会社 探测装置以及衬底运送方法
JP2012204645A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6038476B2 (ja) * 2012-04-07 2016-12-07 平田機工株式会社 基板収納用の容器の搬入出装置及び搬入出方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102186620B1 (ko) * 2013-05-06 2020-12-03 삼성전자주식회사 로드 포트 모듈 및 이를 이용한 기판 로딩 방법
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
WO2016098930A1 (ko) * 2014-12-19 2016-06-23 주식회사 썬닉스 다방향 웨이퍼 이송 시스템
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102335827B1 (ko) 2014-12-24 2021-12-08 삼성전자주식회사 프로브 카드 로딩 장치, 그를 포함하는 프로브 카드 관리 시스템
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6582676B2 (ja) 2015-07-24 2019-10-02 東京エレクトロン株式会社 ロードロック装置、及び基板処理システム
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
TWI788061B (zh) * 2015-08-04 2022-12-21 日商昕芙旎雅股份有限公司 門開閉系統及具備門開閉系統之載入埠
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
CN105575862B (zh) * 2015-12-24 2018-06-12 北京中电科电子装备有限公司 一种foup装载门装置
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6882656B2 (ja) * 2016-07-08 2021-06-02 シンフォニアテクノロジー株式会社 ロードポート及びロードポートを備える基板搬送システム
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10840121B2 (en) 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for unpacking semiconductor wafer container
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018129460A1 (en) * 2017-01-08 2018-07-12 Testmetrix, Inc. Apparatus and methods for testing semiconductor devices
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10388547B2 (en) * 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
TWI676089B (zh) 2017-06-23 2019-11-01 美商應用材料股份有限公司 側儲存倉、電子裝置處理系統、和處理基板的方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR101816239B1 (ko) * 2017-07-24 2018-01-09 한국건설기술연구원 지반 테스트가 가능한 진공 챔버를 구비한 테스트 장치 및 이를 이용한 테스트 방법
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
TWI695170B (zh) * 2019-09-03 2020-06-01 尹鑽科技有限公司 檢測裝置及使用該檢測裝置之檢測方法
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111977290A (zh) * 2020-08-24 2020-11-24 台州市老林装饰有限公司 一种光刻设备的晶圆储存盒输送小车
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20230035556A1 (en) * 2021-07-29 2023-02-02 Taiwan Semiconductor Manufacturing Company Limited Portable robotic semiconductor pod loader
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4856904A (en) * 1985-01-21 1989-08-15 Nikon Corporation Wafer inspecting apparatus
US20050238464A1 (en) * 2002-05-10 2005-10-27 Tokyo Electron Limited Substrate processing device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
JPH1187460A (ja) * 1997-09-09 1999-03-30 Dainippon Screen Mfg Co Ltd 基板収納容器供給装置
JP3664897B2 (ja) * 1998-11-18 2005-06-29 東京エレクトロン株式会社 縦型熱処理装置
JP3927018B2 (ja) * 2001-11-28 2007-06-06 株式会社ディスコ 切削装置
JP2003249537A (ja) 2002-02-22 2003-09-05 Tadashi Kamimura 開きドア方式でfoupドアを開閉保持するfoupオープナー
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
JP4168724B2 (ja) * 2002-10-15 2008-10-22 神鋼電機株式会社 ロードポート
CN100413047C (zh) * 2005-01-28 2008-08-20 大日本网目版制造株式会社 基板处理装置
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
JP4166813B2 (ja) * 2006-05-11 2008-10-15 東京エレクトロン株式会社 検査装置及び検査方法
JP4194051B2 (ja) * 2006-05-31 2008-12-10 Tdk株式会社 防塵機能を備えたロードポート装置及びミニエンバイロンメントシステム
JP4848916B2 (ja) 2006-10-02 2011-12-28 シンフォニアテクノロジー株式会社 クランプ機構
JP5003292B2 (ja) * 2006-11-07 2012-08-15 シンフォニアテクノロジー株式会社 搬送システム
JP2008117986A (ja) * 2006-11-07 2008-05-22 Shinko Electric Co Ltd ロードポート
JP4985171B2 (ja) * 2007-07-19 2012-07-25 シンフォニアテクノロジー株式会社 ロードポート装置の取付装置
JP4989398B2 (ja) * 2007-09-27 2012-08-01 大日本スクリーン製造株式会社 基板処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4856904A (en) * 1985-01-21 1989-08-15 Nikon Corporation Wafer inspecting apparatus
US20050238464A1 (en) * 2002-05-10 2005-10-27 Tokyo Electron Limited Substrate processing device

Also Published As

Publication number Publication date
US8267633B2 (en) 2012-09-18
KR101279318B1 (ko) 2013-06-26
TW201013826A (en) 2010-04-01
JP2010067940A (ja) 2010-03-25
CN101651112A (zh) 2010-02-17
US20100040441A1 (en) 2010-02-18
CN101651112B (zh) 2012-07-04
KR20100020929A (ko) 2010-02-23
JP5338335B2 (ja) 2013-11-13

Similar Documents

Publication Publication Date Title
TWI503915B (zh) FOUP opening and closing device and probe device
TWI428603B (zh) Probe devices, detection methods and memory media
TWI466208B (zh) Probe device
JP4725650B2 (ja) プローブ装置
TWI285932B (en) Electron beam test system with integrated substrate transfer module
JP6447553B2 (ja) プローバ
TW200903699A (en) Probe apparatus
KR101386331B1 (ko) 웨이퍼 반송 장치
JP7033250B2 (ja) プローバ
TW201615526A (zh) 電子元件運搬裝置及電子元件測試裝置
TWI412767B (zh) Probe device and substrate transfer method
JP2016181601A (ja) プローバ及びウエハチャック温度測定方法
JP4910033B2 (ja) プローブ装置
CN111446183A (zh) 卡盘顶、检查装置以及卡盘顶的回收方法
JP4913201B2 (ja) 基板搬送方法
JP2011108832A (ja) プローブ装置
JP2010073827A (ja) プローブ装置
CN104008985A (zh) 探测装置和晶片装载器
KR20230156413A (ko) 처리 장치 및 위치 결정 방법