CN101128915A - 加工设备直接装载 - Google Patents

加工设备直接装载 Download PDF

Info

Publication number
CN101128915A
CN101128915A CNA2006800061206A CN200680006120A CN101128915A CN 101128915 A CN101128915 A CN 101128915A CN A2006800061206 A CNA2006800061206 A CN A2006800061206A CN 200680006120 A CN200680006120 A CN 200680006120A CN 101128915 A CN101128915 A CN 101128915A
Authority
CN
China
Prior art keywords
foup
conveyer
load port
container
supporting construction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800061206A
Other languages
English (en)
Other versions
CN100590786C (zh
Inventor
A·C·博挪拉
M·卡罗拉克
R·G·海因
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Murata Machinery Ltd
Original Assignee
Asyst Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asyst Technologies Inc filed Critical Asyst Technologies Inc
Publication of CN101128915A publication Critical patent/CN101128915A/zh
Application granted granted Critical
Publication of CN100590786C publication Critical patent/CN100590786C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

本发明提供一种容器输送装载系统。该系统一般包括装载端口,用于将物品运送至加工设备和容器输送系统。在一个实施例中,装载端口具有可竖直移动的FOUP前移板组件,它适于为经过装载端口的传送装置装载/卸载FOUP并使FOUP水平移动。在另一个实施例中,装载端口具有可竖直移动的支撑结构,它适于为经过装载端口的往复运输小车装载/卸载容器。装载端口和容器输送系统的不同实施例是对传统容器输送系统的改进。本发明也包括用于同时输送许多容器的往复运输小车,装载端口可以为往复运输小车装载/卸载容器。

Description

加工设备直接装载
技术领域
总体上讲,本发明涉及自动化物料搬运系统(AMHS)。确切地说,本发明包括装载端口,它具有可竖直移动的、能直接将容器装入容器输送系统并从容器输送系统中卸下容器的容器支撑结构。
背景技术
在半导体制造工厂中,将容器如前开口标准搬运盒(FOUP)和标准机械接口(SMIF)盒传送至加工设备和装载端口是代价高昂的。一种在加工设备之间传送FOUP的方法是天车吊运(OHT)系统。OHT系统在离工厂地面约900毫米的高度将FOUP吊放到装载端口的移动板上。OHT系统利用复杂的天花板装设轨道和缆索吊运小车,输送FOUP例如至加工设备的装载端口。水平运动、悬吊缆索延伸以及单向操作的合作必须与在加工设备之间快速输送FOUP相协调。一旦加工设备需要装载或卸载,输送车就必须到位,以获得最佳效率。
OHT系统通常安装在工厂天花板的局部上,因此位于加工设备与装载端口的上方。OHT系统利用了厂内的空闲空间,因为加工设备一般是落地安装设备。顶装式OHT系统必须在OHT轨道和例如装载端口之间使容器升降一段相当大的距离。OHT系统优选具有非常高的洁净性,这是因为使FOUP沿轨道运动所产生的任何微粒可能会落到下方的加工设备区域中并可能损坏晶圆。
有轨小车(RGV)和自动导向小车(AGV)常被用在半导体制造工厂中,用于沿着工厂地面在加工设备之间移动容器。有轨小车和自动导向小车比天车吊运系统更易于维修,而且一般比顶装式OHT系统的成本低。微粒控制也变简单了,因为由有轨小车和自动导向小车产生的微粒始终留在装载端口的基准平面的下方。但是,有轨小车和自动导向小车占用了对半导体工厂非常宝贵的地面空间。
在半导体工厂中,晶圆物流量可以通过同时用地面输送系统和OHT系统将晶圆输送至加工设备来提高。例如,OHT系统能将FOUP传送至加工设备,而在相邻的加工设备之间的许多容器的输送由地面输送系统负责。例如当加工设备要求在加工区内的测量设备上测量每个FOUP的第一晶圆时,可能就是这种情况。
因此,在半导体工厂中,人们需要改善的FOUP输送系统。本发明提供一种FOUP输送系统,它降低了FOUP输送成本,提高了FOUP输送精度,简化了安装和维修,改善了洁净性并且缩短了与传统的FOUP输送系统相关的延迟时间。
发明内容
本发明的一个方面是提供一种输送系统,用于在加工设备和传送装置之间高效移动容器。在一个实施例中,本发明提供一种装载端口,它具有可竖直移动的移动板。装载端口例如直接从传送装置上提升起容器,由此减少了在输送和设备装载中搬运容器的次数。
本发明的另一方面是提供一种输送系统,它是OHT系统的补充,并且起到用于加工区(如成组设备)或整个工厂的主自动化物料搬运系统的作用。在一个实施例中,本发明包括地面传送装置,用于在整个工厂中传送容器。每个装载端口包括可竖直移动的支撑板,用于直接为传送装置装卸容器。在另一个实施例中,支撑板包括用于使支撑板水平移动的载具前移板组件。传送装置也可以与工厂地面平齐、低于工厂地面或者高于工厂地面。本发明的其它实施例采用有轨小车、自动导向小车和人控小车(PGV),用于在整个制造工厂中输送容器。
本发明的又一个方面是提供一种易维修的运输传送系统。OHT系统悬空于工厂地面上。因此,OHT系统不像地面输送系统那样易于接近。在一个实施例中,传送装置安装在工厂地面上。维修人员能轻松接近传送装置以进行维修。在另一个实施例中,装载端口具有用于接近位于工厂地面下方的传送装置的两级垂直升降机,当升降机处于升起位置时,该装载端口完全高于工厂地面。在这个紧凑状态下,装载端口可以从加工设备上被取下,并在传送装置上方被升起。
本发明的另一个方面是提供具有安全结构特征的输送系统。在一个实施例中,本发明具有护栏,它将传送装置与工厂的其余设施分隔开。护栏阻止了工人接触到移动的容器。本发明的另一个实施例是将传送装置包围在隔离管中。隔离管也阻止工人接触到移动的容器,同时可以使容器或物品与工厂的其余设施隔离,不受相关微粒的影响。地面输送系统(如传送装置、有轨小车和自动导向小车)也消除了容器会从OHT系统落下并砸伤工人的担忧。
本发明的另一个方面是提供一种地面运输传送系统,其占地面积与传统的装载端口和容器地面输送系统(如AGV)的占地面积相似或者更小。在一个实施例中,包括地面传送装置和装载端口的本发明所占用的地面面积与一般只由传统装载端口占据的地面面积一样大。在另一个实施例中,包括往复运输小车和装载端口的本发明也占用了更少的工厂地面面积。
本发明的又一个方面是提供一种容器运输传送系统,它改善了洁净性而又没有使晶圆完整性打折扣。在一个实施例中,容器沿传送装置输送,该传送装置在每个装载端口的容器前移板组件的下方经过。在另一个实施例中,往复运输小车沿着工厂地面在每个装载端口的基准平面之下运送容器。在又一个实施例中,容器由有轨小车或自动导向小车来输送,该有轨小车或自动导向小车沿工厂地面移动并且在容器前移板组件的下方经过每个装载端口。由这些输送系统产生的微粒落到工厂地面上,没有污染正由加工设备加工的晶圆。
本发明的再一个方面是提供一种运输传送系统,它不需要为了使现有系统高效工作而彻底改动现有的加工设备、工厂布局或加工软件。在一个实施例中,装载端口通过BOLTS接口(SEMI标准E63)或所提出的BOLTS-light标准紧固在加工设备的前端上。一般位于容器前移板组件下方的壳体中的控制器被重新定位在装载端口中。于是,根本不必为了接纳本发明的装载端口而改动加工设备。
附图说明
图1是本发明实施例的透视图。
图2A至图2F是图1所示实施例的透视图,进一步表示具有可竖直移动的FOUP前移板组件的装载端口。
图3是图2A至图2F所示的本发明实施例的俯视图,进一步表示传送装置如何接纳位于最低位置的FOUP前移板组件。
图4是根据现有技术的、安装在加工设备上的传统装载端口的平面图。
图5是表示根据现有技术的传统装载端口的截面形状的平面图。
图6是表示本发明实施例的平面图,示出了根据本发明的装载端口的截面形状。
图7是图6所示实施例的平面图,示出了在FOUP前移板组件下方属于容器输送系统的空间。
图8是本发明的另一个实施例的平面图,示出了传送装置的实施例。
图9是本发明的又一个实施例的平面图,示出了具有地面传送装置的系统。
图10是本发明的再一个实施例的平面图,示出了具有埋于工厂地面中的传送装置的系统。
图11是本发明的又一个实施例的平面图,示出了地下传送装置。
图12是本发明的一个实施例的平面图,示出了装载端口的运动范围。
图13是本发明的另一个实施例的透视图。
图14是本发明的一个实施例的平面图,示出了图13所示的系统。
图15是本发明的一个实施例的主视图,示出了图13所示的系统。
图16是本发明的又一个实施例的透视图,示出了与设备隔离开的容器输送系统。
图17是本发明的另一个实施例的透视图,示出了高度两级缩减式垂直驱动装置。
图18是本发明的又一个实施例的透视图,示出了装载端口的又一个实施例。
图19是图18所示装载端口的透视图。
图20是本发明的另一个实施例的透视图,示出了用于在两个加工设备之间移动FOUP的晶圆往复运输小车的实施例。
图21是图20所示实施例的平面图。
图22是晶圆往复运输小车的另一个实施例的透视图。
图23是图22所示的晶圆往复运输小车的主视图。
图24是图22所示的晶圆往复运输小车的平面图。
图25是本发明的另一个实施例的透视图,示出了具有用于可移动地支撑容器的皮带的传送装置。
图26A和图26B是本发明的另一个实施例的视图,示出了具有用于可移动地支撑容器的悬臂轮的传送装置。
图27是本发明的另一个实施例的透视图,示出了具有用于可移动地支撑容器的悬臂轮的传送装置。
具体实施方式
半导体设备与材料国际组织(SEMI)已经制定了半导体晶圆制造设备的标准(参见http://www.semi.org)。SEMI标准决定了半导体制造设备的允许误差和接口。在此描述的发明不局限于用于搬运FOUP的半导体制造设备。
仅举例来说,本发明的各个实施例也可以被应用于和/或适用于搬运标准机械接口盒、光栅盒、平板显示器输送装置或其它任何容器或加工设备。容器被定义为用于承载物品的任何类型构件,上述物品包括但不局限于半导体基片。仅举例而言,容器包括具有开口主体的结构,由此可以接近物品(如FPD输送),或者包括具有能以机械方式打开的门的容器(如SMIF盒和FOUP)。装载端口被定义为搬运容器的接口装置。不过,为了描述本发明,将只参照用于搬运FOUP的装载端口。
图4至图5表示用于搬运FOUP的传统装载端口(如阿赛斯特技术公司的IsoPortTM)。装载端口至少符合SEMI标准E15.1、E47.1、E57、E62、E63、E64、S2-93A、S8-95和1300L。装载端口10尤其包括壳体11、FOUP前移板组件12、移动板13、端口门14和紧固板或安装板16,该紧固板或安装板具有开口(未示出)。安装板16例如通过BOLTS接口固定在加工设备50的前端52上。安装板16可以包括一个整体的结构,或是由许多部分组成。端口门14在关闭位置(如图4所示)和打开位置之间移动。术语“关闭位置”是指端口门14的、防止物品如晶圆通过安装板16上的开口的任何位置。术语“打开位置”是指端口门14的、允许物品如晶圆通过安装板16中的开口的任何位置,其中包括端口门14不挡住开口任何部分的位置。
移动板13适于接纳和支撑前开口标准搬运盒(FOUP)2。移动板13尤其包括移动销18、锁定组件和FOUP探测传感器。移动销18调整移动板13上的FOUP的方位。锁定组件将FOUP锁定在移动板13上。FOUP前移板组件12使移动板13在装卸位置和一个此时使FOUP门位于端口门附近的位置之间水平移动。在装卸位置上,FOUP可以被传送到移动板13上或从移动板13上卸走,例如通过OHT和AGV。使移动板13移向端口门允许接触到端口门以及移开端口门,由此能接近存放在FOUP中的晶圆。FOUP前移板组件12和移动板13都不能竖直移动。因此,地面输送系统必须具有为移动板13装载/卸载FOUP的装置(如机械手)。
图4表示传统的地面输送系统如RGV,它沿着工厂地面在轨道系统上运动。轨道系统(以轮廓30表示)延伸于整个工厂中,最终在装载端口10的壳体11附近经过。承载FOUP的RGV停在装载端口10的前面,将FOUP卸到移动板13上。随后,使FOUP前移向端口门14,由此一来,端口门14最终移开FOUP门。
如图5所示的传统装载端口10处于加工设备50前方的区域内(以轮廓18表示)。轮廓18主要由壳体11构成并且一般呈矩形体形状(图5未示出宽度),其包括从加工设备50的前端52开始向外的进深X2和竖直高度X3。AGV与装载端口10一起从加工设备50起向外延伸(例如X1+X2),并且在工厂地面4上占据了大片面积。
图1至图3表示本发明的一个实施例,此实施例包括地面传送装置160和装载端口100,该装载端口具有可竖直移动的FOUP前移板组件122。传送装置160和装载端口100没有比本身就伸出到设备外(例如X2)的传统装载端口10更进一步地相对加工设备101向外伸出。在本发明的范围内,传送装置160相对加工设备101可以比FOUP前移板组件122更进一步向外伸出。术语“传送装置”是指运送装置,例如是机械装置,它从一个位置将物料、包装或物品送到另一个位置。仅举例来说,可以借助辊、气轨、轨道、传送带或本领域已知的其它任何手段使物品沿传送装置移动。
装载端口100尤其包括移动板112、端口门114、安装板116和FOUP前移板组件122。安装板116优选通过BOLTS接口或所提出的SEMIBOLTS-light接口(本文随后描述)固定在加工设备101上,并且安装板116具有开口。移动板112优选包括三个移动销118和主动容器下压机构(符合SEMI标准E15.1)。端口门114在打开位置和关闭位置之间移动。仅举例而言,端口门114包括前开口接口机械标准(FIMS)门组件。在此实施例中,FIMS门114包括一对真空杯115和一对锁匙117。锁匙117打开和关闭FOUP门。当端口门和FOUP门对接时,真空杯115对FOUP门和端口门之间的区域进行抽真空。FIMS门114不局限于图1所示的例子,它可以包括其它结构特征。此外,在本发明的范围中,装载端口100可以没有端口门114。
FOUP前移板组件122包括驱动装置126,用于使移动板112水平移动。移动板112支撑FOUP的底面并调整FOUP对准安装板116中的开口。驱动装置126使移动板112在第一位置(见图2A至图2D)和第二位置(见图2E至图2F)之间移动。在第一位置上,OHT系统可以为移动板112装载或卸载FOUP2。第一位置也将移动板112置于一个为传送装置或其它输送装置放置或取走FOUP2的装卸位置。在z向驱动装置120使FOUP前移板组件122落向传送装置160之前,FOUP前移板组件122可以使移动板112移到第一位置,或者在FOUP前移板组件122竖直移动时,移动板112可以水平移动。
移动板112根本不能水平移动的结构也在本发明的范围中。例如,在FOUP前移板组件122被竖直升起之后,端口门114可以水平移向FOUP门,以便脱开并移走FOUP门。或者,如果容器不具有能以机械方式打开的门,则根部不需要端口门。在这种情况下,可以从传送装置上将容器提升到一个加工设备可取放物品的高度。
如图2A所示,在一个实施例中,一对支撑件124将FOUP前移板组件122连接到z向驱动装置120上。本发明不局限于图2A所示的支撑件124。实际上,任何将FOUP前移板组件122连接到z向驱动装置120上的支撑机构都是可行的。仅举例来说,单个支撑件可以将FOUP前移板组件122连接到z向驱动装置120上。支撑件124可以通过本领域已知的结构与FOUP前移板组件122和z向驱动装置120相连接。z向驱动装置120可以包括任何本领域已知的驱动装置。
装载端口100不像传统装载端口那样包括位于FOUP前移板组件122下方的壳体(如装载端口10的壳体11)。因此,在FOUP前移板组件122和工厂地面4之间的区域被腾空了。换句话说,FOUP前移板组件122能够大致竖直且平行于安装板116地移动。为了描述本发明,FOUP前移板组件122在最高高度(见图2A)和最低高度(见图2B)之间竖直移动。FOUP前移板组件122能移动到这两个高度之间的任何一个位置上。FOUP前移板组件122在其它高度之间移动的结构也落在本发明的范围中(例如在安装板116中的开口的上方)。
为了从传送装置160上接取FOUP2,FOUP前移板组件122被置于最低位置。为此,z向驱动装置120使FOUP前移板组件122降低到图2B所示的位置。在处于最低位置时,FOUP前移板组件122优选处于传送装置160的第一轨道164和第二轨道166之间。FOUP前移板组件122必须落到足够低的高度,由此沿传送装置160输送的FOUP2可以不受阻碍地在移动板112的上方经过。在此实施例中,移动板112被移向前位置(离开端口门),以便处于轨道164和166之间。
图2C表示已经在传送装置160上完全停在移动板112上方的FOUP2。当移动销118对准在FOUP2底面上的销槽时,FOUP2最好停稳在移动板112上方。当FOUP2和移动板112对准时,z向驱动装置120升高FOUP前移板组件122。当z向驱动装置120继续向最高位置(见图2D)提升FOUP前移板组件122时,移动板112最终接触FOUP2的底面并将FOUP2抬离传送装置160。无需为了获取FOUP中的晶圆而在FOUP2和移动板112之间做进一步调整。
图2A至图2C所示的传送装置160如此输送FOUP2,即当FOUP到达装载端口时,FOUP的门面对装载端口。按照其它方位取向沿传送装置输送FOUP也在本发明的范围和精神中。仅举例来说,FOUP可以沿传送装置输送,此时FOUP门朝向FOUP正移动的方向。在这种情况下,在FOUP前移板组件122从传送装置160上接走FOUP2后,FOUP前移板组件使FOUP2转动90度角,由此使FOUP门面对装载端口。
此时,FOUP前移板组件122使移动板112移向端口门114。使FOUP前移,直到端口门以脱开并移走FOUP门的程度紧靠FOUP门。仅举例来说,在名称为“无对准销的FIMS接口”的美国专利US6,419,438中描述了一种端口门,该端口门能被打开、移开FOUP门并输送FOUP,端口门在加工设备中,该美国专利被转让给阿赛斯特技术公司并作为参考被纳入本文。如图2F所示,其它的FOUP在工厂中不受阻碍地沿传送装置160被送向另一个加工设备,此时,位于移动板112上的FOUP2中的晶圆正接受加工。
FOUP2沿传送装置160的第一轨道164和第二轨道166运送。图3表示所述轨道最好相互间隔开,以便在轨道之间容纳处于最低位置上的FOUP前移板组件122。在图1至图3的实施例中,传送装置160的、处于装载端口100前方的每个区段在第一轨道164上具有两道缝162。当FOUP前移板组件122被降低到最低位置(见图2B)时,每道缝162允许支撑件124穿过第一轨道164。这两道缝162允许z向驱动装置120将移动板112降低到沿传送装置160运送的FOUP2能顺利地在移动板上方经过的高度。对容纳支撑件124的第一轨道164的任何改动均落在本发明的精神和范围内。相似地,如果装载端口100只包括一个支撑件124,则第一轨道164只需要一道缝162。
图1至图2示出了地面传送装置160的几个特征。在本发明的范围内,传送装置可以在工厂中被安置在任何高度上。仅举例而言,传送装置160可以位于工厂地面4的下方(见图11),与工厂地面4平齐(见图10),或者在装载端口的上方(未示出)。
不管传送装置系统相对装载端口具有什么样的高度,每个FOUP2优选沿传送装置160如此传送,即FOUP门6在FOUP2到达装载端口100时面向端口门。但是,FOUP可以按照其它方位取向沿传送装置输送,并且最终能被转动以便面向端口门。不论是哪种方式,每个FOUP2在传送装置和装载端口之间搬运的次数都显著减少。例如,在FOUP被FOUP前移板组件抬离传送装置后,FOUP不必在获取晶圆之前又被调整方位。FOUP被抬离传送装置并且不必由机械手搬运(例如在RGV系统中要做的那样)。装载端口100取消这个附加搬运步骤,这保证了FOUP更快速地从传送装置或其它输送装置被送到装载端口,减少了FOUP2的搬运次数。
传统的装载端口不允许FOUP地面输送系统直接在FOUP前移板组件122的下方输送FOUP。壳体11占用了FOUP前移板组件和工厂地面4之间整个空间。图8至图11给出了FOUP输送系统的例子,其与本发明的装载端口100连用。但是,其它的FOUP输送系统也落在本发明的精神和范围中。
图8表示被抬高到工厂地面4上方的传送装置160。传送装置160在每个装载端口提供用于SEMI规定的PGV仓储区“踢脚”170的空间。传送装置160缩短了用于在传送装置160(位置B)和FOUP前移板组件122的最高位置(位置A)之间移动FOUP2所需的z向行程。传送装置的安装很简单,这是因为工厂地面4的位置保持不变,不需要地板砖对位。
图9表示低型面高度的传送装置160。低型面高度的传送装置160使得设置用于设备操作人员的穿越区更加容易。如上所述,使FOUP在位置A和位置B之间移动。穿越区允许操作人员通行区在传送装置160上方经过,在这里,例如围挡或护栏150的一部分被省去(见图1)。低型面高度的传送装置也可以使工人更容易在传送装置上方提升装载端口100,例如以便维修装载端口100。
图10表示埋入工厂地面4中的传送装置160。在此实施例中,FOUP2的底面沿着传送装置160基本在地面高度运送。传送装置160没有阻碍接近装载端口100的正面。与图8至图9所示的传送装置相比,在传送装置160(位置B)和最高位置(位置A)之间需要的z向行程增大,必须改建地面4以提供用于传送装置160的空间。但是,埋入式传送装置160带来几个优点。在位于加工设备101之间的区段内,传送装置160的轮例如能撤落到工厂地面4中,允许通行区更容易在传送装置160上方经过,或者允许设备在传送装置160上滚过。或者,一块临时板可以被安置在传送装置160的上方,离轮有一定距离,由此允许通行并使设备容易通过。
图11表示位于工厂地面4下方的传送装置160。该实施例允许通行和设备通过完全不受传送装置160阻碍地进行。在这个实施例中,在传送装置160(位置B)和最高位置(位置A)之间需要的z向行程比图8至图10所示的传送装置大许多。
图13至图16表示一个用于在位置A和位置B之间移动FOUP前移板组件222的两级伸缩式z向驱动装置220的一个实施例,它与位于工厂地面下方的传送装置连用(见图11)。在处于回撤位置时,回撤机构240优选位于工厂地面4的上方。该特征允许装载端口200更容易被取掉。伸缩式z向驱动装置220也可以被用在具有地面传送装置160的工厂中,只要没有启动第二z向导向机构242。与装载端口100相似,FOUP前移板组件222可以被提升到位置A,允许FOUP沿传送装置160传送并且在FOUP前移板组件222的下方经过。
图13至图15表示两台加工设备101。每台加工设备101包括两个装载端口200。与上述的装载端口相似,每个装载端口包括具有输入/输出口(I/O口)215的安装板216、端口门214和移动板212以及z向驱动装置220。z向驱动装置220使FOUP前移板组件222在I/O口215和传送装置160之间竖直移动。z向驱动装置220包括两级驱动装置,即第一级驱动装置(FSD)240和第二级驱动装置(SSD)242。FSD240使FOUP前移板组件222在I/O口215和工厂地面4之间竖直移动。SSD242包括驱动组件,该驱动组件使FSD240在工厂地面4和I/O口215之间竖直移动。在一个实施例中,SSD242位于FSD240的背面槽250中,为FSD240提供导向。不过,本发明不局限于这种结构。当FSD240处于其完全升起位置时,FSD240优选没有伸到安装板216之下。该特征允许装载端口200从加工设备101上被容易取走。
图16和图17表示装载端口200,它具有高度降低的安装板216。高度降低的安装板216通过BOLTS接口与上述安装板116相似地固定在加工设备101上。但是,安装板216在固定于加工设备上的情况下没有向下伸入工厂地面4。相反,在安装板216的底面和工厂地面4之间留有间隙。该间隙提供用于维修设备的维修口,不需要从设备上取下整个装载端口200。维修口通常被固定在加工设备上的底板(未示出)盖住,以防止微粒在工作中经维修口进入设备。无论何时要求接近加工设备,底板都可以被取下。高度降低的安装板216也允许工人从加工设备上取下装载端口,提升装载端口到地面输送系统上方。例如,当底板被固定在加工设备上时,安装板216可以从加工设备上被取下,装载端口变轻而便于搬运。
图16进一步表示容器可以在整个工厂中在隧道190内输送。隧道190优选包括接近口,该接近口允许FOUP前移板组件122降低穿过它,由此进入隧道190以取放FOUP。隧道190包括竖直区段也在本发明的范围中,该竖直区段包围FOUP前移板组件122的竖直输送路径。这些竖直区段将为在整个工厂中输送敞开的容器或盒的输送系统带来了好处。在此实施例中,容器的整个输送路径将与工厂的其余设施隔离开。输送敞开容器的隧道竖直区段也可以同时具有物品排列功能。例如,隧道竖直区段可以包括光学扫描组件,它决定当容器被可竖直移动的支撑板移向开口时的容器中每个晶圆的位置。
图18至图19表示装载端口的另一个实施例,它具有在I/O口315和传送装置160(或其它FOUP输送装置)之间竖直移动的FOUP前移板组件。在此实施例中,装载端口300包括FOUP前移板组件322、移动板312、端口门314和带有I/O口315的安装板316。在此实施例中,安装板316通过BOLTS-light接口被固定在加工设备101上。
z向驱动装置320驱动FOUP前移板组件322在I/O口315和传送装置160竖直移动。z向驱动装置320包括第一z向导向机构302和第二z向导向机构304。在I/O口315侧,每个z向导向机构被固定在安装板316上。每个z向导向机构也可以按照与安装板316为一体的方式形成。z向驱动装置320也包括一对z向导轨。在此实施例中,第一z向导轨306在第一z向导向机构302中延伸,第二z向导轨308在第二z向导向机构304中延伸。至少其中一个z向导轨306或308固定在FOUP前移板组件322上。于是,使导轨306、308竖直移动将迫使FOUP前移板组件322在I/O口315和传送装置160之间竖直移动。传送装置160优选被改动(例如缝162),以容纳处于最低位置的FOUP前移板组件322。图18至图19所示的装载端口300使FOUP前移板组件322落向地面传送装置。z向机构301也可以被用于完成更长的运送路程(用于地下传送装置的场合),同时保持z向导向机构302和304以及驱动装置(未示出)处于工厂地面4上方以便维护。
图8至图11表示在传送装置和工厂其余设施之间提供实体结构的栅栏150的一个实施例。栅栏150防止干扰FOUP沿传送装置160的运动。在另一个实施例中,传送装置160通过隧道190与设备隔离开(图18)。隧道190优选在隧道顶面上具有开口,在开口处,隧道190位于装载端口的附近。隧道190的开口允许FOUP前移板组件接近在传送装置160上运送的FOUP。
这些传送装置在整个半导体工厂中输送FOUP。在优选实施例中,每个FOUP沿传送装置在每个处于最高位置的FOUP前移板组件122的下方运送。将输送装置置于每个装载端口的基准平面的下方,这尽可能降低了由传送装置160产生的微粒的作用。
图20至图24表示往复运输小车400的一个实施例,它用于同时支撑并沿轨道420输送两个FOUP。往复运输小车400能承载多于或少于两个FOUP的方案也在本发明的范围中。在此实施例中,往复运输小车400包括两组支撑件402,每组支撑件支撑单个FOUP2。每个支撑件402优选包括上支座406和下支座404,上、下支座通过竖直件408相互分开。上、下支座如此相互分开,即当往复运输小车400在FOUP前移板组件122处于最低位置的情况下经过装载端口时,往复运输小车将不受阻碍地经过装载端口。上支座406用于以最小接触面支撑FOUP的底面。
往复运输小车400还容纳竖直移动的FOUP前移板组件122。例如,上支座406最好以大于FOUP前移板组件122宽度的距离间隔开。如果往复运输小车400来到装载端口跟前,处于最低位置的FOUP前移板组件122就位于上支座406和下支座404之间,没有受到竖直支撑件408干扰。
为了将FOUP从往复运输小车400传送至装载口100的移动板112,FOUP前移板组件122首先被降低到最低位置。随后,往复运输小车400停靠到装载端口100前方的轨道420上。此时,在FOUP2底面上的移动销槽最好与移动板112上的移动销118对准。FOUP前移板组件122随后被提升至最高位置。移动板112最终接触到FOUP2并将它抬离往复运输小车400的上支座406。在优选实施例中,不需要为了使FOUP移向安装板116并移开FOUP门6而在FOUP2和移动板112之间做进一步调整。
轨道420可以包括本领域已知的任何机械结构,例如传送带或传统轨道。轨道420也可以按照许多高度安装在工厂中。例如,轨道420可以按照与工厂地面4平齐、低于地面或高于地面的方式被安装。如果往复运输小车400不被升起,则往复运输小车400最好具有低的型面高度,以允许工人在轨道420的上方通行。
往复运输小车400可以沿任何类型的轨道运动。仅举例来说,轨道420可以包括主驱动轨道422和副支撑轨道424。如图20至图24所示的往复运输小车400可以同时输送两个300毫米的FOUP2A和2B。往复运输小车承载两个以上的FOUP也在本发明的精神和范围内。用一个往复运输小车400同时输送多个FOUP2A和2B允许更灵活的输送顺序并产生缓冲效果。例如,双盒往复运输小车400具有快速交换能力。换句话说,往复运输小车400可以从装载端口100A接送第一FOUP2A至空的支座402上并随后从往复运输小车400上将第二FOUP2B装载到同一装载端口100A中。这将减少在每台加工设备101处需要的装载端口100的数量,因为用装有未加工晶圆的FOUP交换加工好的FOUP(例如FOUP中的晶圆在加工步骤中被加工处理)所需的时间将非常短暂。
传统加工设备通常具有许多装载端口位置,因而加工好的FOUP可以停留并等候AMHS(如天车吊运系统)以便从装载端口被取走,而另一个装载端口保持一个FOUP处于加工中,而AMHS可以给第三装载端口装载一个新的FOUP。例如,图16表示具有两个装载端口的加工设备101,即第一装载端口100A和第二装载端口100B。具有两个装载端口能允许加工设备连续工作,而不会受到AMHS的控制。在利用快速交换式往复运输小车400的情况下,将无需为了设备连续工作而设置第三装载端口。
能容纳三个或四个FOUP的往复运输小车400可以按顺序服务于两台或三台加工设备,其中在每台加工设备处实施快速交换。往复运输小车也可以从一个来料点(或许是立仓)拿取三个或四个FOUP,并一趟将上述FOUP依次传送给三台或四台加工设备。例如,在加工区的北上行途中,可以为各加工设备装卸几个FOUP(例如往复运输小车400从加工设备101A移向加工设备101B)。加工区被定义为但不局限于成组排列的多台设备。往复运输小车400于是将掉转方向(例如往复运输小车400从加工设备101B移向加工设备101A),几个FOUP可以在南行途中被装载到各加工设备上或从其上卸下。
轨道系统可以分支、拐弯或者升降,以使往复运输小车400在加工区之间和在加工区内在工厂地面4上、高于地面4或低于地面4沿不同路径移动。所有的往复运输小车400可以就相对基准的盒位置来说是同样构成的。这将取消当前AGV所要求的带机械手的设备教导。这增强了维修能力,缩短了卸下和更换往复运输小车的时间。
往复运输小车400可以高速移动,例如只在封闭于管190中时或者在围栏150后面。在没有封盖而允许例如工人穿行的区域内,往复运输小车400慢速运行,并且可以装设预防传感器,或者在用于防止碰撞的光幕后面移动。工人通行区的交汇点可以具有实体的门或者可以具有交通灯系统,用于指示人是否能在轨道上方通过。
无轨式往复运输小车或者AGV可以跟随地面上的可见路线移动,或者相对安置在地面、天花板、墙壁或者装载端口或相似结构上的基准(如轨迹推算系统)来导向移动。无轨式往复运输小车产生几个好处,例如人可以在地面上通行,加工设备可以转入,取消了轨道成本,没有限制小车必须在相邻加工设备之间做直线运动。例如,无轨式往复运输小车可以横穿加工区,以便在进行连续加工步骤且处于加工区两侧的加工设备之间输送FOUP,如果需要,往复运输小车可以相互横穿。此外,各加工设备可以从生产线上被卸下以便维修,无轨式往复运输小车可以在维修中在装载端口区域内被简单地重新调整方向。先进的小车可以在整个工厂中导向移动,进入升降机,下落到也被操作工人占据的加工区。
如图25至图27所示,不要求装载端口具有用于使FOUP水平移动的容器前移板组件或端口门,只需要它能使FOUP在两个高度之间竖直移动。例如如图25所示,装载端口500尤其包括具有开口517的安装板516和可竖直移动的支撑结构522。与上述实施例相似,装载端口500也包括用于使支撑结构522在传送装置560和开口517之间竖直移动的机构。图25的实施例示出了具有用于接触FOUP的底面的两个支撑件的支撑结构522。
图25所示的传送装置560在每个装载端口500处被分成三个部分,用于接纳支撑结构522。仅举例来说,传送装置560包括中央部分562、左侧部分564和右侧部分566。在这个实施例中,每个传送装置部分包括皮带568和一对辊570。在一个实施例中且如图25所示,作为传送装置560的中央部分的组成部分的辊570可转动地安装在安装板516上。
传送装置560的每个部分相互分开,在传送装置的每个部分之间产生间隙。该间隙允许支撑结构522移动到传送装置560的下方,等候FOUP来到传送装置560的中央部分562上。在FOUP到达中央部分562并停住后,支撑结构522可以竖直升起,接触到FOUP的底面并将FOUP抬离传送装置560。
图26表示一个运输传送系统,它包括装载端口600和传送装置660。装载端口600尤其包括安装板616、支撑结构622和用于使支撑结构622竖直移动的装置620。在此实施例中,支撑结构622构成一个具有第一支撑件624、第二支撑件626和第三支撑件628的结构。在每个支撑件的远端上设有移动销618。该结构代替了装载端口100中的移动板112。传送装置660例如包括轨道662和多个悬臂辊664。如图26B所示,支撑结构622的每个支撑件624、626和628能够在一对相邻的辊664之间通过,从而支撑结构622可以被降低到辊664的下方。FOUP优选停靠在辊664上,此时在FOUP的底面上的移动槽对准在支撑结构622上的移动销618。支撑结构622随后竖直升起,接触到FOUP的底面并将FOUP抬离传送装置660,到达预定高度。
图27表示另一个运输传送系统,它包括装载端口700和传送装置760。装载端口700尤其包括带有开口717和缝724的安装板716、以及支撑结构722。在此实施例中,支撑结构722优选只在开口717和传送装置760之间竖直移动。但是,也使支撑结构722水平移动也在本发明范围内。支撑结构722可以包括能承载容器的任何结构,其中包括但不局限于用于支撑FOUP的移动板,或者任何其它容器支撑机构。传送装置760包括具有悬臂辊764的轨道762,悬臂辊的可转动轮766位于每个辊764的两端上。在此实施例中,辊764最好不接触容器,只有轮766接触,用于减小接触容器的接触面。
为了支撑就位于装载端口700前面的容器,两个轮766可转动地安装在装载端口700的安装板716上。这两个轮可以为从动轮或者驱动轮。取消在传送装置760的、位于装载端口700前面的区段上的辊764,这允许支撑结构722降落到轮766的下方。此时,如果容器停靠到装载端口700的前面,支撑结构722就可以随后被升起,以将容器抬离传送装置760。如图25至图27所示的支撑结构和传送装置是可换的。
应该理解的是,用于在传送装置和装载端口之间输送FOUP的上述装置和处理作业只是举例性质的,本发明不应局限于此。在已经描述了用于FOUP输送的方法和系统的优选实施例的情况下,显然本领域技术人员已经能获知一些系统优点。还应该认识到的是,可以在本发明的范围和精神内做出各种不同的修改、改动和替换实施例。例如,已经描述了在半导体制造工厂中使用传送装置,但应该认识到的是,本发明的上述许多构想将能被等同应用到非半导体制造应用场合中。

Claims (12)

1.一种用于将容纳至少一个物品的容器送至加工设备的系统,包括:
装载端口,具有:
带开口的框架;
适于接纳容器的支撑结构;
驱动装置,用于使该支撑结构大致竖直地在第一高度和第二高度之间移动;
传送装置,用于大致沿容器输送平面可移动地支撑该容器;
其中,当该支撑结构位于该第二高度时,随该传送装置移动的容器不受阻碍地在该支撑结构的上方移动。
2.根据权利要求1所述的系统,其特征在于,该装载端口还包括门,该门适于在防止物品穿过该开口的关闭位置和允许物品经过该开口的打开位置之间运动。
3.根据权利要求1所述的系统,其特征在于,该支撑结构包括适于使容器大致水平移动的容器前移组件。
4.根据权利要求1所述的系统,其特征在于,该支撑结构适于容纳用于输送直径在150毫米至500毫米之间的晶圆的容器。
5.根据权利要求1所述的系统,其特征在于,该支撑结构适于容纳用于输送平板显示器的容器。
6.根据权利要求1所述的系统,其特征在于,该驱动装置包括:
固定在该支撑结构上的臂;和
用于使该臂竖直移动的驱动组件。
7.根据权利要求6所述的系统,其特征在于,该传送装置包括:
第一轨道;和
与该第一轨道间隔开的第二轨道;
其中,经过该装载端口的第一轨道部分具有允许该臂不受阻碍地穿过所述第一轨道的至少一部分的结构。
8.根据权利要求1所述的系统,其特征在于,该传送装置包括:
具有上表面的第一轨道;和
与该第一轨道间隔开的第二轨道。
9.根据权利要求8所述的系统,其特征在于,该驱动装置包括可竖直调整的臂,该臂的远端被固定在该支撑结构上。
10.根据权利要求1所述的系统,其特征在于,该传送装置包括皮带传送机。
11.根据权利要求1所述的系统,其特征在于,该传送装置包括轨道和多个辊,每个辊可转动地固定在该轨道上,所述辊中的每一个与每个其它辊间隔开并且伸出到该轨道外。
12.根据权利要求11所述的系统,其特征在于,该支撑结构包括至少两个指,所述至少两个指相互分隔开,从而当该支撑结构位于该第二高度时,每个所述指落在相邻的辊之间。
CN200680006120A 2005-02-24 2006-02-17 加工设备直接装载 Expired - Fee Related CN100590786C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/064,880 US7410340B2 (en) 2005-02-24 2005-02-24 Direct tool loading
US11/064,880 2005-02-24

Publications (2)

Publication Number Publication Date
CN101128915A true CN101128915A (zh) 2008-02-20
CN100590786C CN100590786C (zh) 2010-02-17

Family

ID=36407943

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680006120A Expired - Fee Related CN100590786C (zh) 2005-02-24 2006-02-17 加工设备直接装载

Country Status (5)

Country Link
US (4) US7410340B2 (zh)
JP (1) JP4470225B2 (zh)
KR (1) KR100967357B1 (zh)
CN (1) CN100590786C (zh)
WO (1) WO2006091593A2 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102431798A (zh) * 2010-09-27 2012-05-02 株式会社大福 物品运送设备
CN102460675A (zh) * 2009-05-18 2012-05-16 交叉自动控制公司 与基片容器存储系统交接的集成系统
CN101651112B (zh) * 2008-08-13 2012-07-04 东京毅力科创株式会社 Foup开闭装置和探针装置
CN101767717B (zh) * 2008-12-31 2013-02-27 英属开曼群岛商精曜有限公司 传送基板至二个或多个的工艺模块的方法
CN102945818A (zh) * 2011-08-15 2013-02-27 上海微电子装备有限公司 硅片传输系统片库设备
CN107111251A (zh) * 2014-11-14 2017-08-29 迈普尔平版印刷Ip有限公司 用于在光刻系统中转移基材的加载锁定系统和方法
CN108001970A (zh) * 2017-09-11 2018-05-08 上海富洋云网机器人股份有限公司 智能变轨机转向系统
CN110406871A (zh) * 2018-04-27 2019-11-05 株式会社大福 物品搬运设备
CN110406872A (zh) * 2018-04-27 2019-11-05 株式会社大福 物品搬运装置及物品搬运设备
CN111661550A (zh) * 2019-06-24 2020-09-15 灵动科技(北京)有限公司 自动运输装置、及适用于物流场景下自动运输装置的拣货信息获取及显示方法
CN112520330A (zh) * 2019-09-17 2021-03-19 株式会社大福 物品搬运装置

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI116719B (fi) * 2004-04-14 2006-02-15 Metso Paper Inc Menetelmä rullien keskittämistä varten
EP1806303A4 (en) * 2004-10-25 2009-07-15 Tokyo Electron Ltd TRANSPORT SYSTEM, SUBSTRATE TREATMENT DEVICE AND TRANSPORT PROCESS
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
JP4816637B2 (ja) * 2005-03-08 2011-11-16 株式会社安川電機 ロードポートおよびロードポートの制御方法
US7771151B2 (en) * 2005-05-16 2010-08-10 Muratec Automation Co., Ltd. Interface between conveyor and semiconductor process tool load port
US20060283688A1 (en) * 2005-06-10 2006-12-21 Applied Materials, Inc. Substrate handling system
DE102005039453B4 (de) * 2005-08-18 2007-06-28 Asys Automatic Systems Gmbh & Co. Kg Bearbeitungsanlage modularen Aufbaus für flächige Substrate
KR100788005B1 (ko) * 2006-04-27 2007-12-21 에스에스알 엠에프지 코포레이션 박막 디스크용 카세트 뚜껑 개폐장치
WO2008024520A2 (en) * 2006-08-25 2008-02-28 Aquest Systems Corporation Conveyor transfer system
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US9105673B2 (en) 2007-05-09 2015-08-11 Brooks Automation, Inc. Side opening unified pod
JP6027303B2 (ja) * 2007-05-17 2016-11-16 ブルックス オートメーション インコーポレイテッド 側部開口部基板キャリアおよびロードポート
US20090067957A1 (en) * 2007-09-06 2009-03-12 Mitsuhiro Ando Transport system with buffering
WO2009035388A1 (en) * 2007-09-14 2009-03-19 Flexlink Components Ab Lifting device for a conveyor system, a conveyor system and a method
US8303231B2 (en) * 2007-09-28 2012-11-06 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for semiconductor wafer transfer
JP2009135232A (ja) * 2007-11-29 2009-06-18 Sinfonia Technology Co Ltd ロードポート
JP5401842B2 (ja) * 2008-06-17 2014-01-29 村田機械株式会社 搬送システム
EP2308083A1 (en) * 2008-06-20 2011-04-13 Muratec Automation Co., Ltd. Direct loading to and from a conveyor system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5381054B2 (ja) * 2008-12-02 2014-01-08 シンフォニアテクノロジー株式会社 ロードポート
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8851820B2 (en) * 2009-05-18 2014-10-07 Brooks Automation, Inc. Substrate container storage system
US8882433B2 (en) * 2009-05-18 2014-11-11 Brooks Automation, Inc. Integrated systems for interfacing with substrate container storage systems
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8956098B2 (en) * 2011-05-02 2015-02-17 Murata Machinery, Ltd. Automated warehouse
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558978B2 (en) * 2012-05-04 2017-01-31 Kla-Tencor Corporation Material handling with dedicated automated material handling system
US8944739B2 (en) * 2012-06-01 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport bridge for semiconductor fabrication tools
CN103231898B (zh) * 2012-08-21 2015-05-20 深圳市捷佳伟创新能源装备股份有限公司 一种侧向上下舟装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9260207B2 (en) * 2013-01-17 2016-02-16 Sergey N. Razumov Order picking system and method
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9008825B2 (en) 2013-04-01 2015-04-14 Honda Logistics North America, Inc. Container unloading system with auto-unload capability
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102160106B1 (ko) * 2014-07-03 2020-09-25 세메스 주식회사 웨이퍼 이송 장치
KR102460569B1 (ko) * 2014-07-23 2022-10-27 크롭 에스.피.에이. 콘 소시오 유니코 용기 이동 기구
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9698038B2 (en) * 2014-08-28 2017-07-04 Infineon Technologies Ag Adapter tool and wafer handling system
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP2017518626A (ja) * 2015-02-17 2017-07-06 ソーラーシティ コーポレーション 太陽電池の製造歩留まりを向上させる方法及びシステム
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6456768B2 (ja) * 2015-05-18 2019-01-23 株式会社ディスコ 加工装置
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
CN105438761B (zh) * 2015-12-18 2018-07-31 苏州佳世达电通有限公司 流水线控制方法和用于实现该控制方法的设备
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
CN106516688B (zh) * 2016-08-16 2019-07-19 蔡怀峰 限位装置
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10510573B2 (en) * 2017-11-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Loading apparatus and operating method thereof
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN111971634A (zh) * 2018-04-09 2020-11-20 朗姆研究公司 双模式自动引导的载运器
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7190900B2 (ja) * 2018-12-28 2022-12-16 株式会社Screenホールディングス 基板処理装置、キャリア搬送方法およびキャリアバッファ装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
WO2020177621A1 (zh) * 2019-03-01 2020-09-10 云机工业设计(义乌)有限公司 一种取货机器人
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110143394B (zh) * 2019-06-17 2024-02-09 隆链智能科技(上海)有限公司 一种全二维码实时定位的仓储分拣系统及其分拣方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN110556317B (zh) * 2019-08-13 2022-11-11 世源科技工程有限公司 一种半导体加工系统
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
DE102019128660A1 (de) * 2019-10-23 2021-04-29 Zahoransky Automation & Molds GmbH Verfahren und Palettierer zum Zuführen von Werkstückträgern an eine Bearbeitungsmaschine, Bearbeitungsmaschine sowie Computerprogramm und computerlesbares Medium
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11848222B2 (en) * 2020-07-09 2023-12-19 Taiwan Semiconductor Manufacturing Company Ltd. System for a semiconductor fabrication facility and method for operating the same
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
DE102020119681A1 (de) * 2020-07-27 2022-01-27 Zahoransky Automation & Molds GmbH Bereitstellungsvorrichtung für Kanülen, Verfahren zur Bereitstellung von Kanülen, Computerprogramm und computerlesbares Medium
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114446844A (zh) * 2020-11-03 2022-05-06 长鑫存储技术有限公司 传送装置及半导体生产设备
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114955418A (zh) * 2021-11-12 2022-08-30 中山市四海智能装备有限公司 一种防爆rgv装置

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2580262B1 (fr) * 1985-04-12 1987-05-22 Efcis Installation de manutention d'objets fragiles en atmosphere a empoussierement controle
US4718536A (en) * 1986-10-15 1988-01-12 Toby Enterprises Stack storage elevator for sliced, stacked comestible products
JPS6422758A (en) * 1987-07-16 1989-01-25 Meinan Machinery Works Distributed transport device for board
US5169272A (en) 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
US5469963A (en) 1992-04-08 1995-11-28 Asyst Technologies, Inc. Sealable transportable container having improved liner
US6245189B1 (en) * 1994-12-05 2001-06-12 Nordson Corporation High Throughput plasma treatment system
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
US5984610A (en) 1995-03-07 1999-11-16 Fortrend Engineering Corporation Pod loader interface
ES2229247T3 (es) * 1995-03-28 2005-04-16 Brooks Automation Gmbh Estacion de carga y descarga para instalaciones de tratamiento de semiconductores.
US5740845A (en) 1995-07-07 1998-04-21 Asyst Technologies Sealable, transportable container having a breather assembly
US5788458A (en) * 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
IL117242A0 (en) * 1996-02-23 1996-06-18 Orbotech Ltd Conveyor system having selectively enabled conveyor elements
US5810062A (en) 1996-07-12 1998-09-22 Asyst Technologies, Inc. Two stage valve for charging and/or vacuum relief of pods
US6082949A (en) 1996-10-11 2000-07-04 Asyst Technologies, Inc. Load port opener
US6138721A (en) * 1997-09-03 2000-10-31 Asyst Technologies, Inc. Tilt and go load port interface alignment system
JPH11145243A (ja) 1997-11-11 1999-05-28 Hitachi Ltd 半導体の生産方法
US6533101B2 (en) * 1998-06-24 2003-03-18 Asyst Technologies, Inc. Integrated transport carrier and conveyor system
US6220808B1 (en) * 1998-07-13 2001-04-24 Asyst Technologies, Inc. Ergonomic, variable size, bottom opening system compatible with a vertical interface
US6188323B1 (en) * 1998-10-15 2001-02-13 Asyst Technologies, Inc. Wafer mapping system
US6435330B1 (en) * 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
US6364595B1 (en) * 1999-02-10 2002-04-02 Asyst Technologies, Inc. Reticle transfer system
WO2000051921A1 (en) 1999-03-05 2000-09-08 Pri Automation, Inc. Material handling and transport system and process
US6220420B1 (en) * 1999-03-30 2001-04-24 Industrial Technology Research Institute Semiconductor composition material conveyer module
US6736582B1 (en) * 1999-04-09 2004-05-18 Brooks Automation, Inc. Device for manipulating an object for loading and unloading a clean room
US6135698A (en) * 1999-04-30 2000-10-24 Asyst Technologies, Inc. Universal tool interface and/or workpiece transfer apparatus for SMIF and open pod applications
US6308818B1 (en) * 1999-08-02 2001-10-30 Asyst Technologies, Inc. Transport system with integrated transport carrier and directors
AU778252B2 (en) 1999-12-21 2004-11-25 Tibor Ernst A lid for a refuse container
US20020025244A1 (en) * 2000-04-12 2002-02-28 Kim Ki-Sang Transfer system and apparatus for workpiece containers and method of transferring the workpiece containers using the same
TW514618B (en) 2000-04-12 2002-12-21 Samsung Electronics Co Ltd A transfer system and apparatus for workpiece containers and method of transferring the workpiece containers using the same
US6364593B1 (en) * 2000-06-06 2002-04-02 Brooks Automation Material transport system
US20040013498A1 (en) * 2000-06-30 2004-01-22 Soucy Alan J. Apparatus and methods for semiconductor wafer processing equipment
EP1202325A1 (en) * 2000-10-25 2002-05-02 Semiconductor300 GmbH & Co KG Arrangement for transporting a semiconductor wafer carrier
US6419438B1 (en) * 2000-11-28 2002-07-16 Asyst Technologies, Inc. FIMS interface without alignment pins
US20020090282A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US6677690B2 (en) * 2001-02-02 2004-01-13 Asyst Technologies, Inc. System for safeguarding integrated intrabay pod delivery and storage system
US20020187024A1 (en) * 2001-06-12 2002-12-12 Applied Materials, Inc. Apparatus for storing and moving a carrier
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
US6530736B2 (en) * 2001-07-13 2003-03-11 Asyst Technologies, Inc. SMIF load port interface including smart port door
JP2005520321A (ja) * 2001-07-16 2005-07-07 アシスト テクノロジーズ インコーポレイテッド ツールのフロントエンド加工物処理のための統合システム
US7066707B1 (en) * 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
JP4118592B2 (ja) * 2002-04-22 2008-07-16 富士通株式会社 ロードポート及び半導体製造装置
CN100520706C (zh) * 2002-06-19 2009-07-29 布鲁克斯自动技术公司 半导体制造用竖直传送带与空中升降机组合式自动物料搬运系统
US6869263B2 (en) * 2002-07-22 2005-03-22 Brooks Automation, Inc. Substrate loading and unloading station with buffer
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US6955197B2 (en) * 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
US7101138B2 (en) * 2003-12-03 2006-09-05 Brooks Automation, Inc. Extractor/buffer
US6938751B1 (en) * 2004-04-23 2005-09-06 Tkf, Inc. Vertical lift assembly
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
JP5152700B2 (ja) * 2005-05-16 2013-02-27 村田機械株式会社 高処理量amhsのためのモジュール式ターミナル
US7771151B2 (en) * 2005-05-16 2010-08-10 Muratec Automation Co., Ltd. Interface between conveyor and semiconductor process tool load port

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101651112B (zh) * 2008-08-13 2012-07-04 东京毅力科创株式会社 Foup开闭装置和探针装置
CN101767717B (zh) * 2008-12-31 2013-02-27 英属开曼群岛商精曜有限公司 传送基板至二个或多个的工艺模块的方法
CN102460675A (zh) * 2009-05-18 2012-05-16 交叉自动控制公司 与基片容器存储系统交接的集成系统
CN102460675B (zh) * 2009-05-18 2015-04-29 布鲁克斯自动化公司 与基片容器存储系统交接的集成系统
CN102431798A (zh) * 2010-09-27 2012-05-02 株式会社大福 物品运送设备
CN102431798B (zh) * 2010-09-27 2015-10-28 株式会社大福 物品运送设备
CN102945818A (zh) * 2011-08-15 2013-02-27 上海微电子装备有限公司 硅片传输系统片库设备
CN102945818B (zh) * 2011-08-15 2015-06-17 上海微电子装备有限公司 硅片传输系统片库设备
CN107111251A (zh) * 2014-11-14 2017-08-29 迈普尔平版印刷Ip有限公司 用于在光刻系统中转移基材的加载锁定系统和方法
US10087019B2 (en) 2014-11-14 2018-10-02 Mapper Lithography Ip B.V. Load lock system and method for transferring substrates in a lithography system
CN108001970A (zh) * 2017-09-11 2018-05-08 上海富洋云网机器人股份有限公司 智能变轨机转向系统
CN110406871A (zh) * 2018-04-27 2019-11-05 株式会社大福 物品搬运设备
CN110406872A (zh) * 2018-04-27 2019-11-05 株式会社大福 物品搬运装置及物品搬运设备
CN110406871B (zh) * 2018-04-27 2021-12-28 株式会社大福 物品搬运设备
CN110406872B (zh) * 2018-04-27 2022-01-11 株式会社大福 物品搬运装置及物品搬运设备
CN111661550A (zh) * 2019-06-24 2020-09-15 灵动科技(北京)有限公司 自动运输装置、及适用于物流场景下自动运输装置的拣货信息获取及显示方法
CN111661550B (zh) * 2019-06-24 2021-04-16 灵动科技(北京)有限公司 自动运输装置
CN112520330A (zh) * 2019-09-17 2021-03-19 株式会社大福 物品搬运装置

Also Published As

Publication number Publication date
US20080267742A1 (en) 2008-10-30
KR100967357B1 (ko) 2010-07-05
KR20070116027A (ko) 2007-12-06
US7445415B2 (en) 2008-11-04
US20060188360A1 (en) 2006-08-24
US7651307B2 (en) 2010-01-26
US7410340B2 (en) 2008-08-12
CN100590786C (zh) 2010-02-17
JP4470225B2 (ja) 2010-06-02
JP2008532288A (ja) 2008-08-14
US20060188358A1 (en) 2006-08-24
WO2006091593A3 (en) 2006-12-28
WO2006091593A2 (en) 2006-08-31
US20090028673A1 (en) 2009-01-29

Similar Documents

Publication Publication Date Title
CN100590786C (zh) 加工设备直接装载
CN101223635B (zh) 用于高物流量自动化物料搬运系统的模块终端
CN100520706C (zh) 半导体制造用竖直传送带与空中升降机组合式自动物料搬运系统
KR102276842B1 (ko) 층간 반송 설비
US8851817B2 (en) Universal modular wafer transport system
US7153083B2 (en) Material handling and transport process
CN102460675B (zh) 与基片容器存储系统交接的集成系统
TW201711936A (zh) 搬運系統
TWI763871B (zh) 搬運系統及搬運方法
US7806648B2 (en) Transportation system and transportation method
TW201810496A (zh) 搬送系統
KR101398929B1 (ko) 반송차 시스템
TWI818135B (zh) 保管系統
US11615975B2 (en) Storage system
JP2018177376A (ja) 搬送システム及び搬送方法
JP2005294280A (ja) 密閉容器搬送システム
TW202144257A (zh) 物品搬送設備
KR20210040126A (ko) 천장 반송차
Chang et al. The innovative conveying device application for transferring articles between two-levels of a multi-story building
JPH05202700A (ja) 昇降搬送装置
JP4708472B2 (ja) 処理設備

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
ASS Succession or assignment of patent right

Owner name: MURATA AUTOMATIC MACHINERY CO., LTD.

Free format text: FORMER OWNER: ASAISITE TECHNOLOGY COMPANY

Effective date: 20090925

C41 Transfer of patent application or patent right or utility model
TA01 Transfer of patent application right

Effective date of registration: 20090925

Address after: Kyoto Japan

Applicant after: Asyst Technologies

Address before: American California

Applicant before: Asyst Technologies Inc.

C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: MURATA MACHINERY CO., LTD.

Free format text: FORMER OWNER: ASYST TECHNOLOGIES

Effective date: 20130514

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20130514

Address after: Kyoto Japan

Patentee after: Murata Machinery Co., Ltd.

Address before: Kyoto Japan

Patentee before: Asyst Technologies

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100217

Termination date: 20150217

EXPY Termination of patent right or utility model