US11256170B2 - Compound, resist composition, and method for forming resist pattern using it - Google Patents

Compound, resist composition, and method for forming resist pattern using it Download PDF

Info

Publication number
US11256170B2
US11256170B2 US15/560,059 US201615560059A US11256170B2 US 11256170 B2 US11256170 B2 US 11256170B2 US 201615560059 A US201615560059 A US 201615560059A US 11256170 B2 US11256170 B2 US 11256170B2
Authority
US
United States
Prior art keywords
group
general formula
independently
acid
integer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US15/560,059
Other languages
English (en)
Other versions
US20180074406A1 (en
Inventor
Takumi TOIDA
Masatoshi Echigo
Takashi Sato
Youko SHIMIZU
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Gas Chemical Co Inc
Original Assignee
Mitsubishi Gas Chemical Co Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Gas Chemical Co Inc filed Critical Mitsubishi Gas Chemical Co Inc
Assigned to MITSUBISHI GAS CHEMICAL COMPANY, INC. reassignment MITSUBISHI GAS CHEMICAL COMPANY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SATO, TAKASHI, SHIMIZU, Youko, TOIDA, Takumi, ECHIGO, MASATOSHI
Publication of US20180074406A1 publication Critical patent/US20180074406A1/en
Application granted granted Critical
Publication of US11256170B2 publication Critical patent/US11256170B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C37/00Preparation of compounds having hydroxy or O-metal groups bound to a carbon atom of a six-membered aromatic ring
    • C07C37/68Purification; separation; Use of additives, e.g. for stabilisation
    • C07C37/70Purification; separation; Use of additives, e.g. for stabilisation by physical treatment
    • C07C37/72Purification; separation; Use of additives, e.g. for stabilisation by physical treatment by liquid-liquid treatment
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D307/00Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom
    • C07D307/77Heterocyclic compounds containing five-membered rings having one oxygen atom as the only ring hetero atom ortho- or peri-condensed with carbocyclic rings or ring systems
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D311/00Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D311/00Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings
    • C07D311/02Heterocyclic compounds containing six-membered rings having one oxygen atom as the only hetero atom, condensed with other rings ortho- or peri-condensed with carbocyclic rings or ring systems
    • C07D311/78Ring systems having three or more relevant rings
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07DHETEROCYCLIC COMPOUNDS
    • C07D493/00Heterocyclic compounds containing oxygen atoms as the only ring hetero atoms in the condensed system
    • C07D493/02Heterocyclic compounds containing oxygen atoms as the only ring hetero atoms in the condensed system in which the condensed system contains two hetero rings
    • C07D493/04Ortho-condensed systems
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G10/00Condensation polymers of aldehydes or ketones with aromatic hydrocarbons or halogenated aromatic hydrocarbons only
    • C08G10/02Condensation polymers of aldehydes or ketones with aromatic hydrocarbons or halogenated aromatic hydrocarbons only of aldehydes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G8/00Condensation polymers of aldehydes or ketones with phenols only
    • C08G8/04Condensation polymers of aldehydes or ketones with phenols only of aldehydes
    • C08G8/08Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ
    • C08G8/14Condensation polymers of aldehydes or ketones with phenols only of aldehydes of formaldehyde, e.g. of formaldehyde formed in situ with halogenated phenols
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means

Definitions

  • the present invention relates to a resist composition and a method for forming a resist pattern using it. Also, the present invention relates to a compound usable in the resist composition and the like. Moreover, the present inventions relates to a method for purifying the compound.
  • typical resist materials are polymer based resist materials capable of forming amorphous thin films.
  • Examples include polymer based resist materials such as polymethyl methacrylate, polyhydroxy styrene with an acid dissociation reactive group, and polyalkyl methacrylate.
  • a line pattern of about 45 to 100 nm is formed by irradiating a resist thin film made by coating a substrate with a solution of such a polymer based resist material with ultraviolet, far ultraviolet, electron beam, extreme ultraviolet (EUV), and X-ray or the like.
  • EUV extreme ultraviolet
  • polymer based resist materials have a molecular weight as large as about 10,000 to 100,000 and also wide molecular weight distribution
  • roughness occurs on a fine pattern surface; the pattern dimension becomes difficult to be controlled; and the yield decreases. Therefore, there is a limitation in miniaturization with lithography using a conventional polymer based resist material.
  • various low molecular weight resist materials have been proposed.
  • an alkaline development type negative type radiation-sensitive composition (see, for example, Patent Literatures 1 and 2) using a low molecular weight polynuclear polyphenolic compound as a main component has been suggested; and as a candidate of a low molecular weight resist material having high heat resistance, an alkaline development type negative type radiation-sensitive composition (see, for example, Patent Literature 3 and Non Patent Literature 1) using a low molecular weight cyclic polyphenolic compound as a main component has been suggested as well.
  • a polyphenol compound is known to be capable of imparting high heat resistance despite a low molecular weight and useful for improving the resolution and roughness of a resist pattern (see, for example, Non Patent Literature 2).
  • Non Patent Literature 2 is silent on solubility, the heat resistances of the described compounds are still not sufficient, and a further improvement of various properties such as heat resistance, water resistance, chemical resistance, electrical properties, and mechanical properties is required.
  • An object of the present invention is to provide a resist composition which is capable of reducing defects of a film (thin film formation), has good storage stability and high sensitivity, and can impart a good shape to a resist pattern, and a method for forming a resist pattern using the resist composition.
  • Another object of the present invention is to provide a compound (such as a polyphenol derivative) having high solubility in a safe solvent.
  • the present inventors have, as a result of devoted examinations to solve the above problems, found out that a compound having a specific structure has high solubility in a safe solvent and that a resist composition containing the compound has high sensitivity and can impart a good shape to a resist pattern, and reached the present invention.
  • the present invention is as follows.
  • a resist composition comprising one or more selected from a compound represented by the following general formula (1), a compound represented by the following general formula (2), and a resin obtained using these as monomers:
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms; each R 2 is independently a halogen atom, a linear, branched, or cyclic alkyl group of 1 to 10 carbon atoms, an aryl group of 6 to 10 carbon atoms, an alkenyl group of 2 to 10 carbon atoms, a hydroxy group, or a group obtained by replacing a hydrogen atom of a hydroxy group with an acid dissociation group, and may be the same or different on the same naphthalene ring or benzene ring; n is an integer of 1 to 4, and when n is an integer of 2 or greater in the general formulae (1) and (2), structural formulae of n repeating units may be the same or different; in the general formula (1), each m 1 is independently an integer of 0 to 7, provided that at least one m 1 is an integer of 1 to 7; in the general formula (2), each X is independently an oxygen atom or a sulfur atom, and each R
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms; each R 3 is independently a halogen atom, a linear, branched, or cyclic alkyl group of 1 to 10 carbon atoms, an aryl group of 6 to 10 carbon atoms, or an alkenyl group of 2 to 10 carbon atoms, and may be the same or different on the same naphthalene ring or benzene ring; each R 4 is independently a hydrogen atom or an acid dissociation group; n is an integer of 1 to 4, and when n is an integer of 2 or greater in the general formulae (1-1) and (2-1), structural formulae of n repeating units may be the same or different; in the general formula (1-1), each m 3 is independently an integer of 1 to 7, each m 4 is independently an integer of 0 to 6, and m 3 +m 4 is an integer of 1 to 7; in the general formula (2-1), each m 5 is independently an integer of 1 to 6, each m
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms; each R 3 is independently a halogen atom, a linear, branched, or cyclic alkyl group of 1 to 10 carbon atoms, an aryl group of 6 to 10 carbon atoms, or an alkenyl group of 2 to 10 carbon atoms, and may be the same or different on the same naphthalene ring or benzene ring; each R 4 is independently a hydrogen atom or an acid dissociation group; n is an integer of 1 to 4, and when n is an integer of 2 or greater in the general formulae (1-2) and (2-2), structural formulae of n repeating units may be the same or different; in the general formula (1-2), each m 4 is independently an integer of 0 to 6; in the general formula (2-2), each m 6 is independently an integer of 0 to 5; and in the general formulae (1-2) and (2-2), each q is independently 0 or 1; provided that in the general
  • a method for forming a resist pattern comprising the steps of coating a substrate with the resist composition according to any of [1] to [6], thereby forming a resist film; exposing the formed resist film; and developing the exposed resist film.
  • X′ is a hydrogen atom, a halogen atom, or a monovalent group of 1 to 18 carbon atoms; each R 0 is independently an alkyl group of 1 to 4 carbon atoms or a halogen atom, and may be the same or different on the same naphthalene ring or benzene ring; R 4 is a hydrogen atom or an acid dissociation group; in the general formula (3), each p 1 is independently an integer of 0 to 5; in the general formula (4), each p 2 is independently an integer of 0 to 5; and in the general formulae (3) and (4), each q is independently 0 or 1; provided that in the general formulae (3) and (4), at least one R 4 is an acid dissociation group, and at least one selected from the group consisting of X′ and R 0 is a group comprising an iodine atom.
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms; each R 2 is independently a halogen atom, a linear, branched, or cyclic alkyl group of 1 to 10 carbon atoms, an aryl group of 6 to 10 carbon atoms, or an alkenyl group of 2 to 10 carbon atoms, a hydroxy group, or a group obtained by replacing a hydrogen atom of a hydroxy group with an acid dissociation group, and may be the same or different on the same naphthalene ring or benzene ring; n is an integer of 1 to 4, and when n is an integer of 2 or greater, structural formulae of n repeating units may be the same or different; each m 1 is independently an integer of 0 to 7, provided that at least one m 1 is an integer of 1 to 7; and each q is independently 0 or 1; provided that in the general formula (1), at least one R 2 is a group obtained by replacing a hydrogen atom of
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms; each R 3 is independently a halogen atom, a linear, branched, or cyclic alkyl group of 1 to 10 carbon atoms, an aryl group of 6 to 10 carbon atoms, or an alkenyl group of 2 to 10 carbon atoms, and may be the same or different on the same naphthalene ring or benzene ring; each R 4 is independently a hydrogen atom or an acid dissociation group; n is an integer of 1 to 4, and when n is an integer of 2 or greater, structural formulae of n repeating units may be the same or different; each m 3 is independently an integer of 1 to 7, each m 4 is independently an integer of 0 to 6, and m 3 +m 4 is an integer of 1 to 7; and each q is independently 0 or 1; provided that in the general formula (1-1), at least one R 4 is an acid dissociation group, and at least one selected from the
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms
  • each R 3 is independently a halogen atom, a linear, branched, or cyclic alkyl group of 1 to 10 carbon atoms, an aryl group of 6 to 10 carbon atoms, or an alkenyl group of 2 to 10 carbon atoms, and may be the same or different on the same naphthalene ring or benzene ring
  • each R 4 is independently a hydrogen atom or an acid dissociation group
  • n is an integer of 1 to 4, and when n is an integer of 2 or greater, structural formulae of n repeating units may be the same or different
  • each m 4 is independently an integer of 0 to 6, and each q is independently 0 or 1; provided that in the general formula (1-2), at least one R 4 is an acid dissociation group, and at least one selected from the group consisting of R 1 and R 3 is a group comprising an iodine atom.
  • X′ is a hydrogen atom, a halogen atom, or a monovalent group of 1 to 18 carbon atoms; each R 0 is independently an alkyl group of 1 to 4 carbon atoms or a halogen atom, and may be the same or different on the same naphthalene ring or benzene ring; R 4 is a hydrogen atom or an acid dissociation group; each p 1 is independently an integer of 0 to 5; and each q is independently 0 or 1; provided that in the general formula (3), at least one R 4 is an acid dissociation group, and at least one selected from the group consisting of X′ and R 0 is a group comprising an iodine atom.
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms
  • each R 2 is independently a halogen atom, a linear, branched, or cyclic alkyl group of 1 to 10 carbon atoms, an aryl group of 6 to 10 carbon atoms, or an alkenyl group of 2 to 10 carbon atoms, a hydroxy group, or a group obtained by replacing a hydrogen atom of a hydroxy group with an acid dissociation group, and may be the same or different on the same naphthalene ring or benzene ring; n is an integer of 1 to 4, and when n is an integer of 2 or greater, structural formulae of n repeating units may be the same or different; each X is independently an oxygen atom or a sulfur atom; each m 2 is independently an integer of 0 to 6, provided that at least one m 2 is an integer of 1 to 6; and each q is independently 0 or 1; provided that in the general formula (2), at least
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms; each R 3 is independently a halogen atom, a linear, branched, or cyclic alkyl group of 1 to 10 carbon atoms, an aryl group of 6 to 10 carbon atoms, or an alkenyl group of 2 to 10 carbon atoms, and may be the same or different on the same naphthalene ring or benzene ring; each R 4 is independently a hydrogen atom or an acid dissociation group; n is an integer of 1 to 4, and when n is an integer of 2 or greater, structural formulae of n repeating units may be the same or different; each m 5 is independently an integer of 1 to 6, each m 6 is independently an integer of 0 to 5, and m 5 +m 6 is an integer of 1 to 6; and each q is independently 0 or 1; provided that in the general formula (2-1), at least one R 4 is an acid dissociation group, and at least one selected from the
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms
  • each R 3 is independently a halogen atom, a linear, branched, or cyclic alkyl group of 1 to 10 carbon atoms, an aryl group of 6 to 10 carbon atoms, or an alkenyl group of 2 to 10 carbon atoms, and may be the same or different on the same naphthalene ring or benzene ring
  • each R 4 is independently a hydrogen atom or an acid dissociation group
  • n is an integer of 1 to 4, and when n is an integer of 2 or greater, structural formulae of n repeating units may be the same or different
  • each m 6 is independently an integer of 0 to 5, and each q is independently 0 or 1; provided that in the general formula (2-2), at least one R 4 is an acid dissociation group, and at least one selected from the group consisting of R 1 and R 3 is a group comprising an iodine atom.
  • X′ is a hydrogen atom, a halogen atom, or a monovalent group of 1 to 18 carbon atoms; each R 0 is independently an alkyl group of 1 to 4 carbon atoms or a halogen atom, and may be the same or different on the same naphthalene ring or benzene ring; R 4 is a hydrogen atom or an acid dissociation group; each p 2 is independently an integer of 0 to 5; and each q is independently 0 or 1; provided that in the general formula (4), at least one R 4 is an acid dissociation group, and at least one selected from the group consisting of X′ and R 0 is a group comprising an iodine atom.
  • crosslinking compound is an aldehyde, a ketone, a carboxylic acid, a carboxylic acid halide, a halogen-containing compound, an amino compound, an imino compound, an isocyanate, or an unsaturated hydrocarbon group-containing compound.
  • a purification method comprising the steps of:
  • the solvent used in the step of obtaining the solution (A) comprises an organic solvent that does not inadvertently mix with water.
  • the acidic aqueous solution is an aqueous mineral acid solution or an aqueous organic acid solution;
  • the aqueous mineral acid solution is one or more aqueous mineral acid solutions selected from the group consisting of hydrochloric acid, sulfuric acid, nitric acid, and phosphoric acid; and
  • the aqueous organic acid solution is one or more aqueous organic acid solutions selected from the group consisting of acetic acid, propionic acid, oxalic acid, malonic acid, succinic acid, fumaric acid, maleic acid, tartaric acid, citric acid, methanesulfonic acid, phenolsulfonic acid, p-toluenesulfonic acid, and trifluoroacetic acid.
  • organic solvent that does not inadvertently mix with water is one or more organic solvents selected from the group consisting of toluene, 2-heptanone, cyclohexanone, cyclopentanone, methyl isobutyl ketone, propylene glycol monomethyl ether acetate, and ethyl acetate.
  • the present invention it is possible to provide a compound having high solubility in a safe solvent and possible to provide a resist composition which contains the compound, is capable of reducing defects of a film (thin film formation), has good storage stability and high sensitivity, and can impart a good shape to a resist pattern, and a method for forming a resist pattern using it.
  • present embodiment an embodiment of the present invention will be described (hereinafter, referred to as “present embodiment”).
  • present embodiment is given in order to illustrate the present invention.
  • present invention is not limited to only the present embodiment.
  • the resist composition of the present embodiment contains one or more selected from a compound represented by the above general formula (1), a compound represented by the above general formula (2), and a resin obtained using any of these compounds as a monomer.
  • the first embodiment of the resist composition of the present embodiment contains a compound represented by the following general formula (1).
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms; herein, the 2n-valent group of 1 to 30 carbon atoms may have an alicyclic hydrocarbon group, a double bond, a hetero atom, or an aromatic group of 6 to 30 carbon atoms; in the general formula (1), each R 2 is independently a halogen atom, a linear, branched, or cyclic alkyl group of 1 to 10 carbon atoms, an aryl group of 6 to 10 carbon atoms, or an alkenyl group of 2 to 10 carbon atoms, a hydroxy group, or a group obtained by replacing a hydrogen atom of a hydroxy group with an acid dissociation group, and may be the same or different on the same naphthalene ring or benzene ring; n is an integer of 1 to 4, and when n is an integer of 2 or greater in the general formula (1), structural formulae of n repeating units may be the same or different
  • the “at least one selected from the group consisting of R 1 and R 2 ” means “at least one group selected from the group consisting of R 1 and R 2 ”, and does not mean “at least one kind of group selected from the group consisting of R 1 and R 2 ”.
  • the chemical structure of the above compound to be contained in the resist composition of the present embodiment can be determined by 1 H-NMR analysis.
  • the above compound to be contained in the resist composition of the present embodiment has excellent heat resistance because the compound has a benzene skeleton or a naphthalene skeleton as shown in the above general formula (1).
  • n is an integer of 1 to 4, and when n is an integer of 2 or greater, the structural formulae of n repeating units may be the same or different.
  • n is preferably 1 to 3 in the above general formula (1).
  • q is preferably 1. That is to say, the compound represented by the above general formula (1) is preferably a compound represented by the following general formula (1-a).
  • R 1 , R 2 , n, and m 1 are as defined in the above general formula (1).
  • the compound represented by the above general formula (1) is not a polymer
  • the structure of the [ ] portion bonded to R 1 in the above general formula (1) is referred to as the structural formula of the repeating unit (hereinafter, the same also applies to the general formula (2)).
  • R 1 is a single bond or a 2n-valent group of 1 to 30 carbon atoms (hereinafter sometimes referred to as “C1-30”), and the group may have an alicyclic hydrocarbon group, a double bond, a heteroatom, or a C6-30 aromatic group.
  • Examples of the 2n-valent group include those having a linear, branched, or cyclic structure.
  • the 2n-valent group may have an alicyclic hydrocarbon group, a double bond, a hetero atom, or a C6-30 aromatic group.
  • the alicyclic hydrocarbon group also includes bridged alicyclic hydrocarbon groups.
  • R 1 preferably has a condensed polycyclic aromatic group (in particular, a condensed ring structure of 2 to 4 rings) in terms of heat resistance, and preferably has a polyphenyl group such as a biphenyl group in terms of solubility in a safe solvent and heat resistance.
  • each R 2 is independently a halogen atom, a C1-10 linear, branched, or cyclic alkyl group, a C6-10 aryl group, a C2-10 alkenyl group, a hydroxy group, or a group obtained by replacing a hydrogen atom of a hydroxy group with an acid dissociation group, and may be the same or different on the same naphthalene ring or benzene ring, at least one R 2 is a group obtained by replacing a hydrogen atom of a hydroxy group with an acid dissociation group, and each m 1 is independently an integer of 0 to 7, provided that at least one m 1 is an integer of 1 to 7.
  • R 2 is preferably a hydrogen atom, a C1-10 linear, branched, or cyclic alkyl group, a C6-10 aryl group, a C2-10 alkenyl group, or a hydroxy group.
  • At least one selected from the group consisting of R 1 and R 2 is a group containing an iodine atom.
  • the compound represented by the above formula (1) has high heat resistance attributable to its rigidity in spite of its low molecular weight, and is usable even under high temperature baking conditions. Since the resist composition of the present embodiment contains such a compound that has a low molecular weight and can be baked at a high temperature, the resist composition is highly sensitive and, further, can impart a good shape to a resist pattern.
  • the compound represented by the above formula (1) is preferably a compound represented by the following general formula (1-1).
  • R 1 is as defined in the above general formula (1).
  • each R 3 is independently a halogen atom, a C1-10 linear, branched, or cyclic alkyl group, a C6-10 aryl group, or a C2-10 alkenyl group, provided that in the general formula (1-1), at least one selected from the group consisting of R 1 and R 3 is a group containing an iodine atom.
  • the “at least one selected from the group consisting of R 1 and R 3 ” means “at least one group selected from the group consisting of R 1 and R 3 ”, and does not mean “at least one kind of group selected from the group consisting of R 1 and R 3 ”.
  • each m 3 is independently an integer of 1 to 7
  • each m 4 is independently an integer of 0 to 6
  • m 3 +m 4 is an integer of 1 to 7.
  • n is an integer of 1 to 4.
  • each q is independently 0 or 1, and q is preferably 1. That is to say, the compound represented by the general formula (1-1) is preferably a compound represented by the following general formula (1-1-a).
  • each R 4 is independently an acid dissociation group or a hydrogen atom, and at least one is an acid dissociation group.
  • the “acid dissociation group” refers to a characteristic group that is cleaved in the presence of an acid and thereby converted into an alkali soluble group or the like.
  • the “alkali soluble group” include, but not particularly limited to, a phenolic hydroxy group, a carboxyl group, a sulfonic acid group, and a hexafluoroisopropanol group.
  • a phenolic hydroxy group and a carboxyl group are preferable, and a phenolic hydroxy group is particularly preferable.
  • the acid dissociation group is not particularly limited, and can be arbitrarily selected for use from among those proposed in hydroxystyrene based resins, (meth)acrylic acid based resins, and the like used in chemical amplification resist compositions for KrF or ArF. Specific examples include, but not particularly limited to, a substituted methyl group, a 1-substituted ethyl group, a 1-substituted n-propyl group, a 1-branched alkyl group, a silyl group, an acyl group, a 1-substituted alkoxymethyl group, a cyclic ether group, an alkoxycarbonyl group, and an alkoxycarbonylalkyl group.
  • the acid dissociation group preferably has no crosslinkable functional group.
  • substituted methyl group examples include, but not particularly limited to, substituted methyl groups of 2 to 20 carbon atoms, preferable are substituted methyl groups of 4 to 18 carbon atoms, and more preferable are substituted methyl groups of 6 to 16 carbon atoms.
  • Specific examples can include, but not particularly limited to, a methoxymethyl group, a methylthiomethyl group, an ethoxymethyl group, a n-propoxymethyl group, an isopropoxymethyl group, a n-butoxymethyl group, a t-butoxymethyl group, a 2-methylpropoxymethyl group, an ethylthiomethyl group, a methoxyethoxymethyl group, a phenyloxymethyl group, a 1-cyclopentyloxymethyl group, a 1-cyclohexyloxymethyl group, a benzylthiomethyl group, a phenacyl group, a 4-bromophenacyl group, a 4-methoxyphenacyl group, a piperonyl group, and a substituent represented by the following formulae (13-1).
  • R 2 in the following formulae (13-1) is not particularly limited, and examples include a methyl group, an ethyl group, an isopropyl group, a n-propyl group, a t-butyl group, and a n-butyl group.
  • R 2 is an alkyl group of 1 to 4 carbon atoms.
  • Examples of the 1-substituted ethyl group include, but not particularly limited to, 1-substituted ethyl groups of 3 to 20 carbon atoms, preferable are 1-substituted ethyl groups of 5 to 18 carbon atoms, and more preferable are 1-substituted ethyl groups of 7 to 16 carbon atoms.
  • a 1-methoxyethyl group a 1-methylthioethyl group, a 1,1-dimethoxyethyl group, a 1-ethoxyethyl group, a 1-ethylthioethyl group, a 1,1-diethoxyethyl group, a n-propoxyethyl group, an isopropoxyethyl group, a n-butoxyethyl group, a t-butoxyethyl group, a 2-methylpropoxyethyl group, a 1-phenoxyethyl group, a 1-phenylthioethyl group, a 1,1-diphenoxyethyl group, a 1-cyclopentyloxyethyl group, a 1-cyclohexyloxyethyl group, a 1-phenylethyl group, a 1,1-diphenylethyl group, and a substituent represented by the
  • R 2 is as defined in the above formula (13-1).
  • Examples of the 1-substituted n-propyl group include, but not particularly limited to, 1-substituted n-propyl groups of 4 to 20 carbon atoms, preferable are 1-substituted n-propyl group of 6 to 18 carbon atoms, and more preferable are 1-substituted n-propyl groups of 8 to 16 carbon atoms. Specific examples include, but not particularly limited to, a 1-methoxy-n-propyl group and a 1-ethoxy-n-propyl group.
  • Examples of the 1-branched alkyl group include, but not particularly limited to, 1-branched alkyl groups of 3 to 20 carbon atoms, preferable are 1-branched alkyl groups of 5 to 18 carbon atoms, and more preferable are branched alkyl groups of 7 to 16 carbon atoms.
  • Specific examples can include, but are not limited to, an isopropyl group, a sec-butyl group, a tert-butyl group, a 1,1-dimethylpropyl group, a 1-methylbutyl group, a 1,1-dimethylbutyl group, a 2-methyladamantyl group, and a 2-ethyladamantyl group.
  • silyl group examples include, but not particularly limited to, silyl groups of 1 to 20 carbon atoms, preferable are silyl groups of 3 to 18 carbon atoms, and more preferable are silyl groups of 5 to 16 carbon atoms.
  • Specific examples can include, but not particularly limited to, a trimethylsilyl group, an ethyldimethylsilyl group, a methyldiethylsilyl group, a triethylsilyl group, a tert-butyldimethylsilyl group, a tert-butyldiethylsilyl group, a tert-butyldiphenylsilyl group, a tri-tert-butylsilyl group, and a triphenylsilyl group.
  • acyl group examples include, but not particularly limited to, acyl groups of 2 to 20 carbon atoms, preferable are acyl groups of 4 to 18 carbon atoms, and more preferable are acyl groups of 6 to 16 carbon atoms.
  • Specific examples can include, but not particularly limited to, an acetyl group, a phenoxyacetyl group, a propionyl group, a butyryl group, a heptanoyl group, a hexanoyl group, a valeryl group, a pivaloyl group, an isovaleryl group, a lauroyl group, an adamantylcarbonyl group, a benzoyl group, and a naphthoyl group.
  • Examples of the 1-substituted alkoxymethyl group include, but not particularly limited to, 1-substituted alkoxymethyl groups of 2 to 20 carbon atoms, preferable are 1-substituted alkoxymethyl groups of 4 to 18 carbon atoms, and more preferable are 1-substituted alkoxymethyl groups of 6 to 16 carbon atoms.
  • Specific examples can include, but not particularly limited to, a 1-cyclopentylmethoxymethyl group, a 1-cyclopentylethoxymethyl group, a 1-cyclohexylmethoxymethyl group, a 1-cyclohexylethoxymethyl group, a 1-cyclooctylmethoxymethyl group, and a 1-adamantylmethoxymethyl group.
  • cyclic ether group examples include, but not particularly limited to, cyclic ether groups of 2 to 20 carbon atoms, preferable are cyclic ether groups of 4 to 18 carbon atoms, and more preferable are cyclic ether groups of 6 to 16 carbon atoms.
  • Specific examples can include, but not particularly limited to, a tetrahydropyranyl group, a tetrahydrofuranyl group, a tetrahydrothiopyranyl group, a tetrahydrothiofuranyl group, a 4-methoxytetrahydropyranyl group, and a 4-methoxytetrahydrothiopyranyl group.
  • alkoxycarbonyl group examples include, but not particularly limited to, alkoxycarbonyl groups of 2 to 20 carbon atoms, preferable are alkoxycarbonyl groups of 4 to 18 carbon atoms, and more preferable are alkoxycarbonyl groups of 6 to 16 carbon atoms.
  • alkoxycarbonylalkyl group examples include, but not particularly limited to, alkoxycarbonylalkyl groups of 2 to 20 carbon atoms, preferable are alkoxycarbonylalkyl groups of 4 to 18 carbon atoms, and more preferable are alkoxycarbonylalkyl groups of 6 to 16 carbon atoms.
  • R 3 is a hydrogen atom or a linear or branched alkyl group of 1 to 4 carbon atoms, and n is an integer of 0 to 4.
  • a substituted methyl group, a 1-substituted ethyl group, a 1-substituted alkoxymethyl group, a cyclic ether group, an alkoxycarbonyl group, and an alkoxycarbonylalkyl group are preferable.
  • a substituted methyl group, a 1-substituted ethyl group, an alkoxycarbonyl group, and an alkoxycarbonylalkyl group are more preferable because of high sensitivity.
  • An acid dissociation group having a structure selected from cycloalkanes of 3 to 12 carbon atoms, lactones, and aromatic rings of 6 to 12 carbon atoms are still more preferable.
  • the cycloalkane of 3 to 12 carbon atoms may be monocyclic or polycyclic and is more preferably polycyclic. Specific examples include, but not particularly limited to, monocycloalkanes, bicycloalkanes, tricycloalkanes, and tetracycloalkanes, and more specific examples include, but not particularly limited to, monocycloalkanes such as cyclopropane, cyclobutane, cyclopentane, and cyclohexane, and polycycloalkanes such as adamantane, norbornane, isobornane, tricyclodecane, and tetracyclodecane.
  • adamantane, tricyclodecane, and tetracyclodecane are preferable, and adamantane and tricyclodecane are particularly preferable.
  • the cycloalkane of 3 to 12 carbon atoms may have a substituent.
  • the lactone include, but not particularly limited to, butyrolactone and cycloalkane groups of 3 to 12 carbon atoms having a lactone group.
  • the aromatic ring of 6 to 12 carbon atoms include, but not particularly limited to, a benzene ring, a naphthalene ring, an anthracene ring, a phenanthrene ring, and a pyrene ring.
  • a benzene ring and a naphthalene ring are preferable, and a naphthalene ring is particularly preferable.
  • An acid dissociation group selected from the group consisting of the groups represented by the following formulae (13-4) is preferable because of high resolution.
  • R 5 is a hydrogen atom or a linear or branched alkyl group of 1 to 4 carbon atoms
  • R 6 is hydrogen, a linear or branched alkyl group of 1 to 4 carbon atoms, a cyano group, a nitro group, a heterocyclic group, a halogen atom, or a carboxyl group
  • n 1 is an integer of 0 to 4
  • n 2 is an integer of 1 to 5
  • n 0 is an integer of 0 to 4.
  • the compound represented by the above general formula (1) is preferably a compound represented by the following general formula (1-2).
  • R 1 , R 3 , R 4 , m 4 , n, and q are as defined in the above general formula (1-1), and at least one selected from the group consisting of R 1 and R 3 is a group containing an iodine atom.
  • q is more preferably 1. That is to say, the compound represented by the above general formula (1) is more preferably a compound represented by the following general formula (1-2-a).
  • R 1 , R 3 , R 4 , m 4 , and n are as defined in the above general formula (1-1), and at least one selected from the group consisting of R 1 and R 3 is a group containing an iodine atom.
  • m 3 is preferably 2 in the above general formula (1-1).
  • the compound represented by the above general formula (1-1) is preferably a compound of the above general formula (1-1) wherein n is 1.
  • the compound represented by the above general formula (1-1) is more preferably a compound represented by the following general formula (1-3).
  • R 1 , R 3 , R 4 , and m 4 are as defined in the above general formula (1-1), and at least one selected from the group consisting of R 1 and R 3 is a group containing an iodine atom.
  • R 2 ′ is the same as R 2 defined in the description of the above general formula (1)
  • m is the same as m 1 defined in the description of the above general formula (1)
  • at least one R 2 ′ is a monovalent group containing an iodine atom.
  • a method for producing the compound represented by the above general formula (1) is not particularly limited.
  • naphthol examples include, but not particularly limited to, naphthol, methylnaphthol, methoxynaphthol, and naphthalenediol.
  • Naphthalenediol is more preferably used because a xanthene structure can be easily made.
  • thionaphthol examples include, but not particularly limited to, naphthalenethiol, methylnaphthalenethiol, methoxynaphthalenethiol, and naphthalenedithiol.
  • phenol examples include, but not particularly limited to, phenol, methylphenol, methoxybenzene, catechol, resorcinol, hydroquinone, and trimethylhydroquinone.
  • thiophenol examples include, but not particularly limited to, benzenethiol, methylbenzenethiol, methoxybenzenethiol, benzenedithiol, and trimethylbenzenedithiol.
  • aldehyde examples include, but not particularly limited to, formaldehyde, trioxane, paraformaldehyde, acetaldehyde, propylaldehyde, butylaldehyde, hexylaldehyde, decylaldehyde, undecylaldehyde, phenylacetaldehyde, phenylpropylaldehyde, furfural, benzaldehyde, hydroxybenzaldehyde, fluorobenzaldehyde, chlorobenzaldehyde, nitrobenzaldehyde, methylbenzaldehyde, dimethylbenzaldehyde, ethylbenzaldehyde, propylbenzaldehyde, butylbenzaldehyde, cyclohexylbenzaldehyde, biphenylaldehyde, naphthaldehyde, anthracenecarboxal
  • Examples of the ketone are not particularly limited and include acetone, methyl ethyl ketone, cyclobutanone, cyclopentanone, cyclohexanone, norbornanone, tricyclohexanone, tricyclodecanone, adamantanone, fluorenone, benzofluorenone, acenaphthenequinone, acenaphthenone, and anthraquinone, and cyclopentanone, cyclohexanone, norbornanone, tricyclohexanone, tricyclodecanone, adamantanone, fluorenone, benzofluorenone, acenaphthenequinone, acenaphthenone, or anthraquinone is preferably used in terms of providing high heat resistance.
  • the above acid catalyst is not particularly limited and can be arbitrarily selected from well-known inorganic acids and organic acids.
  • examples include inorganic acids such as hydrochloric acid, sulfuric acid, phosphoric acid, hydrobromic acid, and hydrofluoric acid; organic acids such as oxalic acid, formic acid, p-toluenesulfonic acid, methanesulfonic acid, trifluoroacetic acid, trifluoromethanesulfonic acid, benzenesulfonic acid, naphthalenesulfonic acid, and naphthalenedisulfonic acid; Lewis acids such as zinc chloride, aluminum chloride, iron chloride, and boron trifluoride; and solid acids such as tungstosilicic acid, tungstophosphoric acid, silicomolybdic acid, and phosphomolybdic acid. From the viewpoint of production such as easy availability and handleability, hydrochloric acid or sulfuric acid is preferably used.
  • the acid catalyst one kind or two
  • a reaction solvent may be used.
  • the reaction solvent is not particularly limited as long as the reaction of the aldehyde or the ketone used with the naphthol or the thionaphthol proceeds.
  • water, methanol, ethanol, propanol, butanol, tetrahydrofuran, dioxane, or a mixed solvent thereof can be used.
  • the amount of the reaction solvent used is not particularly limited and is within the range of, for example, 0 to 2000 parts by mass based on 100 parts by mass of the reaction raw materials.
  • the reaction temperature is not particularly limited and can be arbitrarily selected according to the reactivity of the reaction raw materials.
  • the reaction temperature is preferably within the range of 10 to 200° C.
  • a lower reaction temperature is more effective, and the range of 10 to 60° C. is more preferable.
  • Examples of the method for producing the above polyphenol compound include, but not particularly limited to, a method of charging the naphthol or thionaphthol, the aldehyde or ketone, and the acid catalyst at once, and a method of dropping the naphtholor or thionaphthol and the aldehyde or ketone in the presence of the acid catalyst.
  • the temperature of the reaction vessel is elevated to 130 to 230° C. in order to remove unreacted raw materials and acid catalyst, etc. present in the system, and volatile components can also be removed at about 1 to 50 mmHg.
  • the amounts of raw materials are not particularly limited.
  • 2 mol to an excess of the naphthol or thionaphthol, the aldehyde or ketone and 0.001 to 1 mol of the acid catalyst based on 1 mol of the aldehyde or ketone are used, and the polycondensation reaction proceeds by reacting at 20 to 60° C. at normal pressure for about 20 minutes to 100 hours.
  • the target component is isolated by a publicly known method after the reaction terminates.
  • the method for isolating the target component is not particularly limited.
  • An exemplary method involves concentrating the reaction solution, precipitating the reaction product by the addition of pure water, cooling the reaction solution to room temperature, then separating the precipitates by filtration, filtering and drying the obtained solid matter, then separating and purifying the solid matter from by-products by column chromatography, and distilling off the solvent, followed by filtration and drying to obtain the objective compound.
  • the method for introducing an acid dissociation group to at least one phenolic hydroxy group of the polyphenol compound is publicly known.
  • an acid dissociation group can be introduced to at least one phenolic hydroxy group of the polyphenol compound as described below.
  • the compound for introducing an acid dissociation group may be synthesized by a publicly known method or may be obtained as a commercially available product. Examples include, but not particularly limited to, active carboxylic acid derivatives such as acid chloride, acid anhydride, and dicarbonate, alkyl halide, vinyl alkyl ether, dihydropyran, and halocarboxylic acid alkyl ester.
  • the polyphenol compound is dissolved or suspended in an aprotic solvent such as acetone, tetrahydrofuran (THF), and propylene glycol monomethyl ether acetate.
  • an aprotic solvent such as acetone, tetrahydrofuran (THF), and propylene glycol monomethyl ether acetate.
  • a vinyl alkyl ether such as ethyl vinyl ether, or dihydropyran
  • an acid catalyst such as pyridinium p-toluenesulfonate.
  • the reaction solution is neutralized with an alkali compound and added to distilled water to precipitate white solids, and the separated white solids can then be washed with distilled water and dried to obtain the compound represented by the above general formula (1).
  • the polyphenol compound is dissolved or suspended in an aprotic solvent such as acetone, THF, and propylene glycol monomethyl ether acetate.
  • an alkyl halide such as ethyl chloromethyl ether or a halocarboxylic acid alkyl ester such as methyladamantyl bromoacetate is added and reacted at 20 to 110° C. at normal pressure for 6 to 72 hours in the presence of an alkali catalyst such as potassium carbonate.
  • the reaction solution is neutralized with an acid such as hydrochloric acid and added to distilled water to precipitate white solids, and the separated white solids can then be washed with distilled water and dried to obtain the compound represented by the above general formula (1).
  • the acid dissociation group refers to a characteristic group that is cleaved in the presence of an acid, thereby providing a functional group changing the solubility of an alkali soluble group or the like.
  • the alkali soluble group include, but not particularly limited to, a phenolic hydroxy group, a carboxyl group, a sulfonic acid group, and a hexafluoroisopropanol group.
  • a phenolic hydroxy group and a carboxyl group are preferable, and a phenolic hydroxy group is particularly preferable.
  • the above acid dissociation group preferably has the property of causing chain cleavage reactions in the presence of an acid in order to achieve higher sensitivity and higher resolution pattern formation.
  • the second embodiment of the resist composition of the present embodiment contains a compound represented by the following general formula (2).
  • each X is independently an oxygen atom or a sulfur atom
  • R 1 is a single bond or a C1-30 2n-valent group.
  • the C1-30 2n-valent group may have an alicyclic hydrocarbon group, a double bond, a hetero atom, or a C6-30 aromatic group.
  • each R 2 is independently a halogen atom, a C1-10 linear, branched, or cyclic alkyl group, a C6-10 aryl group, a C2-10 alkenyl group, a hydroxy group, or a group obtained by replacing a hydrogen atom of a hydroxy group with an acid dissociation group, and may be the same or different on the same naphthalene ring or benzene ring; each m 2 is independently an integer of 0 to 6, provided that at least one m 2 is an integer of 1 to 6; n is an integer of 1 to 4, and when n is an integer of 2 or greater in the general formula (2), structural formulae of n repeating units may be the same or different.
  • At least one R 2 is a group obtained by replacing a hydrogen atom of a hydroxy group with an acid dissociation group, and at least one selected from the group consisting of R 1 and R 2 is a group containing an iodine atom.
  • the “at least one selected from the group consisting of R 1 and R 3 ” means “at least one group selected from the group consisting of R 1 and R 3 ”, and does not mean “at least one kind of group selected from the group consisting of R 1 and R 3 ”.
  • R 1 , R 2 , n, and m 2 are as defined in the above general formula (2).
  • X is preferably an oxygen atom in terms of suppression of device contamination during resist film exposure, and in terms of solubility in a safe solvent and resist pattern characteristics, the compound represented by the following formula (2-1) is preferable.
  • R 1 , R 3 , R 4 , n, and q are as defined in the above general formula (1-1), each m 5 is independently an integer of 1 to 6; each m 6 is independently an integer of 0 to 5, and m 5 +m 6 is an integer of 1 to 6.
  • q is 0 or 1, and q is preferably 1. That is to say, the compound represented by the above general formula (2) is more preferably a compound represented by the following formula (2-1-a).
  • R 1 , R 3 , R 4 , n, m 5 , and m 6 are as defined in the above general formula (2-1).
  • the compound represented by the above general formula (2-1) is more preferably a compound represented by the following general formula (2-2).
  • R 1 , R 3 , R 4 , m 6 , n, and q are as defined in the above formula (2-1).
  • q is more preferably 1. That is to say, the compound represented by the above general formula (2) is more preferably a compound represented by the following general formula (2-2-a).
  • m 5 is preferably 2 in the above general formula (2-1).
  • the compound represented by the above general formula (2-1) is preferably a compound of the above general formula (2-1) wherein n is 1.
  • the compound represented by the above general formula (2) is more preferably a compound represented by the following general formula (2-3) in the present embodiment.
  • R 1 , R 3 , R 4 , and m 6 are as defined in the above general formula (2-1).
  • X is the same as in the above general formula (2)
  • R 2 ′ is the same as R 2 defined in the description of the above general formula (2)
  • m is the same as m 2 defined in the description of the above general formula (2)
  • at least one R 2 ′ is a monovalent group containing an iodine atom.
  • the compound of the present embodiment is a compound represented by the above general formula (1) or (2).
  • the compound represented by the above general formula (1) is preferably a compound represented by the above general formula (1-1), and is more preferably a compound represented by the above general formula (1-2).
  • the compound represented by the above general formula (2) is preferably a compound represented by the above general formula (2-1), and is more preferably a compound represented by the above general formula (2-2).
  • the compound of the present embodiment is a compound represented by the following general formula (3) or (4) (such as a polyphenol derivative).
  • X′ is a hydrogen atom, a halogen atom, or a monovalent group of 1 to 18 carbon atoms; each R 0 is independently an alkyl group of 1 to 4 carbon atoms or a halogen atom, and may be the same or different on the same naphthalene ring or benzene ring; R 4 is a hydrogen atom or an acid dissociation group; in the general formula (3), each p 1 is independently an integer of 0 to 5; in the general formula (4), each p 2 is independently an integer of 0 to 5; and in the general formulae (3) and (4), each q is independently 0 or 1; provided that in the general formulae (3) and (4), at least one R 4 is an acid dissociation group, and at least one selected from the group consisting of X′ and R 0 is a group containing an iodine atom.
  • X′ is preferably a hydrogen atom, a halogen atom, or a monovalent hydrocarbon group of 1 to 18 carbon atoms.
  • the compounds represented by the above general formulae (3) and (4) are preferably compounds represented by the following general formulae (3-1) and (4-1), respectively.
  • each R 0 is independently an alkyl group of 1 to 4 carbon atoms or a halogen atom, and may be the same or different on the same naphthalene ring or benzene ring;
  • R 4 is a hydrogen atom or an acid dissociation group; in the general formula (3-1), each p 1 is independently an integer of 0 to 5; and in the general formula (4-1), each p 2 is independently an integer of 0 to 5; provided that in the general formulae (3-1) and (4-1), at least one R 4 is an acid dissociation group, and at least one selected from the group consisting of X′ and R 0 is a group containing an iodine atom.
  • X′ is preferably a hydrogen atom, a halogen atom, or a monovalent hydrocarbon group of 1 to 18 carbon atoms.
  • the compound of the present embodiment (such as a polyphenol compound) exhibits the effect of having excellent heat resistance and, in addition to heat resistance, excellent solubility in a safe solvent.
  • the positions of hydroxy groups on the naphthalene ring are not particularly limited, but are preferably 1,5-positions, 1,6-positions, 1,7-positions, 2,3-positions, 2,7-positions, and 2,6-positions in terms of the industrial applicability of raw materials, and more preferably 2,6-positions in terms of even higher solubility in a safe solvent and low crystallinity.
  • the resist composition of the present embodiment contains a compound represented by the above general formula (3) or (4) (such as a polyphenol derivative).
  • the resin of the present embodiment is a resin obtained using the compound represented by the above formula (1) or (2) as a monomer.
  • the resin of the present embodiment is obtained by, for example, reacting the compound represented by the above formula (1) or (2) with a crosslinking compound.
  • crosslinking compound a publicly known monomer can be used without particular limitations as long as it can oligomerize or polymerize the compound represented by the above formula (1) or (2).
  • Specific example thereof include, but not particularly limited to, aldehydes, ketones, carboxylic acids, carboxylic acid halides, halogen-containing compounds, amino compounds, imino compounds, isocyanates, and unsaturated hydrocarbon group-containing compounds.
  • the solvent used in the step of obtaining the solution (A) contains an organic solvent that does not inadvertently mix with water.
  • the resin is preferably a resin obtained by a reaction between the compound represented by the above formula (1) or (2) and a crosslinking compound.
  • the purification method of the present embodiment is configured as described above, according to the purification method, the contents of various metals that may be contained as impurities in the compound or the resin having a specific structure described above can be reduced.
  • the above compound or resin is dissolved in an organic solvent that does not inadvertently mix with water to obtain the solution (A), and further, extraction treatment can be carried out by bringing the solution (A) into contact with an acidic aqueous solution.
  • extraction treatment can be carried out by bringing the solution (A) into contact with an acidic aqueous solution.
  • metals contained in the solution (A) containing the compound represented by the above general formula (1), the compound represented by the above general formula (2), or the resin obtained using these as monomers are transferred to the aqueous phase, then the organic phase and the aqueous phase are separated, and thus the compound represented by the above general formula (1), the compound represented by the above general formula (2), or the resin obtained using these as monomers with the reduced metal contents can be obtained.
  • the compound represented by the above general formula (1), the compound represented by the above general formula (2), or the resin obtained using these as monomers used in the purification method of the present embodiment may be alone, or may be a mixture of two or more kinds. Also, the compound represented by the above general formula (1), the compound represented by the above general formula (2), or the resin obtained using these as monomers may contain various surfactants, various crosslinking agents, various acid generators, various stabilizers, and the like.
  • the organic solvent that does not inadvertently mix with water used in the purification method of the present embodiment is not particularly limited, but is preferably an organic solvent that is safely applicable to semiconductor manufacturing processes, and specifically it is an organic solvent having a solubility in water at room temperature of less than 30%, and more preferably is an organic solvent having a solubility of less than 20% and particularly preferably less than 10%.
  • the amount of the organic solvent used is preferably 1 to 100 times the mass of the compound represented by the above general formula (1), the compound represented by the above general formula (2), or the resin obtained using these as monomers.
  • organic solvent that does not inadvertently mix with water include, but not limited to, ethers such as diethyl ether and diisopropyl ether; esters such as ethyl acetate, n-butyl acetate, and isoamyl acetate; ketones such as methyl ethyl ketone, methyl isobutyl ketone, ethyl isobutyl ketone, cyclohexanone (CHN), cyclopentanone, 2-heptanone, and 2-pentanone; glycol ether acetates such as ethylene glycol monoethyl ether acetate, ethylene glycol monobutyl ether acetate, propylene glycol monomethyl ether acetate (PGMEA), and propylene glycol monoethyl ether acetate; aliphatic hydrocarbons such as n-hexane and n-heptane; aromatic hydrocarbons such as to
  • toluene, 2-heptanone, cyclohexanone, cyclopentanone, methyl isobutyl ketone, propylene glycol monomethyl ether acetate, ethyl acetate, and the like are preferable, methyl isobutyl ketone, ethyl acetate, cyclohexanone, and propylene glycol monomethyl ether acetate are more preferable, and methyl isobutyl ketone and ethyl acetate are still more preferable.
  • Methyl isobutyl ketone, ethyl acetate, and the like have relatively high saturation solubility for the compound represented by the above general formula (1), the compound represented by the above general formula (2), or the resin obtained using these as monomers and a relatively low boiling point, and it is thus possible to reduce the load in the case of industrially distilling off the solvent and in the step of removing the solvent by drying.
  • organic solvents can be each used alone, and can be used as a mixture of two or more kinds.
  • the acidic aqueous solution used in the purification method of the present embodiment is arbitrarily selected from aqueous solutions in which generally known organic compounds or inorganic compounds are dissolved in water.
  • aqueous solutions in which mineral acids such as hydrochloric acid, sulfuric acid, nitric acid, and phosphoric acid are dissolved in water
  • aqueous organic acid solutions in which organic acids such as acetic acid, propionic acid, oxalic acid, malonic acid, succinic acid, fumaric acid, maleic acid, tartaric acid, citric acid, methanesulfonic acid, phenolsulfonic acid, p-toluenesulfonic acid, and trifluoroacetic acid are dissolved in water.
  • acidic aqueous solutions can be each used alone, and can be also used as a combination of two or more kinds.
  • aqueous solutions of one or more mineral acids selected from the group consisting of hydrochloric acid, sulfuric acid, nitric acid, and phosphoric acid or aqueous solutions of one or more organic acids selected from the group consisting of acetic acid, propionic acid, oxalic acid, malonic acid, succinic acid, fumaric acid, maleic acid, tartaric acid, citric acid, methanesulfonic acid, phenolsulfonic acid, p-toluenesulfonic acid, and trifluoroacetic acid are preferable
  • aqueous solutions of sulfuric acid, nitric acid, and carboxylic acids such as acetic acid, oxalic acid, tartaric acid, and citric acid are more preferable
  • polyvalent carboxylic acids such as oxalic acid, tartaric acid, and citric acid coordinate with metal ions and provide a chelating effect, and thus tend to be capable of more effectively removing metals.
  • water used herein it is preferable to use water, the metal content of which is small, such as ion exchanged water, according to the purpose of the purification method of the present embodiment.
  • the pH of the acidic aqueous solution used in the purification method of the present embodiment is not particularly limited, but it is preferable to regulate the acidity of the aqueous solution in consideration of an influence on the compound represented by the above general formula (1), the compound represented by the above general formula (2), or the resin obtained using these as monomers.
  • the pH range is about 0 to 5, and is preferably about pH 0 to 3.
  • the amount of the acidic aqueous solution used in the purification method of the present embodiment is not particularly limited, but it is preferable to regulate the amount from the viewpoint of reducing the number of extraction operations for removing metals and from the viewpoint of ensuring operability in consideration of the overall amount of fluid. From the above viewpoints, the amount of the acidic aqueous solution used is preferably 10 to 200% by mass, more preferably 20 to 100% by mass, based on 100% by mass of the solution (A).
  • the solution (A) further contains an organic solvent that advertently mixes with water.
  • an organic solvent that advertently mixes with water there is a tendency that the amount of the compound represented by the above general formula (1), the compound represented by the above general formula (2), or the resin obtained using these as monomers charged can be increased, also the fluid separability is improved, and purification can be carried out at a high reaction vessel efficiency.
  • the method for adding the organic solvent that advertently mixes with water is not particularly limited.
  • the method involving adding it to the organic solvent-containing solution in advance is preferable in terms of the workability of operations and the ease of managing the amount.
  • the organic solvent that inadvertently mixes with water used in the purification method of the present embodiment is not particularly limited, but is preferably an organic solvent that is safely applicable to semiconductor manufacturing processes.
  • the amount of the organic solvent used that inadvertently mixes with water is not particularly limited as long as the solution phase and the aqueous phase separate, but is preferably 0.1 to 100 times, more preferably 0.1 to 50 times, and further preferably 0.1 to 20 times the mass of the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers.
  • organic solvent used in the purification method of the present embodiment that inadvertently mixes with water include, but not limited to, ethers such as tetrahydrofuran and 1,3-dioxolane; alcohols such as methanol, ethanol, and isopropanol; ketones such as acetone and N-methylpyrrolidone; aliphatic hydrocarbons such as glycol ethers such as ethylene glycol monoethyl ether, ethylene glycol monobutyl ether, propylene glycol monomethyl ether (PGME), and propylene glycol monoethyl ether.
  • ethers such as tetrahydrofuran and 1,3-dioxolane
  • alcohols such as methanol, ethanol, and isopropanol
  • ketones such as acetone and N-methylpyrrolidone
  • aliphatic hydrocarbons such as glycol ethers such as ethylene glycol monoethyl ether, ethylene glycol monobut
  • N-methylpyrrolidone, propylene glycol monomethyl ether, and the like are preferable, and N-methylpyrrolidone and propylene glycol monomethyl ether are more preferable.
  • These solvents can be each used alone, and can be used as a mixture of two or more kinds.
  • the temperature when the solution (A) and the acidic aqueous solution are brought into contact is preferably in the range of 20 to 90° C., and more preferably 30 to 80° C.
  • the extraction operation is not particularly limited, and is carried out, for example, by thoroughly mixing the solution (A) and the acidic aqueous solution by stirring or the like and then leaving the obtained mixed solution to stand still.
  • metals contained in the solution (A) containing organic solvents and one or more selected from the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers are transferred to the aqueous phase.
  • the acidity of the solution (A) is lowered, and the degradation of the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers can be suppressed.
  • the mixed solution is separated into an aqueous phase and a solution phase containing the organic solvents and one or more selected from the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers, and thus the solution phase containing the organic solvents and one or more selected from the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers is recovered by decantation or the like.
  • the time for leaving the mixed solution to stand still is not particularly limited, but it is preferable to regulate the time for leaving the mixed solution to stand still from the viewpoint of attaining good separation of the solution phase containing the organic solvents and the aqueous phase.
  • the time for leaving the mixed solution to stand still is 1 minute or longer, preferably 10 minutes or longer, and more preferably 30 minutes or longer. While the extraction treatment may be carried out once, it is effective to repeat mixing, leaving-to-stand-still, and separating operations multiple times.
  • the purification method of the present embodiment includes the step of extracting impurities in the compound or the resin by further bringing the solution phase containing the compound or the resin into contact with water after the first extraction step (the second extraction step).
  • the solution phase that is extracted and recovered from the aqueous solution and that contains the organic solvents and one or more selected from the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers is further subjected to extraction treatment with water.
  • the above extraction treatment with water is not particularly limited, and can be carried out, for example, by thoroughly mixing the solution phase and water by stirring or the like and then leaving the obtained mixed solution to stand still.
  • the mixed solution after being left to stand still is separated into an aqueous phase and a solution phase containing the organic solvents and one or more selected from the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers, and thus the solution phase containing the organic solvents and one or more selected from the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers can be recovered by decantation or the like.
  • Water used herein is preferably water, the metal content of which is small, such as ion exchanged water, according to the purpose of the present embodiment. While the extraction treatment may be carried out once, it is effective to repeat mixing, leaving-to-stand-still, and separating operations multiple times. The proportions of both used in the extraction treatment and temperature, time, and other conditions are not particularly limited, and may be the same as those of the previous contact treatment with the acidic aqueous solution.
  • Water that is possibly present in the thus-obtained solution containing the organic solvents and one or more selected from the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers can be easily removed by performing vacuum distillation or a like operation. Also, if required, the concentration of the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers can be regulated to be any concentration by adding an organic solvent to the solution.
  • the method for isolating the one or more selected from the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers from the obtained solution containing the organic solvents and one or more selected from the compound represented by the above general formula (1), the compound represented by the above general formula (2), and the resin obtained using these as monomers is not particularly limited, and publicly known methods can be carried out, such as reduced-pressure removal, separation by reprecipitation, and a combination thereof. Publicly known treatments such as concentration operation, filtration operation, centrifugation operation, and drying operation can be carried out if required.
  • the resist composition of the present embodiment can form an amorphous film by spin coating.
  • any of a positive type resist pattern and a negative type resist pattern can be selectively prepared.
  • the dissolution rate of the amorphous film formed by spin coating with the resist composition of the present embodiment in a developing solution at 23° C. is preferably 5 angstrom/sec or less, more preferably 0.05 to 5 angstrom/sec, and still more preferably 0.0005 to 5 angstrom/sec.
  • the dissolution rate is 5 angstrom/sec or less, the amorphous film is insoluble in a developing solution and can form a resist.
  • the amorphous film has a dissolution rate of 0.0005 angstrom/sec or more, the resolution may improve.
  • the dissolution rate of the amorphous film formed by spin coating with the resist composition of the present embodiment in a developing solution at 23° C. is preferably 10 angstrom/sec or more.
  • the dissolution rate is 10 angstrom/sec or more, the amorphous film easily dissolves in a developing solution, and is more suitable for a resist.
  • the amorphous film has a dissolution rate of 10 angstrom/sec or more, the resolution may improve. It is presumed that this is because the micro surface portion of the compound represented by the above general formula (1) or (2) dissolves and LER is reduced. Also, there are effects of reducing defects.
  • the dissolution rate can be determined by immersing the amorphous film in a developing solution for a predetermined period of time at 23° C. and then measuring the film thickness before and after immersion by a publicly known method such as visual, ellipsometric, or QCM method.
  • the dissolution rate of the portion exposed by radiation such as KrF excimer laser, extreme ultraviolet, electron beam or X-ray, of the amorphous film formed by spin coating with the resist composition of the present embodiment, in a developing solution at 23° C. is preferably 10 angstrom/sec or more.
  • the dissolution rate is 10 angstrom/sec or more, the above portion is easily soluble in a developing solution, and thus the amorphous film is more suitable for a resist.
  • the amorphous film has a dissolution rate of 10 angstrom/sec or more, the resolution may improve. It is presumed that this is because the micro surface portion of the compound represented by the above general formula (1) or (2) dissolves and LER is reduced. Also, there are effects of reducing defects.
  • the dissolution rate of the portion exposed by radiation such as KrF excimer laser, extreme ultraviolet, electron beam or X-ray, of the amorphous film formed by spin coating with the resist composition of the present embodiment, in a developing solution at 23° C. is preferably 5 angstrom/sec or less, more preferably 0.05 to 5 angstrom/sec, and still more preferably 0.0005 to 5 angstrom/sec.
  • the dissolution rate is 5 angstrom/sec or less, the above portion is insoluble in a developing solution, and thus the amorphous film can form a resist.
  • the amorphous film has a dissolution rate of 0.0005 angstrom/sec or more, the resolution may improve.
  • the resist composition of the present embodiment contains the compound represented by the above general formula (1) or the compound represented by the above general formula (2) as a solid component.
  • the resist composition of the present embodiment may contain both the compound represented by the above general formula (1) and the compound represented by the above general formula (2).
  • the resist composition of the present embodiment further contains a solvent other than the compound represented by the above general formula (1) or (2).
  • Examples of the solvent used in the resist composition of the present embodiment can include, but not particularly limited to, ethylene glycol monoalkyl ether acetates such as ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol mono-n-propyl ether acetate, and ethylene glycol mono-n-butyl ether acetate; ethylene glycol monoalkyl ethers such as ethylene glycol monomethyl ether and ethylene glycol monoethyl ether; propylene glycol monoalkyl ether acetates such as propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate (PGMEA), propylene glycol mono-n-propyl ether acetate, and propylene glycol mono-n-butyl ether acetate; propylene glycol monoalkyl ethers such as propylene glycol monomethyl ether (PGME) and propylene glycol
  • the solvent used in the resist composition of the present embodiment is preferably a safe solvent, more preferably at least one selected from PGMEA, PGME, CHN, CPN, 2-heptanone, anisole, butyl acetate, ethyl propionate, and ethyl lactate, and still more preferably at least one selected from PGMEA, PGME, and CHN.
  • the amount of the solid component and the amount of the solvent are not particularly limited, but preferably the solid component is 1 to 80% by mass and the solvent is 20 to 99% by mass, more preferably the solid component is 1 to 50% by mass and the solvent is 50 to 99% by mass, still more preferably the solid component is 2 to 40% by mass and the solvent is 60 to 98% by mass, and particularly preferably the solid component is 2 to 10% by mass and the solvent is 90 to 98% by mass, based on 100% by mass of the total mass of the solid component and the solvent.
  • the resist composition of the present embodiment may contain at least one selected from the group consisting of an acid generating agent (C), an acid diffusion controlling agent (E), and a further component (F), as other solid components.
  • the content of the compound represented by the above general formula (1) and/or the compound represented by the above general formula (2) is not particularly limited, but is preferably 50 to 99.4% by mass of the total mass of the solid components (summation of the compound represented by the formula (1) and the compound represented by the formula (2), and optionally used solid components such as acid generating agent (C), acid diffusion controlling agent (E), and further component (F), hereinafter the same), more preferably 55 to 90% by mass, still more preferably 60 to 80% by mass, and particularly preferably 60 to 70% by mass.
  • resolution is further improved, and line edge roughness (LER) is further decreased.
  • the content refers to the total amount of the compound represented by the above general formula (1) and the compound represented by the above general formula (2).
  • the resist composition of the present embodiment preferably contains one or more acid generating agents (C) generating an acid directly or indirectly by irradiation of any radiation selected from visible light, ultraviolet, excimer laser, electron beam, extreme ultraviolet (EUV), X-ray, and ion beam.
  • C acid generating agents
  • the content of the acid generating agent (C) is preferably 0.001 to 49% by mass of the total mass of the solid components, more preferably 1 to 40% by mass, still more preferably 3 to 30% by mass, and particularly preferably 10 to 25% by mass.
  • the acid generation method is not particularly limited as long as an acid is generated in the system.
  • excimer laser instead of ultraviolet such as g-ray and i-ray
  • finer processing is possible, and also by using electron beam, extreme ultraviolet, X-ray or ion beam as a high energy ray, further finer processing is possible.
  • the acid generating agent (C) is not particularly limited, and is preferably at least one kind selected from the group consisting of compounds represented by the following formulae (8-1) to (8-8):
  • R 13 may be the same or different, and are each independently a hydrogen atom, a linear, branched or cyclic alkyl group, a linear, branched or cyclic alkoxy group, a hydroxyl group, or a halogen atom,
  • X ⁇ is an alkyl group, an aryl group, a sulfonic acid ion having a halogen substituted alkyl group or a halogen substituted aryl group, or a halide ion.
  • the compound represented by the above formula (8-1) is preferably at least one kind selected from the group consisting of triphenylsulfonium trifluoromethanesulfonate, triphenylsulfonium nonafluoro-n-butanesulfonate, diphenyltolylsulfonium nonafluoro-n-butanesulfonate, triphenylsulfonium perfluoro-n-octanesulfonate, diphenyl-4-methylphenylsulfonium trifluoromethanesulfonate, di-2,4,6-trimethylphenylsulfonium trifluoromethanesulfonate, diphenyl-4-t-butoxyphenylsulfonium trifluoromethanesulfonate, diphenyl-4-t-butoxyphenylsulfonium trifluoromethanesulfonate, diphenyl-4-t-butoxyphenylsul
  • R 14 may be the same or different, and each independently represents a hydrogen atom, a linear, branched or cyclic alkyl group, a linear, branched or cyclic alkoxy group, a hydroxyl group, or a halogen atom.
  • X ⁇ is the same as above.
  • the compound represented by the above formula (8-2) is preferably at least one kind selected from the group consisting of bis(4-t-butylphenyl)iodonium trifluoromethanesulfonate, bis(4-t-butylphenyl)iodonium nonafluoro-n-butanesulfonate, bis(4-t-butylphenyl)iodonium perfluoro-n-octanesulfonate, bis(4-t-butylphenyl)iodonium p-toluenesulfonate, bis(4-t-butylphenyl)iodonium benzenesulfonate, bis(4-t-butylphenyl)iodonium-2-trifluoromethylbenzenesulfonate, bis(4-t-butylphenyl)iodonium-4-trifluoromethylbenzenesulfonate, bis(4-t-butylphen
  • Q is an alkylene group, an arylene group, or an alkoxylene group
  • R 15 is an alkyl group, an aryl group, a halogen substituted alkyl group, or a halogen substituted aryl group.
  • the compound represented by the above formula (8-3) is preferably at least one kind selected from the group consisting of N-(trifluoromethylsulfonyloxy)succinimide, N-(trifluoromethylsulfonyloxy)phthalimide, N-(trifluoromethylsulfonyloxy)diphenylmaleimide, N-(trifluoromethylsulfonyloxy)bicyclo[2,2,1]hept-5-en-2,3-dicarboxyimide, N-(trifluoromethylsulfonyloxy)naphthylimide, N-(10-camphorsulfonyloxy)succinimide, N-(10-camphorsulfonyloxy)phthalimide, N-(10-camphorsulfonyloxy)diphenylmaleimide, camphorsulfonyloxy)diphenylmaleimide, N-(10-camphorsulfonyloxy)bicyclo
  • R 16 may be the same or different, and are each independently an optionally substituted linear, branched or cyclic alkyl group, an optionally substituted aryl group, an optionally substituted heteroaryl group, or an optionally substituted aralkyl group.
  • the compound represented by the above formula (8-4) is preferably at least one kind selected from the group consisting of diphenyldisulfone, di(4-methylphenyl)disulfone, dinaphthyldisulfone, di(4-tert-butylphenyl)disulfone, di(4-hydroxyphenyl)disulfone, di(3-hydroxynaphthyl)disulfone, di(4-fluorophenyl)disulfone, di(2-fluorophenyl)disulfone, and di(4-trifluoromethylphenyl)disulfone.
  • R 17 may be the same or different, and are each independently an optionally substituted linear, branched or cyclic alkyl group, an optionally substituted aryl group, an optionally substituted heteroaryl group, or an optionally substituted aralkyl group.
  • the compound represented by the above formula (8-5) is preferably at least one kind selected from the group consisting of ⁇ -(methylsulfonyloxyimino)-phenylacetonitrile, ⁇ -(methylsulfonyloxyimino)-4-methoxyphenylacetonitrile, ⁇ -(trifluoromethylsulfonyloxyimino)-phenylacetonitrile, ⁇ -(trifluoromethylsulfonyloxyimino)-4-methoxyphenylacetonitrile, ⁇ -(ethylsulfonyloxyimino)-4-methoxyphenylacetonitrile, ⁇ -(propylsulfonyloxyimino)-4-methylphenylacetonitrile, and ⁇ -(methylsulfonyloxyimino)-4-bromophenylacetonitrile.
  • R 18 may be the same or different, and are each independently a halogenated alkyl group having one or more chlorine atoms and one or more bromine atoms.
  • the number of carbons in the halogenated alkyl group is preferably 1 to 5.
  • R 19 and R 20 are each independently a C1-3 alkyl group such as a methyl group, an ethyl group, an n-propyl group, and an isopropyl group; a cycloalkyl group such as a cyclopentyl group and a cyclohexyl group; a C1-3 alkoxyl group such as a methoxy group, an ethoxy group, and a propoxy group; or an aryl group such as a phenyl group, a toluoyl group, and a naphthyl group, and preferably a C6-10 aryl group.
  • a C1-3 alkyl group such as a methyl group, an ethyl group, an n-propyl group, and an isopropyl group
  • a cycloalkyl group such as a cyclopentyl group and a cyclohexyl group
  • a C1-3 alkoxyl group such as a
  • L 19 and L 20 are each independently an organic group having a 1,2-naphthoquinonediazide group.
  • the organic group having a 1,2-naphthoquinonediazide group include a 1,2-quinonediazidesulfonyl group such as a 1,2-naphthoquinonediazide-4-sulfonyl group, a 1,2-naphthoquinonediazide-5-sulfonyl group, and a 1,2-naphthoquinonediazide-6-sulfonyl group.
  • S 1 is an integer of 1 to 3; S 2 is an integer of 0 to 4; and 1 ⁇ S 1 +S 2 ⁇ 5.
  • J 19 is a single bond, a C1-4 polymethylene group, a cycloalkylene group, a phenylene group, a group represented by the following formula (8-7-1), a carbonyl group, an ester group, an amide group, or an ether group.
  • Y 19 is a hydrogen atom, an alkyl group, or an aryl group, and X 20 are each independently a group represented by the following formula (8-8-1):
  • Z 22 are each independently an alkyl group, a cycloalkyl group, or an aryl group; R 22 is an alkyl group, a cycloalkyl group, or an alkoxyl group; and r is an integer of 0 to 3.
  • Examples of the other acid generating agent include bissulfonyldiazomethanes such as bis(p-toluenesulfonyl)diazomethane, bis(2,4-dimethylphenylsulfonyl)diazomethane, bis(tert-butylsulfonyl)diazomethane, bis(n-butylsulfonyl)diazomethane, bis(isobutylsulfonyl)diazomethane, bis(isopropylsulfonyl)diazomethane, bis(n-propylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(isopropylsulfonyl)diazomethane, 1,3-bis(cyclohexylsulfonyl)diazomethane, 1,4-bis(cyclo
  • an acid generating agent having an aromatic ring is preferable, and an acid generating agent represented by the formula (8-1) or (8-2) is more preferable.
  • An acid generating agent having a sulfonate ion wherein X ⁇ of the formula (8-1) or (8-2) has an aryl group or a halogen-substituted aryl group is more preferable; an acid generating agent having a sulfonate ion wherein X ⁇ of the formula (8-1) or (8-2) has an aryl group is particularly preferable; and diphenyltrimethylphenylsulfonium p-toluenesulfonate, triphenylsulfonium p-toluenesulfonate, triphenylsulfonium trifluoromethanesulfonate, and triphenylsulfonium nonafluoromethanesulfonate are particularly preferable.
  • the acid generating agent (C) can be used alone or in combination of two or more kinds.
  • the resist composition of the present embodiment may contain an acid diffusion controlling agent (E) having a function of controlling diffusion of an acid generated from an acid generating agent by radiation irradiation in a resist film to inhibit any unpreferable chemical reaction in an unexposed region or the like.
  • an acid diffusion controlling agent (E) having a function of controlling diffusion of an acid generated from an acid generating agent by radiation irradiation in a resist film to inhibit any unpreferable chemical reaction in an unexposed region or the like.
  • Such an acid diffusion controlling agent (E) is not particularly limited, and examples include a radiation degradable basic compound such as a nitrogen atom-containing basic compound, a basic sulfonium compound, and a basic iodonium compound.
  • the acid diffusion controlling agent (E) can be used alone or in combination of two or more kinds.
  • the above acid diffusion controlling agent is not particularly limited, and examples include a nitrogen-containing organic compound, and a basic compound degradable by exposure.
  • the above nitrogen-containing organic compound is not particularly limited, and examples include a compound represented by the following formula (11):
  • nitrogen-containing compound (I) a diamino compound having two nitrogen atoms within the same molecule
  • nitrogen-containing compound (II) a diamino compound having two nitrogen atoms within the same molecule
  • nitrogen-containing compound (III) a polyamino compound or polymer having three or more nitrogen atoms
  • an amide group-containing compound a urea compound, and a nitrogen-containing heterocyclic compound.
  • the acid diffusion controlling agent (E) may be used alone as one kind or may be used in combination of two or more kinds.
  • R 61 , R 62 , and R 63 represent a hydrogen atom, a linear, branched or cyclic alkyl group, an aryl group, or an aralkyl group independently from each other.
  • the above alkyl group, aryl group, or aralkyl group may be non-substituted or may be substituted with a hydroxyl group or the like.
  • the above linear, branched or cyclic alkyl group is not particularly limited, and examples include the one of C1-15, and preferably C1-10.
  • Specific examples thereof include a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, an isobutyl group, a sec-butyl group, a t-butyl group, an n-pentyl group, a neopentyl group, an n-hexyl group, a texyl group, an n-heptyl group, an n-octyl group, an n-ethylhexyl group, an n-nonyl group, and an n-decyl group.
  • Examples of the above aryl group include the one of C6-12.
  • aralkyl group is not particularly limited, and examples include the one of C7-19, and preferably C7-13.
  • Specific examples thereof include a benzyl group, an ⁇ -methylbenzyl group, a phenethyl group, and a naphthylmethyl group.
  • the above nitrogen-containing compound (I) is not particularly limited, and specific examples include particularly mono(cyclo)alkylamines such as n-hexylamine, n-heptylamine, n-octylamine, n-nonylamine, n-decylamine, n-dodecylamine, and cyclohexylamine; di(cyclo)alkylamines such as di-n-butylamine, di-n-pentylamine, di-n-hexylamine, di-n-heptylamine, di-n-octylamine, di-n-nonylamine, di-n-decylamine, methyl-n-dodecylamine, di-n-dodecylmethyl, cyclohexylmethylamine, and dicyclohexylamine; tri(cyclo)alkylamines such as triethylamine, tri-n-propylamine, tri
  • the above nitrogen-containing compound (II) is not particularly limited, and specific examples include particularly ethylenediamine, N,N,N′,N′-tetramethylethylenediamine, N,N,N′,N′-tetrakis(2-hydroxypropyl)ethylenediamine, tetramethylenediamine, hexamethylenediamine, 4,4′-diaminodiphenylmethane, 4,4′-diaminodiphenyl ether, 4,4′-diaminobenzophenone, 4,4′-diaminodiphenylamine, 2,2-bis(4-aminophenyl)propane, 2-(3-aminophenyl)-2-(4-aminophenyl)propane, 2-(4-aminophenyl)-2-(3-hydroxyphenyl)propane, 2-(4-aminophenyl)-2-(4-hydroxyphenyl)propane, 1,4-bis[1-(
  • the above nitrogen-containing compound (III) is not particularly limited, and specific examples include particularly polymers of polyethyleneimine, polyarylamine, and N-(2-dimethylaminoethyl)acrylamide.
  • the above amide group-containing compound is not particularly limited, and specific examples include particularly formamide, N-methylformamide, N,N-dimethylformamide, acetamide, N-methylacetamide, N,N-dimethylacetamide, propioneamide, benzamide, pyrrolidone, and N-methylpyrrolidone.
  • the above urea compound is not particularly limited, and specific examples include particularly urea, methylurea, 1,1-dimethylurea, 1,3-dimethylurea, 1,1,3,3-tetramethylurea, 1,3-diphenylurea, and tri-n-butylthiourea.
  • the above nitrogen-containing heterocyclic compound is not particularly limited, and specific examples include particularly imidazoles such as imidazole, benzimidazole, 4-methylimidazole, 4-methyl-2-phenylimidazole, and 2-phenylbenzimidazole; pyridines such as pyridine, 2-methylpyridine, 4-methylpyridine, 2-ethylpyridine, 4-ethylpyridine, 2-phenylpyridine, 4-phenylpyridine, 2-methyl-4-phenylpyridine, nicotine, nicotinic acid, amide nicotinate, quinoline, 8-oxyquinoline, and acridine; and pyrazine, pyrazole, pyridazine, quinozaline, purine, pyrrolidine, piperidine, morpholine, 4-methylmorpholine, piperazine, 1,4-dimethylpiperazine, and 1,4-diazabicyclo[2,2,2]octane.
  • imidazoles such as imi
  • the radiation degradable basic compound is not particularly limited, and examples can include a sulfonium compound represented by the general formula (12-1):
  • R 71 , R 72 , R 73 , R 74 , and R 75 represent a hydrogen atom, a C1-6 alkyl group, a C1-6 alkoxyl group, a hydroxyl group, or a halogen atom independently from each other.
  • Z ⁇ represents HO ⁇ , R—COO ⁇ (R represents a C1-6 alkyl group, a C6-11 aryl group, or a C7-12 alkaryl group), or an anion represented by the following general formula (12-3):
  • Specific examples of the above radiation degradable basic compound are not particularly limited, and examples include triphenylsulfonium hydroxide, triphenylsulfonium acetate, triphenylsulfonium salicylate, diphenyl-4-hydroxyphenylsulfonium hydroxide, diphenyl-4-hydroxyphenylsulfonium acetate, diphenyl-4-hydroxyphenylsulfonium salicylate, bis(4-t-butylphenyl)iodonium hydroxide, bis(4-t-butylphenyl)iodonium acetate, bis(4-t-butylphenyl)iodonium salicylate, 4-t-butylphenyl-4-hydroxyphenyliodonium hydroxide, 4-t-butylphenyl-4-hydroxyphenyliodonium acetate, and 4-t-butylphenyl-4-hydroxyphenyliodonium salicylate.
  • the content of the acid diffusion controlling agent (E) is preferably 0.001 to 49% by mass of the total mass of the solid component, more preferably 0.01 to 10% by mass, still more preferably 0.01 to 5% by mass, and particularly preferably 0.01 to 3% by mass.
  • the content of the acid diffusion controlling agent (E) is within the above range, a decrease in resolution, and deterioration of the pattern shape and the dimension fidelity or the like can be further inhibited.
  • the post exposure delay time from electron beam irradiation to heating after radiation irradiation becomes longer, the shape of the pattern upper layer portion does not deteriorate.
  • the content of the acid diffusion controlling agent (E) is 10% by mass or less, a decrease in sensitivity, and developability of the unexposed portion or the like can be prevented.
  • the storage stability of a resist composition improves, also along with improvement of the resolution, the line width change of a resist pattern due to variation in the post exposure delay time before radiation irradiation and the post exposure delay time after radiation irradiation can be inhibited, and the composition is extremely excellent process stability.
  • one kind or two kinds or more of various additive agents such as a dissolution promoting agent, a dissolution controlling agent, a sensitizing agent, a surfactant and an organic carboxylic acid or an oxo acid of phosphor, or derivative thereof can be added.
  • a low molecular weight dissolution promoting agent is a component having a function of increasing the solubility of the compound represented by the above formula (1) and/or the compound represented by the above formula (2) in a developing solution to moderately increase the dissolution rate of the compound upon developing, when the solubility of the compound is too low, and can be used within the range not impairing the effects of the present invention.
  • the above dissolution promoting agent can include low molecular weight phenolic compounds, such as bisphenols and tris(hydroxyphenyl)methane. These dissolution promoting agents can be used alone or in mixture of two or more kinds.
  • the content of the dissolution promoting agent which is arbitrarily adjusted according to the kind of the compound represented by the above formula (1) and/or the compound represented by the above formula (2) to be used, is preferably 0 to 49% by mass of the total mass of the solid components, more preferably 0 to 5% by mass, still more preferably 0 to 1% by mass, and particularly preferably 0% by mass.
  • the dissolution controlling agent is a component having a function of controlling the solubility of the compound represented by the above formula (1) and/or the compound represented by the above formula (2) in a developing solution to moderately decrease the dissolution rate upon developing, when the solubility of the compound is too high.
  • a dissolution controlling agent the one which does not chemically change in steps such as calcination of resist coating, radiation irradiation, and development is preferable.
  • the dissolution controlling agent is not particularly limited, and examples include aromatic hydrocarbons such as phenanthrene, anthracene, and acenaphthene; ketones such as acetophenone, benzophenone, and phenyl naphtyl ketone; and sulfones such as methyl phenyl sulfone, diphenyl sulfone, and dinaphthyl sulfone. These dissolution controlling agents can be used alone or in two or more kinds.
  • the content of the dissolution controlling agent is not particularly limited and is arbitrarily adjusted according to the kind of the compound represented by the above formula (1) and/or the compound represented by the above formula (2) to be used, but is preferably 0 to 49% by mass of the total mass of the solid components, more preferably 0 to 5% by mass, still more preferably 0 to 1% by mass, and particularly preferably 0% by mass.
  • the sensitizing agent is a component having a function of absorbing irradiated radiation energy, transmitting the energy to the acid generating agent (C), and thereby increasing the acid production amount, and improving the apparent sensitivity of a resist.
  • a sensitizing agent is not particularly limited, and examples include benzophenones, biacetyls, pyrenes, phenothiazines, and fluorenes. These sensitizing agents can be used alone or in two or more kinds.
  • the content of the sensitizing agent which is arbitrarily adjusted according to the kind of the compound represented by the above formula (1) and/or the compound represented by the above formula (2) to be used, is preferably 0 to 49% by mass of the total mass of the solid components, more preferably 0 to 5% by mass, still more preferably 0 to 1% by mass, and particularly preferably 0% by mass.
  • the surfactant is a component having a function of improving coatability and striation of the resist composition of the present embodiment, and developability of a resist or the like.
  • a surfactant is not particularly limited, and may be any of anionic, cationic, nonionic or amphoteric.
  • a preferable surfactant is a nonionic surfactant.
  • the nonionic surfactant has a good affinity with a solvent used in production of resist compositions and more effects. Examples of the nonionic surfactant include, but not particularly limited to, a polyoxyethylene higher alkyl ethers, polyoxyethylene higher alkyl phenyl ethers, and higher fatty acid diesters of polyethylene glycol.
  • Examples of commercially available products include, hereinafter by trade name, EFTOP (manufactured by Jemco Inc.), MEGAFAC (manufactured by DIC Corporation), Fluorad (manufactured by Sumitomo 3M Limited), AsahiGuard, Surflon (hereinbefore, manufactured by Asahi Glass Co., Ltd.), Pepole (manufactured by Toho Chemical Industry Co., Ltd.), KP (manufactured by Shin-Etsu Chemical Co., Ltd.), and Polyflow (manufactured by Kyoeisha Chemical Co., Ltd.).
  • EFTOP manufactured by Jemco Inc.
  • MEGAFAC manufactured by DIC Corporation
  • Fluorad manufactured by Sumitomo 3M Limited
  • AsahiGuard manufactured by Asahi Glass Co., Ltd.
  • Pepole manufactured by Toho Chemical Industry Co., Ltd.
  • KP manufactured by Shin-Etsu Chemical Co., Ltd.
  • Polyflow manufactured
  • the content of the surfactant is not particularly limited and is arbitrarily adjusted according to the kind of the compound represented by the above formula (1) and/or the compound represented by the above formula (2) to be used, but is preferably 0 to 49% by mass of the total mass of the solid components, more preferably 0 to 5% by mass, still more preferably 0 to 1% by mass, and particularly preferably 0% by mass.
  • the resist composition of the present embodiment may contain an organic carboxylic acid or an oxo acid of phosphor or derivative thereof.
  • the composition can be used in combination with the acid diffusion controlling agent, or may be used alone.
  • the organic carboxylic acid is not particularly limited, and, for example, is suitably malonic acid, citric acid, malic acid, succinic acid, benzoic acid, salicylic acid, or the like.
  • Examples of the oxo acid of phosphor or derivative thereof include phosphoric acid or derivative thereof such as ester including phosphoric acid, di-n-butyl ester phosphate, and diphenyl ester phosphate; phosphonic acid or derivative thereof such as ester including phosphonic acid, dimethyl ester phosphonate, di-n-butyl ester phosphonate, phenylphosphonic acid, diphenyl ester phosphonate, and dibenzyl ester phosphonate; and phosphinic acid and derivative thereof such as ester including phosphinic acid and phenylphosphinic acid.
  • phosphonic acid is particularly preferable.
  • the organic carboxylic acid or the oxo acid of phosphor or derivative thereof can be used alone or in combination of two or more kinds.
  • the content of the organic carboxylic acid or the oxo acid of phosphor or derivative thereof which is arbitrarily adjusted according to the kind of the compound represented by the above formula (1) and/or the compound represented by the above formula (2) to be used, is preferably 0 to 49% by mass of the total mass of the solid components, more preferably 0 to 5% by mass, still more preferably 0 to 1% by mass, and particularly preferably 0% by mass.
  • the resist composition of the present embodiment can contain one kind or two kinds or more of additive agents other than the above dissolution controlling agent, sensitizing agent, and surfactant, within the range of not inhibiting the purpose of the present invention, if required.
  • additive agents include, but not particularly limited to, a dye, a pigment, and an adhesion aid.
  • the composition contains the dye or the pigment, and thereby a latent image of the exposed portion is visualized and influence of halation upon exposure can be alleviated, which is preferable.
  • the composition contains the adhesion aid, and thereby adhesiveness to a substrate can be improved, which is preferable.
  • examples of other additive agent can include, but not particularly limited to, a halation preventing agent, a storage stabilizing agent, a defoaming agent, and a shape improving agent. Specific examples thereof can include 4-hydroxy-4′-methylchalkone.
  • the total content of the optional component (F) is preferably 0 to 49% by mass of the total mass of the solid component, more preferably 0 to 5% by mass, still more preferably 0 to 1% by mass, and particularly preferably 0% by mass.
  • the content of the compound represented by the above formula (1) and/or the compound represented by the above formula (2), the acid generating agent (C), the acid diffusion controlling agent (E), and the optional component (F) is preferably 50 to 99.4/0.001 to 49/0.001 to 49/0 to 49 in % by mass based on the solid content, more preferably 55 to 90/1 to 40/0.01 to 10/0 to 5, still more preferably 60 to 80/3 to 30/0.01 to 5/0 to 1, and particularly preferably 60 to 70/10 to 25/0.01 to 3/0.
  • the content ratio of each component is selected from each range so that the summation thereof is 100% by mass.
  • the method for purifying the resist composition of the present embodiment is not particularly limited, and, examples include a method involving dissolving each component in a solvent upon use into a homogenous solution, and then if required, filtering through a filter or the like with a pore diameter of about 0.2 ⁇ m, for example.
  • Examples of the solvent used in the preparation of the resist composition of the present embodiment can include, but not particularly limited to, ethylene glycol monoalkyl ether acetates such as ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol mono-n-propyl ether acetate, and ethylene glycol mono-n-butyl ether acetate; ethylene glycol monoalkyl ethers such as ethylene glycol monomethyl ether and ethylene glycol monoethyl ether; propylene glycol monoalkyl ether acetates such as propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, propylene glycol mono-n-propyl ether acetate, and propylene glycol mono-n-butyl ether acetate; propylene glycol monoalkyl ethers such as propylene glycol monomethyl ether and propylene glycol monoethyl
  • the resist composition of the present embodiment can contain a resin within the range of not inhibiting the purpose of the present invention.
  • the resin include, but not particularly limited to, a novolac resin, polyvinyl phenols, polyacrylic acid, polyvinyl alcohol, a styrene-maleic anhydride resin, an acrylic acid, vinyl alcohol or vinylphenol as a monomeric unit, or derivative thereof.
  • the content of the resin is not particularly limited and is arbitrarily adjusted according to the kind of the compound represented by the above formula (1) and/or the compound represented by the above formula (2) to be used, but is preferably 30 parts by mass or less per 100 parts by mass of the compound, more preferably 10 parts by mass or less, still more preferably 5 parts by mass or less, and particularly preferably 0 part by mass.
  • a resist pattern formation method is not particularly limited, and a suitable method may be a method including steps of forming a resist film by coating a substrate with the above resist composition, exposing the formed resist film, and developing the exposed resist film to form a resist pattern.
  • the resist pattern of the present embodiment can also be formed as an upper layer resist in a multilayer process.
  • a resist film is formed by coating a conventionally publically known substrate with the resist composition using a coating means such as spin coating, flow casting coating, and roll coating.
  • the conventionally publically known substrate is not particularly limited.
  • a substrate for electronic components, and the one having a predetermined wiring pattern formed thereon, or the like can be exemplified. More specific examples are not particularly limited, and examples include a substrate made of a metal such as a silicon wafer, copper, chromium, iron and aluminum, and a glass substrate.
  • Examples of a wiring pattern material include, but not particularly limited to, copper, aluminum, nickel, and gold.
  • the substrate may be a substrate having an inorganic film and/or organic film provided thereon.
  • the inorganic film include, but not particularly limited to, an inorganic antireflection film (inorganic BARC).
  • examples of the organic film include, but not particularly limited to, an organic antireflection film (organic BARC). Surface treatment with hexamethylene disilazane or the like may be conducted.
  • the coated substrate is heated if required.
  • the heating conditions vary according to the compounding composition of the resist composition, or the like, but are preferably 20 to 250° C., and more preferably 20 to 150° C. By heating, the adhesiveness of a resist to a substrate may improve, which is preferable.
  • the resist film is exposed to a desired pattern by any radiation selected from the group consisting of visible light, ultraviolet, excimer laser, electron beam, extreme ultraviolet (EUV), X-ray, and ion beam.
  • the exposure conditions or the like are arbitrarily selected according to the compounding composition of the resist composition, or the like.
  • the resist film in order to stably form a fine pattern with a high degree of accuracy in exposure, is preferably heated after radiation irradiation.
  • the heating conditions vary according to the compounding composition of the resist composition, or the like, but are preferably 20 to 250° C., and more preferably 20 to 150° C.
  • a solvent having a solubility parameter (SP value) close to that of the compound represented by the above formula (1) and/or the compound represented by the above formula (2) to be used is preferably selected.
  • a polar solvent such as a ketone-based solvent, an ester-based solvent, an alcohol-based solvent, an amide-based solvent, or an ether-based solvent; and a hydrocarbon-based solvent, or an alkaline aqueous solution can be used.
  • a positive type resist pattern or a negative type resist pattern can be selectively prepared.
  • a polar solvent such as a ketone-based solvent, an ester-based solvent, an alcohol-based solvent, an amide-based solvent, or an ether-based solvent, as well as a hydrocarbon-based solvent
  • a negative type resist pattern is obtained.
  • an alkaline aqueous solution a positive type resist pattern is obtained.
  • the ketone-based solvent is not particularly limited, and examples include 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, acetone, 4-heptanone, 1-hexanone, 2-hexanone, diisobutyl ketone, cyclohexanone, methylcyclohexanone, phenylacetone, methyl ethyl ketone, methyl isobutyl ketone, acetylacetone, acetonylacetone, ionone, diacetonyl alcohol, acetyl carbinol, acetophenone, methyl naphthyl ketone, isophorone, and propylene carbonate.
  • the ester-based solvent is not particularly limited, and examples include methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, ethyl-3-ethoxypropionate, 3-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, methyl formate, ethyl formate, butyl formate, propyl formate, ethyl lactate, butyl lactate, and propyl lactate.
  • the alcohol-based solvent is not particularly limited, and examples include an alcohol such as methyl alcohol, ethyl alcohol, n-propyl alcohol, isopropyl alcohol (2-propanol), n-butyl alcohol, sec-butyl alcohol, tert-butyl alcohol, isobutyl alcohol, n-hexyl alcohol, 4-methyl-2-pentanol, n-heptyl alcohol, n-octyl alcohol, and n-decanol; a glycol-based solvent such as ethylene glycol, diethylene glycol, and triethylene glycol; and a glycol ether-based solvent such as ethylene glycol monomethyl ether, propylene glycol monomethyl ether, ethylene glycol monoethyl ether, propylene glycol monoethyl ether, diethylene glycol monomethyl ether, triethylene glycol monoethyl ether, and methoxymethyl butanol.
  • an alcohol such as methyl alcohol, ethyl
  • the ether-based solvent is not particularly limited, and examples include dioxane and tetrahydrofuran in addition to the glycol ether-based solvents.
  • the amide-based solvent is not particularly limited, and examples can be used include N-methyl-2-pyrrolidone, N,N-dimethylacetamide, N,N-dimethylformamide, phosphoric hexamethyltriamide, and 1,3-dimethyl-2-imidazolidinone.
  • the hydrocarbon-based solvent is not particularly limited, and examples include an aromatic hydrocarbon-based solvent such as toluene and xylene; and an aliphatic hydrocarbon-based solvent such as pentane, hexane, octane, and decane.
  • a plurality of above solvents may be mixed, or the solvent may be used by mixing the solvent with a solvent other than those described above or water within the range having performance.
  • the water content ratio as the whole developing solution is preferably less than 70% by mass and even less than 50% by mass, more preferably less than 30% by mass, and further preferably less than 10% by mass.
  • the developing solution is substantially moisture free.
  • the content of the organic solvent in the developing solution is not particularly limited, and is preferably 30% by mass or more and 100% by mass or less based on the total amount of the developing solution, preferably even 50% by mass or more and 100% by mass or less, more preferably 70% by mass or more and 100% by mass or less, further more preferably 90% by mass or more and 100% by mass or less, and particularly preferably 95% by mass or more and 100% by mass or less.
  • the alkaline aqueous solution is not particularly limited, and examples include an alkaline compound such as mono-, di- or tri-alkylamines, mono-, di- or tri-alkanolamines, heterocyclic amines, tetramethyl ammonium hydroxide (TMAH), and choline.
  • an alkaline compound such as mono-, di- or tri-alkylamines, mono-, di- or tri-alkanolamines, heterocyclic amines, tetramethyl ammonium hydroxide (TMAH), and choline.
  • the developing solution containing at least one kind of solvent selected from a ketone-based solvent, an ester-based solvent, an alcohol-based solvent, an amide-based solvent, and an ether-based solvent improves resist performance such as resolution and roughness of the resist pattern, which is preferable.
  • the vapor pressure of the developing solution is not particularly limited, and examples are preferably 5 kPa or less at 20° C., more preferably 3 kPa or less, and particularly preferably 2 kPa or less.
  • the evaporation of the developing solution on the substrate or in a developing cup is inhibited by setting the vapor pressure of the developing solution to 5 kPa or less, to improve temperature uniformity within a wafer surface, thereby resulting in improvement in size uniformity within the wafer surface.
  • a vapor pressure of 5 kPa or less examples having a vapor pressure of 5 kPa or less include a ketone-based solvent such as 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, 4-heptanone, 2-hexanone, diisobutyl ketone, cyclohexanone, methylcyclohexanone, phenylacetone, and methyl isobutyl ketone; an ester-based solvent such as butyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, ethyl-3-ethoxy propionate, 3-methoxy butyl acetate, 3-methyl-3-methoxy butyl acetate, butyl formate, propyl formate, e
  • a vapor pressure of 2 kPa or less which is a particularly preferable range include a ketone-based solvent such as 1-octanone, 2-octanone, 1-nonanone, 2-nonanone, 4-heptanone, 2-hexanone, diisobutyl ketone, cyclohexanone, methylcyclohexanone, and phenylacetone; an ester-based solvent such as butyl acetate, amyl acetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monobutyl ether acetate, diethylene glycol monoethyl ether acetate, ethyl-3-ethoxy propionate, 3-methoxy butyl acetate, 3-methyl-3-methoxy butyl acetate, ethyl lactate, butyl lactate, and propyl lactate; an alcohol-based solvent such as n
  • a surfactant can be added in an appropriate amount, if required.
  • the surfactant is not particularly limited but, for example, an ionic or nonionic fluorine-based and/or silicon-based surfactant can be used.
  • fluorine-based and/or silicon-based surfactant include the surfactants described in Japanese Patent Application Laid-Open Nos. 62-36663, 61-226746, 61-226745, 62-170950, 63-34540, 7-230165, 8-62834, 9-54432, and 9-5988, and U.S. Pat. Nos.
  • the surfactant is preferably a nonionic surfactant.
  • the nonionic surfactant is not particularly limited, but a fluorine-based surfactant or a silicon-based surfactant is further preferably used.
  • the amount of the surfactant used is usually 0.001 to 5% by mass based on the total amount of the developing solution, preferably 0.005 to 2% by mass, and further preferably 0.01 to 0.5% by mass.
  • the development method is, for example, a method for dipping a substrate in a bath filled with a developing solution for a fixed time (dipping method), a method for raising a developing solution on a substrate surface by the effect of a surface tension and keeping it still for a fixed time, thereby conducting the development (puddle method), a method for spraying a developing solution on a substrate surface (spraying method), and a method for continuously ejecting a developing solution on a substrate rotating at a constant speed while scanning a developing solution ejecting nozzle at a constant rate (dynamic dispense method), or the like may be applied.
  • the time for conducting the pattern development is not particularly limited, but is preferably 10 seconds to 90 seconds.
  • a step of stopping the development by the replacement with another solvent may be practiced.
  • a step of rinsing the resist film with a rinsing solution containing an organic solvent is preferably provided after the development.
  • the rinsing solution used in the rinsing step after development is not particularly limited as long as the rinsing solution does not dissolve the resist pattern cured by crosslinking.
  • a solution containing a general organic solvent or water may be used as the rinsing solution.
  • a rinsing solution containing at least one kind of organic solvent selected from a hydrocarbon-based solvent, a ketone-based solvent, an ester-based solvent, an alcohol-based solvent, an amide-based solvent, and an ether-based solvent is preferably used.
  • a step of rinsing the film by using a rinsing solution containing at least one kind of organic solvent selected from the group consisting of a ketone-based solvent, an ester-based solvent, an alcohol-based solvent and an amide-based solvent is conducted. Still more preferably, after development, a step of rinsing the film by using a rinsing solution containing an alcohol-based solvent or an ester-based solvent is conducted. Further more preferably, after development, a step of rinsing the film by using a rinsing solution containing a monohydric alcohol is conducted.
  • a step of rinsing the film by using a rinsing solution containing a monohydric alcohol having 5 or more carbon atoms is conducted.
  • the time for rinsing the pattern is not particularly limited, but is preferably 10 seconds to 90 seconds.
  • examples of the monohydric alcohol used in the rinsing step after development are not particularly limited, and specific examples include a linear, branched or cyclic monohydric alcohol.
  • specific examples include 1-butanol, 2-butanol, 3-methyl-1-butanol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 1-hexanol, 4-methyl-2-pentanol, 1-heptanol, 1-octanol, 2-hexanol, cyclopentanol, 2-heptanol, 2-octanol, 3-hexanol, 3-heptanol, 3-octanol, and 4-octanol or the like can be used.
  • Particularly preferable examples of monohydric alcohol having 5 or more carbon atoms include, but not limited to, 1-hexanol, 2-hexanol, 4-methyl-2-pentanol, 1-pentanol, and 3-methyl-1-butanol or the like can be used.
  • a plurality of these components may be mixed, or the component may be used by mixing the component with an organic solvent other than those described above.
  • the water content ratio in the rinsing solution is not particularly limited, and is preferably 10% by mass or less, more preferably 5% by mass or less, and particularly preferably 3% by mass or less. By setting the water content ratio to 10% by mass or less, better development characteristics can be obtained.
  • the vapor pressure at 20° C. of the rinsing solution used after development is preferably 0.05 kPa or more and 5 kPa or less, more preferably 0.1 kPa or more and 5 kPa or less, and much more preferably 0.12 kPa or more and 3 kPa or less.
  • the rinsing solution may also be used after adding an appropriate amount of a surfactant to the rinsing solution.
  • the wafer after development is rinsed using the organic solvent-containing rinsing solution.
  • the method for rinsing treatment is not particularly limited. However, for example, a method for continuously ejecting a rinsing solution on a substrate spinning at a constant speed (spin coating method), a method for dipping a substrate in a bath filled with a rinsing solution for a fixed time (dipping method), and a method for spraying a rinsing solution on a substrate surface (spraying method), or the like can be applied.
  • a pattern wiring substrate is obtained by etching.
  • Etching can be conducted by a publicly known method such as dry etching using plasma gas, and wet etching with an alkaline solution, a cupric chloride solution, and a ferric chloride solution or the like.
  • plating can also be conducted.
  • Examples of the above plating method include, but not particularly limited to, copper plating, solder plating, nickel plating, and gold plating.
  • the remaining resist pattern after etching can be peeled by an organic solvent.
  • organic solvent examples include PGMEA (propylene glycol monomethyl ether acetate), PGME (propylene glycol monomethyl ether), and EL (ethyl lactate).
  • Examples of the above peeling method are not particularly limited, and examples include a dipping method and a spraying method.
  • a wiring substrate having a resist pattern formed thereon may be a multilayer wiring substrate, and may have a small diameter through hole.
  • the wiring substrate can also be formed by a method for forming a resist pattern, then depositing a metal in vacuum, and subsequently dissolving the resist pattern in a solution, i.e., a liftoff method.
  • the molecular weight of the compound was measured using Agilent 5975/6890N manufactured by Agilent Corporation. Alternatively, the molecular weight was measured using Acquity UPLC/MALDI-Synapt HDMS manufactured by Water Corporation according to LC-MS analysis. Alternatively, the weight average molecular weight (Mw) and the number average molecular weight (Mn) in terms of polystyrene were determined by gel permeation chromatography (GPC) analysis to determine dispersibility (Mw/Mn).
  • GPC gel permeation chromatography
  • the metal content of the compound was measured by ICP-MS analysis using ELAN DRC II manufactured by PerkinElmer.
  • the solubilities of the compound in CHN, PGME, and PGMEA were evaluated according to the following criteria utilizing the amount of dissolution in each solvent.
  • the amount of dissolution was measured at 23° C. by precisely weighing the compound into a test tube, adding a subject solvent so as to attain a predetermined concentration, applying ultrasonic waves for 30 minutes in an ultrasonic cleaner, and visually observing the subsequent state of the fluid.
  • the storage stability of a resist composition containing the compound was evaluated by leaving the resist composition to stand still for three days at 23° C. after preparation and then visually observing the resist composition for the presence and absence of precipitates.
  • the resist composition after being left to stand still for 3 days was evaluated as ⁇ when it was a homogeneous solution and there were no precipitates, and x when there were precipitates.
  • a clean silicon wafer was spin coated with the resist composition in a homogenous state, and then prebaked (PB) before exposure in an oven of 110° C. to form a resist film with a thickness of 40 nm.
  • the prepared resist composition was evaluated as ⁇ when the thin film formability was good, and x when the formed film had defects.
  • a clean silicon wafer was spin coated with the homogenous resist composition, and then prebaked (PB) before exposure in an oven of 110° C. to form a resist film with a thickness of 60 nm.
  • the obtained resist film was irradiated with electron beams of 1:1 line and space setting with a 50 nm interval, a 40 nm interval, and a 30 nm interval using an electron beam lithography system (ELS-7500 manufactured by ELIONIX INC.). After irradiation, the resist film was heated at each predetermined temperature for 90 seconds, and immersed in 2.38% by mass TMAH alkaline developing solution for 60 seconds for development.
  • the resist film was washed with ultrapure water for 30 seconds, and dried to form a positive type resist pattern.
  • Concerning the formed resist pattern, the line and space were observed by a scanning electron microscope (S-4800 manufactured by Hitachi High-Technologies Corporation) to evaluate the reactivity by electron beam irradiation of the resist composition.
  • the obtained solution was separated by column chromatography and then washed with chloroform to obtain 2.0 g of the objective compound (A-3) represented by the following formula (A-3).
  • the molecular weight of the obtained compound (A-3) by the above method it was 562.
  • the collected contents were diluted with benzene and neutralized to obtain an oil layer.
  • the obtained oil layer was analyzed by gas chromatography to determine the outcome of the reaction.
  • the 4-cyclohexylbenzene conversion was 100%, and the 4-cyclohexylbenzaldehyde selectivity was 97.3%.
  • the objective component was isolated from the obtained oil layer by simple distillation and analyzed by GC-MS, thus showing that the molecular weight of 4-cyclohexylbenzaldehyde (CHBAL) of the following formula (CHBAL) was 188.
  • the obtained compound (CHBAL) was subjected to NMR measurement under the above measurement conditions and thus confirmed as having the chemical structure of the following formula (CHBAL).
  • resorcinol 22 g, 0.2 mol manufactured by Kanto Chemical Co., Inc.
  • the compound obtained above (CHBAL) (46.0 g, 0.2 mol)
  • dehydrated ethanol 200 mL
  • This solution was heated to 85° C. by a mantle heater while stirring.
  • resin compositions were prepared according to the formulations shown in Table 1.
  • the acid generating agent (C), the acid diffusion controlling agent (E), and the solvent used were as follows.
  • the resist compositions obtained in Examples 1 to 8 and Comparative Example 1 were confirmed as having good thin film formability (evaluation: ⁇ ).
  • the resist composition obtained in Comparative Example 2 resulted in film defects and was confirmed as having poor thin film formability (evaluation: x).
  • Pattern evaluation was carried out according to the above method using the resist compositions obtained in Examples 1 and 2.
  • Good resist patterns were obtained by irradiation with electron beams of 1:1 line and space setting with a 50 nm interval.
  • a PGMEA solution of A-1-BOC was obtained in the same manner as in Example 9 except that 135 g of PGMEA (120 g)/PGME (15 g) (10% by mass) was fed in place of 150 g of PGMEA (2.5% by mass).
  • a PGMEA solution of A-1-BOC was obtained in the same manner as in Example 10 except that 130 g of an aqueous citric acid solution (pH 1.8) was fed in place of 37.5 g of an aqueous oxalic acid solution (pH 1.3).
  • the resist composition of the present invention contains a compound that has a specific structure and high solubility in a safe solvent, has good storage stability, is capable of forming a thin film, and can impart a good shape to a resist pattern. Accordingly, the present invention is useful in the semiconductor field, the display field, photomasks, thin film magnetic heads, compound semiconductors, research and development, and the like where resist compositions such as acid-amplified non-polymeric resist materials are used.
  • the present invention it is possible to provide a compound (such as a polyphenol derivative) that has high solubility in a safe solvent and good storage stability and is capable of forming a thin film.
  • the present invention is suitably used for a base material of photosensitive materials such as photoresists for semiconductors, a raw material or a curing agent of an epoxy resin used for, for example, encapsulating materials of integrated circuits, a color developer or a discoloration inhibitor used for heat-sensitive recording materials, and, in addition, an additive for germicides and antimicrobial/antifungal agents, etc.
  • the present invention can produce in an industrially advantageous manner a compound represented by the above general formula (1), a compound represented by the above general formula (2), or a resin obtained using these as monomers, which have a reduced metal content.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Materials For Photolithography (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Pyrane Compounds (AREA)
US15/560,059 2015-03-31 2016-03-02 Compound, resist composition, and method for forming resist pattern using it Active US11256170B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP2015-073497 2015-03-31
JP2015-073497 2015-03-31
JP2015073497 2015-03-31
PCT/JP2016/056332 WO2016158168A1 (ja) 2015-03-31 2016-03-02 化合物、レジスト組成物及びそれを用いるレジストパターン形成方法

Publications (2)

Publication Number Publication Date
US20180074406A1 US20180074406A1 (en) 2018-03-15
US11256170B2 true US11256170B2 (en) 2022-02-22

Family

ID=57005666

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/560,059 Active US11256170B2 (en) 2015-03-31 2016-03-02 Compound, resist composition, and method for forming resist pattern using it

Country Status (8)

Country Link
US (1) US11256170B2 (ja)
EP (1) EP3279727B1 (ja)
JP (1) JP6845991B2 (ja)
KR (1) KR102548109B1 (ja)
CN (1) CN107533291B (ja)
SG (1) SG11201706306SA (ja)
TW (2) TWI761818B (ja)
WO (1) WO2016158168A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210206901A1 (en) * 2018-08-24 2021-07-08 Mitsubishi Gas Chemical Company, Inc. Compound, composition containing the same, method for forming resist pattern and method for forming insulating film
US20210331994A1 (en) * 2018-08-24 2021-10-28 Mitsubishi Gas Chemical Company, Inc. Compound, composition containing the same, method for forming resist pattern and method for forming insulating film

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10745372B2 (en) 2014-12-25 2020-08-18 Mitsubishi Gas Chemical Company, Inc. Compound, resin, material for forming underlayer film for lithography, underlayer film for lithography, pattern forming method, and purification method
KR102562846B1 (ko) 2015-03-31 2023-08-02 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 레지스트 조성물, 레지스트패턴 형성방법, 및 이것에 이용하는 폴리페놀 화합물
CN107533288B (zh) * 2015-05-28 2021-10-19 英特尔公司 用于解耦合光致抗蚀剂的扩散和溶解性切换机制的手段
WO2017038643A1 (ja) 2015-08-31 2017-03-09 三菱瓦斯化学株式会社 リソグラフィー用下層膜形成材料、リソグラフィー用下層膜形成用組成物、リソグラフィー用下層膜及びその製造方法、並びにレジストパターン形成方法
JP6919838B2 (ja) * 2015-08-31 2021-08-18 三菱瓦斯化学株式会社 リソグラフィー用下層膜形成材料、リソグラフィー用下層膜形成用組成物、リソグラフィー用下層膜及びその製造方法、パターン形成方法、樹脂、並びに精製方法
CN108137478B (zh) 2015-09-10 2021-09-28 三菱瓦斯化学株式会社 化合物、其组合物、纯化方法以及抗蚀图案形成方法、非晶膜的制造方法
KR20190124716A (ko) * 2017-02-28 2019-11-05 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 화합물 또는 수지의 정제방법, 및 조성물의 제조방법
WO2018180049A1 (ja) * 2017-03-30 2018-10-04 Jsr株式会社 感放射線性組成物及びレジストパターン形成方法
EP3747954A4 (en) * 2018-01-31 2021-02-17 Mitsubishi Gas Chemical Company, Inc. COMPOSITION, METHOD FOR PRODUCING A RESIST PATTERN, AND METHOD FOR PRODUCING AN INSULATING FILM
CN112424283A (zh) 2018-07-31 2021-02-26 三菱瓦斯化学株式会社 光学部件形成用组合物及光学部件、以及化合物及树脂
JP7420002B2 (ja) * 2020-01-08 2024-01-23 信越化学工業株式会社 ポジ型レジスト材料及びパターン形成方法

Citations (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2100798A (en) 1933-05-02 1937-11-30 Ig Farbenindustrie Ag Condensation products of carbenium compounds and process of preparing the same
US2546872A (en) 1947-10-10 1951-03-27 Ciba Ltd Hydroxy-compounds of the benzoxanthene series and process of making same
US2587437A (en) 1947-03-19 1952-02-26 Goodrich Co B F Di (alkenyl carbonate) esters of alkylidene bis-phenols
JPS4849508A (ja) 1971-10-20 1973-07-12
US3947468A (en) 1971-05-26 1976-03-30 General Electric Company Production of dibenzopyrans, their isomeric fluorenols and dibenzothiopyrans
US4252884A (en) 1979-08-14 1981-02-24 James River Graphics, Inc. Negative-working diazotype photoreproduction
US4289839A (en) 1976-10-22 1981-09-15 James River Graphics, Inc. Negative image diazography formulation with acid labile coupler, diazonium compound and carboxylic acid anhydride
US4482489A (en) 1980-11-18 1984-11-13 James River Graphics, Inc. Light-sensitive diazonium trifluoromethane sulfonates
US4579758A (en) 1981-01-16 1986-04-01 The B. F. Goodrich Company Internally coated reaction vessel for use in olefinic polymerization
JPS6294841A (ja) 1985-10-21 1987-05-01 Hodogaya Chem Co Ltd 画像形成組成物
JPS62191850A (ja) 1986-02-17 1987-08-22 Nec Corp ポジレジスト材料
JPH01283280A (ja) 1988-05-06 1989-11-14 Asahi Denka Kogyo Kk 新規エポキシ化合物及びその製造方法
JPH04217675A (ja) 1990-10-30 1992-08-07 Dainippon Ink & Chem Inc エポキシ樹脂、その製造方法及びエポキシ樹脂組成物
JPH0519463A (ja) 1991-07-17 1993-01-29 Sumitomo Chem Co Ltd レジストの金属低減化方法
JPH0534913A (ja) 1991-08-01 1993-02-12 Fuji Photo Film Co Ltd ポジ型フオトレジスト組成物
JPH05134415A (ja) 1990-12-27 1993-05-28 Toshiba Corp 感光性組成物およびそれを用いたパターン形成方法
JPH05163290A (ja) 1991-12-17 1993-06-29 Kikkoman Corp N−アセチル−β−D−グルコサミン誘導体、これを有効成分とするN−アセチル−β−D−グルコサミニダーゼ活性測定用試薬及びこれを用いたN−アセチル−β−D−グルコサミニダーゼ活性の測定方法
JPH05216235A (ja) 1992-02-03 1993-08-27 Fuji Photo Film Co Ltd 感光性組成物
JPH0649402A (ja) 1992-08-04 1994-02-22 Nippon Kayaku Co Ltd ソルダーレジストインキ組成物及びその硬化物
JPH06242607A (ja) 1993-02-18 1994-09-02 Mitsubishi Electric Corp ポジ型レジスト組成物およびそれを用いたパターン形成法
JPH07215833A (ja) 1994-01-28 1995-08-15 Noevir Co Ltd 皮膚外用剤
WO1997036960A1 (en) 1996-04-01 1997-10-09 The Perkin-Elmer Corporation Asymmetric benzoxanthene dyes
JPH1025220A (ja) 1996-07-10 1998-01-27 Noevir Co Ltd 皮膚外用剤
JPH1045764A (ja) 1996-04-24 1998-02-17 F Hoffmann La Roche Ag 4’−メチル置換フルオレセイン誘導体
JPH1172925A (ja) 1997-07-03 1999-03-16 Toshiba Corp 下層膜用組成物およびこれを用いたパターン形成方法
JP2001042525A (ja) 1999-07-30 2001-02-16 Dainippon Ink & Chem Inc アルカリ現像型感光性樹脂組成物
WO2002014434A1 (en) 2000-08-14 2002-02-21 Silverbrook Research Pty Ltd Dibenzoflourenone based chromophores
JP2002214769A (ja) 2001-01-18 2002-07-31 Fuji Photo Film Co Ltd 感放射線性ポジ型レジスト組成物
US20020106909A1 (en) 2001-02-07 2002-08-08 Hitoshi Kato Silicon nitride film forming method, silicon nitride film forming system and silicon nitride film forming system precleaning method
JP2002334869A (ja) 2001-02-07 2002-11-22 Tokyo Electron Ltd シリコン窒化膜の形成方法、形成装置及びこの形成装置の洗浄前処理方法
JP2002334896A (ja) 2001-05-07 2002-11-22 Nagase & Co Ltd 突起電極の製造方法
JP2002341542A (ja) 2001-05-18 2002-11-27 Hitachi Chem Co Ltd ポジ型感光性樹脂組成物、パターンの製造法及び電子部品
EP1275673A2 (en) 2001-07-12 2003-01-15 Dainippon Ink And Chemicals, Inc. Epoxy resin composition, cured article thereof, novel epoxy resin, novel phenol compound, and process for preparing the same
WO2003017002A1 (fr) 2001-08-20 2003-02-27 Nissan Chemical Industries, Ltd. Composition permettant la formation d'un film anti-reflechissant destine a etre utilise en lithographie
EP1300403A1 (en) 2001-10-02 2003-04-09 Aventis Pharma S.A. Process for the manufacture of hypoxyxylerone derivatives
CN1414031A (zh) 2001-10-24 2003-04-30 大日本油墨化学工业株式会社 环氧树脂组合物,其固化制品,新型环氧树脂,新型酚化合物,及其制备方法
JP2003201333A (ja) 2001-07-12 2003-07-18 Dainippon Ink & Chem Inc エポキシ樹脂組成物、その硬化物、新規エポキシ樹脂、新規フェノール化合物およびその製造方法
JP2004177668A (ja) 2002-11-27 2004-06-24 Tokyo Ohka Kogyo Co Ltd 多層レジストプロセス用下層膜形成材料およびこれを用いた配線形成方法
WO2004066377A1 (ja) 2003-01-24 2004-08-05 Tokyo Electron Limited 被処理基板上にシリコン窒化膜を形成するcvd方法
US6794408B2 (en) 2002-01-29 2004-09-21 Aventis Pharma Deutschland Gmbh Drechsleranol derivatives, processes for their preparation and their use
JP2004271838A (ja) 2003-03-07 2004-09-30 Shin Etsu Chem Co Ltd レジスト下層膜材料ならびにパターン形成方法
WO2005029189A1 (ja) 2003-09-18 2005-03-31 Mitsubishi Gas Chemical Company, Inc. レジスト用化合物および感放射線性組成物
US20050074695A1 (en) 2002-11-27 2005-04-07 Etsuko Nakamura Undercoating material for wiring, embedded material, and wiring formation method
JP2005250434A (ja) 2004-02-04 2005-09-15 Shin Etsu Chem Co Ltd レジスト下層膜材料ならびにパターン形成方法
JP2005266741A (ja) 2004-02-20 2005-09-29 Tokyo Ohka Kogyo Co Ltd パターン形成材料用基材、ポジ型レジスト組成物およびレジストパターン形成方法
JP2005326868A (ja) 1997-12-24 2005-11-24 Mitsubishi Electric Corp 音声復号化方法及び音声復号化装置
JP2005326838A (ja) 2004-04-15 2005-11-24 Mitsubishi Gas Chem Co Inc レジスト組成物
WO2005111724A1 (ja) 2004-05-14 2005-11-24 Nissan Chemical Industries, Ltd. ビニルエーテル化合物を含む反射防止膜形成組成物
JP2005346024A (ja) 2003-09-18 2005-12-15 Mitsubishi Gas Chem Co Inc レジスト用化合物および感放射線性組成物
JP2006036648A (ja) 2004-07-22 2006-02-09 Osaka Gas Co Ltd フルオレン化合物およびその製造方法
JP2006098869A (ja) 2004-09-30 2006-04-13 Sumitomo Bakelite Co Ltd フォトレジスト組成物
JP2006113136A (ja) 2004-10-12 2006-04-27 Sumitomo Bakelite Co Ltd フォトレジスト用ノボラック型フェノール樹脂組成物
JP2006160663A (ja) 2004-12-07 2006-06-22 Honshu Chem Ind Co Ltd 1,1’−ビス(2−ヒドロキシナフチル)類の製造方法
WO2006068267A1 (ja) 2004-12-24 2006-06-29 Mitsubishi Gas Chemical Company, Inc. レジスト用化合物および感放射線性組成物
JP2006213634A (ja) 2005-02-03 2006-08-17 Mitsubishi Gas Chem Co Inc フェナントレンキノン誘導体及びその製造方法
JP2006259482A (ja) 2005-03-18 2006-09-28 Shin Etsu Chem Co Ltd フォトレジスト下層膜形成材料及びパターン形成方法
CN1853141A (zh) 2003-09-18 2006-10-25 三菱瓦斯化学株式会社 抗蚀化合物和辐射敏感组合物
JP2007019294A (ja) 2005-07-08 2007-01-25 Konica Minolta Holdings Inc 有機半導体材料、有機半導体膜、有機半導体素子及び有機薄膜トランジスタ
US20070172759A1 (en) 2006-01-25 2007-07-26 Shin-Etsu Chemical Co., Ltd. Antireflection film composition, substrate, and patterning process
JP2007199653A (ja) 2005-12-27 2007-08-09 Shin Etsu Chem Co Ltd フォトレジスト下層膜形成材料及びパターン形成方法
WO2007097457A1 (ja) 2006-02-27 2007-08-30 Mitsubishi Gas Chemical Company, Inc. 反射防止膜形成用組成物および反射防止膜
JP2007226170A (ja) 2006-01-27 2007-09-06 Shin Etsu Chem Co Ltd 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
JP2007226204A (ja) 2006-01-25 2007-09-06 Shin Etsu Chem Co Ltd 反射防止膜材料、基板、及びパターン形成方法
US20070232839A1 (en) 2006-03-31 2007-10-04 Akira Yoshitomo Novel polynuclear polyphenol compound
JP2007262398A (ja) 2006-03-01 2007-10-11 Hitachi Chem Co Ltd エポキシ樹脂組成物及び電子部品装置
US20070275325A1 (en) 2006-05-25 2007-11-29 Shin-Etsu Chemical Co., Ltd. Photoresist undercoat-forming material and patterning process
JP2008065081A (ja) 2006-09-07 2008-03-21 Jsr Corp レジスト下層膜形成用組成物及びパターン形成方法
WO2008053974A1 (fr) 2006-11-02 2008-05-08 Mitsubishi Gas Chemical Company, Inc. Composition sensible aux rayonnements
JP2008145539A (ja) 2006-12-06 2008-06-26 Mitsubishi Gas Chem Co Inc 感放射線性レジスト組成物
JP2008201954A (ja) 2007-02-21 2008-09-04 Jsr Corp ナフトールノボラックおよびその誘導体の製造方法
JP2008239868A (ja) 2007-03-28 2008-10-09 Dic Corp 熱硬化性ポリイミド樹脂組成物
WO2008137816A2 (en) 2007-05-04 2008-11-13 Wyeth Tricyclic compounds as matrix metalloproteinase inhibitors
JP2009073738A (ja) 2007-09-18 2009-04-09 Idemitsu Kosan Co Ltd ポリカルボン酸エステル化合物、フォトレジスト基材及びフォトレジスト組成物
JP2009098155A (ja) 2006-02-08 2009-05-07 Mitsubishi Gas Chem Co Inc 感放射線性組成物
JP2009108313A (ja) 2007-10-10 2009-05-21 Sumitomo Chemical Co Ltd 高分子化合物およびそれを用いた高分子発光素子
WO2009072465A1 (ja) 2007-12-07 2009-06-11 Mitsubishi Gas Chemical Company, Inc. リソグラフィー用下層膜形成組成物及び多層レジストパターン形成方法
US20090171061A1 (en) 2006-01-25 2009-07-02 Hitachi Chemical Company, Ltd. Phenol resin and resin composition
JP2009155256A (ja) 2007-12-26 2009-07-16 Osaka Gas Co Ltd フルオレン骨格を有するエポキシ化合物
JP2009173623A (ja) 2007-04-23 2009-08-06 Mitsubishi Gas Chem Co Inc 感放射線性組成物
WO2009119201A1 (ja) 2008-03-28 2009-10-01 Jsr株式会社 レジスト下層膜及びレジスト下層膜形成用組成物並びにレジスト下層膜形成方法
US20090246684A1 (en) 2007-11-14 2009-10-01 Jung-Woo Kim Photosensitive compound and photoresist composition including the same
US20090261300A1 (en) 2006-08-28 2009-10-22 Tosoh Corporation Heteroacene derivative, tetrahaloterphenyl derivative, and processes for producing the same
WO2009145224A1 (ja) 2008-05-27 2009-12-03 パナソニック電工株式会社 プリント配線板用エポキシ樹脂組成物、ソルダーレジスト組成物、樹脂フィルム、樹脂シート、プリプレグ、樹脂付き金属箔、カバーレイ、フレキシブルプリント配線板
JP2009300978A (ja) 2008-06-17 2009-12-24 Shin-Etsu Chemical Co Ltd パターン形成方法並びにこれに用いるレジスト材料
US20100099044A1 (en) 2008-10-20 2010-04-22 Shin-Etsu Chemical Co.,Ltd. Method for forming resist underlayer film, patterning process using the same, and composition for the resist underlayer film
US20100104977A1 (en) 2008-10-28 2010-04-29 Shin-Etsu Chemical Co., Ltd. Photoresist undercoat-forming material and patterning process
US20100136477A1 (en) 2008-12-01 2010-06-03 Ng Edward W Photosensitive Composition
JP2010160189A (ja) 2009-01-06 2010-07-22 Shin-Etsu Chemical Co Ltd レジスト下層膜形成方法およびこれを用いたパターン形成方法
US20100190107A1 (en) 2007-06-15 2010-07-29 Idemitsu Kosan Co. Ltd Cyclic compound, photoresist base material and photoresist composition
JP2010170013A (ja) 2009-01-26 2010-08-05 Shin-Etsu Chemical Co Ltd レジスト下層膜形成方法及びこれを用いたパターン形成方法
JP2010219295A (ja) 2009-03-17 2010-09-30 Mitsui Chemicals Inc 有機トランジスタ
JP2010235643A (ja) 2009-03-30 2010-10-21 Dic Corp 硬化性樹脂組成物、その硬化物、プリント配線基板、エステル化合物、エステル系樹脂、及びその製造方法
US20100285407A1 (en) 2009-05-11 2010-11-11 Shin-Etsu Chemical Co., Ltd. Composition for forming a silicon-containing antireflection film, substrate having the silicon-containing antireflection film from the composition and patterning process using the same
WO2011034062A1 (ja) 2009-09-15 2011-03-24 三菱瓦斯化学株式会社 芳香族炭化水素樹脂及びリソグラフィー用下層膜形成組成物
JP2011068624A (ja) 2009-09-28 2011-04-07 Osaka Gas Chem Kk フルオレン骨格を有するアルコールの製造方法
CN102070595A (zh) 2011-01-20 2011-05-25 中国人民解放军第二军医大学 取代苯并呫吨酮类化合物及其应用
JP2011105887A (ja) 2009-11-19 2011-06-02 Osaka Gas Co Ltd フルオレンポリエステルオリゴマー及びその製造方法
US20110177459A1 (en) 2010-01-19 2011-07-21 Shin-Etsu Chemical Co., Ltd. Resist underlayer film-forming composition, process for forming resist underlayer film and patterning process
US20110230058A1 (en) 2008-11-27 2011-09-22 Nissan Chemical Industries, Ltd. Composition for forming resist underlayer film with reduced outgassing
US20110274713A1 (en) 2008-08-05 2011-11-10 The University Of Queensland Antigen-presenting scaffolds
US20110311920A1 (en) 2010-06-21 2011-12-22 Shin-Etsu Chemical Co., Ltd Naphthalene derivative, resist bottom layer material, resist bottom layer forming method, and patterning process
US20120064725A1 (en) 2010-09-10 2012-03-15 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, and patterning process
JP2012068652A (ja) 2005-06-06 2012-04-05 Mitsubishi Gas Chemical Co Inc レジスト用化合物およびレジスト組成物
JP2012083731A (ja) 2010-09-13 2012-04-26 Idemitsu Kosan Co Ltd 感放射線性組成物、及びフォトレジスト組成物
US20120184103A1 (en) 2011-01-14 2012-07-19 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
US20120220112A1 (en) 2011-02-25 2012-08-30 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US20120228584A1 (en) 2011-03-08 2012-09-13 Xerox Corporation Xanthene based semiconductor compositions
WO2012165507A1 (ja) 2011-06-03 2012-12-06 三菱瓦斯化学株式会社 フェノール系樹脂およびリソグラフィー用下層膜形成材料
WO2013010102A2 (en) 2011-07-14 2013-01-17 Latham Keith R Halogenated phenols for diagnostics, antioxidant protection and drug delivery
WO2013024778A1 (ja) 2011-08-12 2013-02-21 三菱瓦斯化学株式会社 レジスト組成物、レジストパターン形成方法、それに用いるポリフェノール化合物及びそれから誘導され得るアルコール化合物
WO2013024779A1 (ja) 2011-08-12 2013-02-21 三菱瓦斯化学株式会社 リソグラフィー用下層膜形成材料、リソグラフィー用下層膜及びパターン形成方法
WO2013024777A1 (ja) 2011-08-12 2013-02-21 三菱瓦斯化学株式会社 環状化合物、その製造方法、組成物及びレジストパターン形成方法
US20130056653A1 (en) 2011-09-02 2013-03-07 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US20130056654A1 (en) 2011-09-06 2013-03-07 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US20130084705A1 (en) 2011-09-30 2013-04-04 Jsr Corporation Method for forming pattern, and composition for forming resist underlayer film
US20130087529A1 (en) 2011-10-11 2013-04-11 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
WO2013066067A1 (ko) 2011-11-02 2013-05-10 주식회사 동진쎄미켐 페놀계 단량체, 이를 포함하는 레지스트 하층막 형성용 고분자 및 이를 포함하는 레지스트 하층막 조성물
JP2013087173A (ja) 2011-10-17 2013-05-13 Mitsubishi Gas Chemical Co Inc 新規エポキシ化合物及びその製造方法
US20130150627A1 (en) 2010-05-26 2013-06-13 Yu Okada Purification method for cyclic compound
JP2013137524A (ja) 2011-11-30 2013-07-11 Fujifilm Corp パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜、電子デバイスの製造方法及び電子デバイス
CN103304385A (zh) 2012-03-16 2013-09-18 中国科学院化学研究所 含双酚a骨架结构的分子玻璃光刻胶及其制备方法和应用
WO2013184755A2 (en) 2012-06-07 2013-12-12 Georgia State University Research Foundation, Inc. Seca inhibitors and methods of making and using thereof
JP2013253161A (ja) 2012-06-06 2013-12-19 Denki Kagaku Kogyo Kk 光学部品用接着剤組成物
WO2014050690A1 (ja) 2012-09-26 2014-04-03 富士フイルム株式会社 半硬化物、硬化物およびそれらの製造方法、光学部品、硬化樹脂組成物ならびに化合物
CN103804196A (zh) 2012-11-06 2014-05-21 中国科学院理化技术研究所 星形金刚烷衍生物分子玻璃及其制备方法、应用
WO2014123032A1 (ja) 2013-02-08 2014-08-14 三菱瓦斯化学株式会社 レジスト組成物、レジストパターン形成方法及びそれに用いるポリフェノール誘導体
JP2014196288A (ja) 2013-03-04 2014-10-16 国立大学法人東京工業大学 ジナフトチオフェン化合物並びにジナフトチオフェン骨格を含む重合体及びその製造方法
JP2014205746A (ja) 2013-04-11 2014-10-30 Jsr株式会社 着色組成物、着色硬化膜及び表示素子
US20140363957A1 (en) 2013-06-11 2014-12-11 Shin-Etsu Chemical Co., Ltd. Underlayer film-forming composition and pattern forming process
US20140363955A1 (en) 2013-06-11 2014-12-11 Shin-Etsu Chemical Co., Ltd. Underlayer film-forming composition and pattern forming process
US20140363958A1 (en) 2013-06-11 2014-12-11 Shin-Etsu Chemical Co., Ltd. Underlayer film-forming composition and pattern forming process
WO2014199660A1 (ja) 2013-06-14 2014-12-18 Dic株式会社 エポキシ化合物、エポキシ樹脂、硬化性組成物、その硬化物、半導体封止材料、及びプリント配線基板
CN104557552A (zh) 2013-10-22 2015-04-29 中国科学院理化技术研究所 一种星形四苯基乙烯衍生物分子玻璃、正性光刻胶、正性光刻胶涂层及其应用
JP2015087115A (ja) 2013-10-28 2015-05-07 日立Geニュークリア・エナジー株式会社 中性子数分析装置および放射線計測装置
US20150309403A1 (en) 2014-04-29 2015-10-29 Az Electronic Materials (Luxembourg) S.A.R.L. Antireflective coating compositions and processes thereof
US20150368224A1 (en) 2013-02-08 2015-12-24 Mitsubishi Gas Chemical Company, Inc. Novel allyl compound and method for producing the same
US20150376202A1 (en) 2013-02-08 2015-12-31 Mitsubishi Gas Chemical Company, Inc. Compound, material for forming underlayer film for lithography, underlayer film for lithography and pattern forming method
US20150376158A1 (en) 2013-02-08 2015-12-31 Mitsubishi Gas Chemical Company, Inc. Compound, material for forming underlayer film for lithography, underlayer film for lithography and pattern forming method
US9274426B2 (en) 2014-04-29 2016-03-01 Az Electronic Materials (Luxembourg) S.A.R.L. Antireflective coating compositions and processes thereof
US20170349564A1 (en) * 2014-12-25 2017-12-07 Mitsubishi Gas Chemical Company, Inc. Compound, resin, material for forming underlayer film for lithography, underlayer film for lithography, pattern forming method, and purification method
EP3279190A1 (en) 2015-03-31 2018-02-07 Mitsubishi Gas Chemical Company, Inc. Resist composition, method for forming resist pattern, and polyphenol compound used therein
US20180208703A1 (en) 2015-07-22 2018-07-26 Mitsubishi Gas Chemical Company, Inc. Compound, resin, material for forming underlayer film for lithography, composition for forming underlayer film for lithography, underlayer film for lithography, resist pattern forming method, circuit pattern forming method, and purification method
US10303055B2 (en) 2014-03-13 2019-05-28 Mitsubishi Gas Chemical Company, Inc. Resist composition and method for forming resist pattern
JP2020121687A (ja) 2019-01-31 2020-08-13 横浜ゴム株式会社 空気入りタイヤ

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS593166B2 (ja) * 1974-11-29 1984-01-23 イデミツセキユカガク カブシキガイシヤ タコツボノ セイゾウホウホウ

Patent Citations (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2100798A (en) 1933-05-02 1937-11-30 Ig Farbenindustrie Ag Condensation products of carbenium compounds and process of preparing the same
US2587437A (en) 1947-03-19 1952-02-26 Goodrich Co B F Di (alkenyl carbonate) esters of alkylidene bis-phenols
US2546872A (en) 1947-10-10 1951-03-27 Ciba Ltd Hydroxy-compounds of the benzoxanthene series and process of making same
US3947468A (en) 1971-05-26 1976-03-30 General Electric Company Production of dibenzopyrans, their isomeric fluorenols and dibenzothiopyrans
JPS4849508A (ja) 1971-10-20 1973-07-12
US4289839A (en) 1976-10-22 1981-09-15 James River Graphics, Inc. Negative image diazography formulation with acid labile coupler, diazonium compound and carboxylic acid anhydride
US4252884A (en) 1979-08-14 1981-02-24 James River Graphics, Inc. Negative-working diazotype photoreproduction
US4482489A (en) 1980-11-18 1984-11-13 James River Graphics, Inc. Light-sensitive diazonium trifluoromethane sulfonates
US4579758A (en) 1981-01-16 1986-04-01 The B. F. Goodrich Company Internally coated reaction vessel for use in olefinic polymerization
JPS6294841A (ja) 1985-10-21 1987-05-01 Hodogaya Chem Co Ltd 画像形成組成物
JPS62191850A (ja) 1986-02-17 1987-08-22 Nec Corp ポジレジスト材料
JPH01283280A (ja) 1988-05-06 1989-11-14 Asahi Denka Kogyo Kk 新規エポキシ化合物及びその製造方法
JPH04217675A (ja) 1990-10-30 1992-08-07 Dainippon Ink & Chem Inc エポキシ樹脂、その製造方法及びエポキシ樹脂組成物
US5332648A (en) 1990-12-27 1994-07-26 Kabushiki Kaisha Toshiba Potosensitive composition and method of forming a pattern using the same
JPH05134415A (ja) 1990-12-27 1993-05-28 Toshiba Corp 感光性組成物およびそれを用いたパターン形成方法
JPH0519463A (ja) 1991-07-17 1993-01-29 Sumitomo Chem Co Ltd レジストの金属低減化方法
JPH0534913A (ja) 1991-08-01 1993-02-12 Fuji Photo Film Co Ltd ポジ型フオトレジスト組成物
JPH05163290A (ja) 1991-12-17 1993-06-29 Kikkoman Corp N−アセチル−β−D−グルコサミン誘導体、これを有効成分とするN−アセチル−β−D−グルコサミニダーゼ活性測定用試薬及びこれを用いたN−アセチル−β−D−グルコサミニダーゼ活性の測定方法
JPH05216235A (ja) 1992-02-03 1993-08-27 Fuji Photo Film Co Ltd 感光性組成物
JPH0649402A (ja) 1992-08-04 1994-02-22 Nippon Kayaku Co Ltd ソルダーレジストインキ組成物及びその硬化物
JPH06242607A (ja) 1993-02-18 1994-09-02 Mitsubishi Electric Corp ポジ型レジスト組成物およびそれを用いたパターン形成法
JPH07215833A (ja) 1994-01-28 1995-08-15 Noevir Co Ltd 皮膚外用剤
WO1997036960A1 (en) 1996-04-01 1997-10-09 The Perkin-Elmer Corporation Asymmetric benzoxanthene dyes
US5986094A (en) 1996-04-24 1999-11-16 Roche Diagnostics Corporation 4'-methyl substituted fluorescein derivatives
JPH1045764A (ja) 1996-04-24 1998-02-17 F Hoffmann La Roche Ag 4’−メチル置換フルオレセイン誘導体
JPH1025220A (ja) 1996-07-10 1998-01-27 Noevir Co Ltd 皮膚外用剤
JPH1172925A (ja) 1997-07-03 1999-03-16 Toshiba Corp 下層膜用組成物およびこれを用いたパターン形成方法
JP2005326868A (ja) 1997-12-24 2005-11-24 Mitsubishi Electric Corp 音声復号化方法及び音声復号化装置
JP2001042525A (ja) 1999-07-30 2001-02-16 Dainippon Ink & Chem Inc アルカリ現像型感光性樹脂組成物
WO2002014434A1 (en) 2000-08-14 2002-02-21 Silverbrook Research Pty Ltd Dibenzoflourenone based chromophores
JP2002214769A (ja) 2001-01-18 2002-07-31 Fuji Photo Film Co Ltd 感放射線性ポジ型レジスト組成物
US20020106909A1 (en) 2001-02-07 2002-08-08 Hitoshi Kato Silicon nitride film forming method, silicon nitride film forming system and silicon nitride film forming system precleaning method
JP2002334869A (ja) 2001-02-07 2002-11-22 Tokyo Electron Ltd シリコン窒化膜の形成方法、形成装置及びこの形成装置の洗浄前処理方法
JP2002334896A (ja) 2001-05-07 2002-11-22 Nagase & Co Ltd 突起電極の製造方法
JP2002341542A (ja) 2001-05-18 2002-11-27 Hitachi Chem Co Ltd ポジ型感光性樹脂組成物、パターンの製造法及び電子部品
EP1275673A2 (en) 2001-07-12 2003-01-15 Dainippon Ink And Chemicals, Inc. Epoxy resin composition, cured article thereof, novel epoxy resin, novel phenol compound, and process for preparing the same
US20030092852A1 (en) 2001-07-12 2003-05-15 Dainippon Ink And Chemicals, Inc. Epoxy resin composition, cured article thereof, novel epoxy resin, novel phenol compound, and process for preparing the same
JP2003201333A (ja) 2001-07-12 2003-07-18 Dainippon Ink & Chem Inc エポキシ樹脂組成物、その硬化物、新規エポキシ樹脂、新規フェノール化合物およびその製造方法
US6784228B2 (en) 2001-07-12 2004-08-31 Dainippon Ink And Chemicals, Inc. Epoxy resin composition, cured article thereof, novel epoxy resin, novel phenol compound, and process for preparing the same
US20040197709A1 (en) 2001-08-20 2004-10-07 Shinya Arase Composition for forming anti-reflective coating for use in lithography
WO2003017002A1 (fr) 2001-08-20 2003-02-27 Nissan Chemical Industries, Ltd. Composition permettant la formation d'un film anti-reflechissant destine a etre utilise en lithographie
EP1300403A1 (en) 2001-10-02 2003-04-09 Aventis Pharma S.A. Process for the manufacture of hypoxyxylerone derivatives
CN1414031A (zh) 2001-10-24 2003-04-30 大日本油墨化学工业株式会社 环氧树脂组合物,其固化制品,新型环氧树脂,新型酚化合物,及其制备方法
US6794408B2 (en) 2002-01-29 2004-09-21 Aventis Pharma Deutschland Gmbh Drechsleranol derivatives, processes for their preparation and their use
JP2004177668A (ja) 2002-11-27 2004-06-24 Tokyo Ohka Kogyo Co Ltd 多層レジストプロセス用下層膜形成材料およびこれを用いた配線形成方法
US20050074695A1 (en) 2002-11-27 2005-04-07 Etsuko Nakamura Undercoating material for wiring, embedded material, and wiring formation method
WO2004066377A1 (ja) 2003-01-24 2004-08-05 Tokyo Electron Limited 被処理基板上にシリコン窒化膜を形成するcvd方法
US20050255712A1 (en) 2003-01-24 2005-11-17 Tokyo Electronlimited Method of cvd for forming silicon nitride film on substrate
JP2004271838A (ja) 2003-03-07 2004-09-30 Shin Etsu Chem Co Ltd レジスト下層膜材料ならびにパターン形成方法
WO2005029189A1 (ja) 2003-09-18 2005-03-31 Mitsubishi Gas Chemical Company, Inc. レジスト用化合物および感放射線性組成物
JP2005346024A (ja) 2003-09-18 2005-12-15 Mitsubishi Gas Chem Co Inc レジスト用化合物および感放射線性組成物
CN1853141A (zh) 2003-09-18 2006-10-25 三菱瓦斯化学株式会社 抗蚀化合物和辐射敏感组合物
EP1666970A1 (en) 2003-09-18 2006-06-07 Mitsubishi Gas Chemical Company, Inc. Compound for resist and radiation-sensitive composition
US20070059632A1 (en) * 2003-09-18 2007-03-15 Dai Oguro Method of manufacturing a semiconductor device
JP2005250434A (ja) 2004-02-04 2005-09-15 Shin Etsu Chem Co Ltd レジスト下層膜材料ならびにパターン形成方法
JP2005266741A (ja) 2004-02-20 2005-09-29 Tokyo Ohka Kogyo Co Ltd パターン形成材料用基材、ポジ型レジスト組成物およびレジストパターン形成方法
JP2005326838A (ja) 2004-04-15 2005-11-24 Mitsubishi Gas Chem Co Inc レジスト組成物
US7871751B2 (en) 2004-04-15 2011-01-18 Mitsubishi Gas Chemical Company, Inc. Resist composition
US20080153031A1 (en) 2004-04-15 2008-06-26 Masatoshi Echigo Resist composition
WO2005111724A1 (ja) 2004-05-14 2005-11-24 Nissan Chemical Industries, Ltd. ビニルエーテル化合物を含む反射防止膜形成組成物
US20080138744A1 (en) 2004-05-14 2008-06-12 Nissan Chemical Industries, Ltd. Anti-Reflective Coating Forming Composition Containing Vinyl Ether Compound
JP2006036648A (ja) 2004-07-22 2006-02-09 Osaka Gas Co Ltd フルオレン化合物およびその製造方法
JP2006098869A (ja) 2004-09-30 2006-04-13 Sumitomo Bakelite Co Ltd フォトレジスト組成物
JP2006113136A (ja) 2004-10-12 2006-04-27 Sumitomo Bakelite Co Ltd フォトレジスト用ノボラック型フェノール樹脂組成物
JP2006160663A (ja) 2004-12-07 2006-06-22 Honshu Chem Ind Co Ltd 1,1’−ビス(2−ヒドロキシナフチル)類の製造方法
US20130004896A1 (en) 2004-12-24 2013-01-03 Masatoshi Echigo Compound for resist and radiation-sensitive composition specification
WO2006068267A1 (ja) 2004-12-24 2006-06-29 Mitsubishi Gas Chemical Company, Inc. レジスト用化合物および感放射線性組成物
US20080113294A1 (en) 2004-12-24 2008-05-15 Mitsubishi Gas Chemical Company, Inc. Compound for Resist and Radiation-Sensitive Composition
JP2006213634A (ja) 2005-02-03 2006-08-17 Mitsubishi Gas Chem Co Inc フェナントレンキノン誘導体及びその製造方法
JP2006259482A (ja) 2005-03-18 2006-09-28 Shin Etsu Chem Co Ltd フォトレジスト下層膜形成材料及びパターン形成方法
JP2012068652A (ja) 2005-06-06 2012-04-05 Mitsubishi Gas Chemical Co Inc レジスト用化合物およびレジスト組成物
JP2007019294A (ja) 2005-07-08 2007-01-25 Konica Minolta Holdings Inc 有機半導体材料、有機半導体膜、有機半導体素子及び有機薄膜トランジスタ
JP2007199653A (ja) 2005-12-27 2007-08-09 Shin Etsu Chem Co Ltd フォトレジスト下層膜形成材料及びパターン形成方法
US20070172759A1 (en) 2006-01-25 2007-07-26 Shin-Etsu Chemical Co., Ltd. Antireflection film composition, substrate, and patterning process
US20090171061A1 (en) 2006-01-25 2009-07-02 Hitachi Chemical Company, Ltd. Phenol resin and resin composition
JP2007226204A (ja) 2006-01-25 2007-09-06 Shin Etsu Chem Co Ltd 反射防止膜材料、基板、及びパターン形成方法
JP2007226170A (ja) 2006-01-27 2007-09-06 Shin Etsu Chem Co Ltd 反射防止膜材料、反射防止膜を有する基板及びパターン形成方法
JP2009098155A (ja) 2006-02-08 2009-05-07 Mitsubishi Gas Chem Co Inc 感放射線性組成物
WO2007097457A1 (ja) 2006-02-27 2007-08-30 Mitsubishi Gas Chemical Company, Inc. 反射防止膜形成用組成物および反射防止膜
JP2007262398A (ja) 2006-03-01 2007-10-11 Hitachi Chem Co Ltd エポキシ樹脂組成物及び電子部品装置
JP2007326847A (ja) 2006-03-31 2007-12-20 Honshu Chem Ind Co Ltd 新規な多核体ポリフェノール化合物
US20070232839A1 (en) 2006-03-31 2007-10-04 Akira Yoshitomo Novel polynuclear polyphenol compound
US20070275325A1 (en) 2006-05-25 2007-11-29 Shin-Etsu Chemical Co., Ltd. Photoresist undercoat-forming material and patterning process
US20090261300A1 (en) 2006-08-28 2009-10-22 Tosoh Corporation Heteroacene derivative, tetrahaloterphenyl derivative, and processes for producing the same
JP2008065081A (ja) 2006-09-07 2008-03-21 Jsr Corp レジスト下層膜形成用組成物及びパターン形成方法
US20100047709A1 (en) * 2006-11-02 2010-02-25 Masatoshi Echigo Radiation-sensitive composition
US20150030980A1 (en) 2006-11-02 2015-01-29 Mitsubishi Gas Chemical Company, Inc. Radiation-sensitive composition
WO2008053974A1 (fr) 2006-11-02 2008-05-08 Mitsubishi Gas Chemical Company, Inc. Composition sensible aux rayonnements
JP2008145539A (ja) 2006-12-06 2008-06-26 Mitsubishi Gas Chem Co Inc 感放射線性レジスト組成物
JP2008201954A (ja) 2007-02-21 2008-09-04 Jsr Corp ナフトールノボラックおよびその誘導体の製造方法
JP2008239868A (ja) 2007-03-28 2008-10-09 Dic Corp 熱硬化性ポリイミド樹脂組成物
JP2009173623A (ja) 2007-04-23 2009-08-06 Mitsubishi Gas Chem Co Inc 感放射線性組成物
US20100227859A1 (en) 2007-05-04 2010-09-09 Wei Li Tricyclic compounds as matrix metalloproteinase inhibitors
WO2008137816A2 (en) 2007-05-04 2008-11-13 Wyeth Tricyclic compounds as matrix metalloproteinase inhibitors
US20100190107A1 (en) 2007-06-15 2010-07-29 Idemitsu Kosan Co. Ltd Cyclic compound, photoresist base material and photoresist composition
JP2009073738A (ja) 2007-09-18 2009-04-09 Idemitsu Kosan Co Ltd ポリカルボン酸エステル化合物、フォトレジスト基材及びフォトレジスト組成物
US20100207516A1 (en) 2007-10-10 2010-08-19 Shota Moriwaki Polymer compound and polymer light-emitting device using the same
JP2009108313A (ja) 2007-10-10 2009-05-21 Sumitomo Chemical Co Ltd 高分子化合物およびそれを用いた高分子発光素子
US20090246684A1 (en) 2007-11-14 2009-10-01 Jung-Woo Kim Photosensitive compound and photoresist composition including the same
US20100316950A1 (en) 2007-12-07 2010-12-16 Mitsubishi Gas Chemical Company, Inc. Composition for forming base film for lithography and method for forming multilayer resist pattern
WO2009072465A1 (ja) 2007-12-07 2009-06-11 Mitsubishi Gas Chemical Company, Inc. リソグラフィー用下層膜形成組成物及び多層レジストパターン形成方法
CN101889247A (zh) 2007-12-07 2010-11-17 三菱瓦斯化学株式会社 用于形成光刻用下层膜的组合物和多层抗蚀图案的形成方法
KR20100095563A (ko) 2007-12-07 2010-08-31 미츠비시 가스 가가쿠 가부시키가이샤 리소그라피용 하층막 형성 조성물 및 다층 레지스트 패턴 형성 방법
JP2009155256A (ja) 2007-12-26 2009-07-16 Osaka Gas Co Ltd フルオレン骨格を有するエポキシ化合物
WO2009119201A1 (ja) 2008-03-28 2009-10-01 Jsr株式会社 レジスト下層膜及びレジスト下層膜形成用組成物並びにレジスト下層膜形成方法
WO2009145224A1 (ja) 2008-05-27 2009-12-03 パナソニック電工株式会社 プリント配線板用エポキシ樹脂組成物、ソルダーレジスト組成物、樹脂フィルム、樹脂シート、プリプレグ、樹脂付き金属箔、カバーレイ、フレキシブルプリント配線板
JP2009300978A (ja) 2008-06-17 2009-12-24 Shin-Etsu Chemical Co Ltd パターン形成方法並びにこれに用いるレジスト材料
US20110274713A1 (en) 2008-08-05 2011-11-10 The University Of Queensland Antigen-presenting scaffolds
US20100099044A1 (en) 2008-10-20 2010-04-22 Shin-Etsu Chemical Co.,Ltd. Method for forming resist underlayer film, patterning process using the same, and composition for the resist underlayer film
US20100104977A1 (en) 2008-10-28 2010-04-29 Shin-Etsu Chemical Co., Ltd. Photoresist undercoat-forming material and patterning process
JP2015127821A (ja) 2008-11-27 2015-07-09 日産化学工業株式会社 アウトガス発生が低減されたレジスト下層膜形成組成物
US20110230058A1 (en) 2008-11-27 2011-09-22 Nissan Chemical Industries, Ltd. Composition for forming resist underlayer film with reduced outgassing
US20100136477A1 (en) 2008-12-01 2010-06-03 Ng Edward W Photosensitive Composition
JP2010160189A (ja) 2009-01-06 2010-07-22 Shin-Etsu Chemical Co Ltd レジスト下層膜形成方法およびこれを用いたパターン形成方法
JP2010170013A (ja) 2009-01-26 2010-08-05 Shin-Etsu Chemical Co Ltd レジスト下層膜形成方法及びこれを用いたパターン形成方法
JP2010219295A (ja) 2009-03-17 2010-09-30 Mitsui Chemicals Inc 有機トランジスタ
JP2010235643A (ja) 2009-03-30 2010-10-21 Dic Corp 硬化性樹脂組成物、その硬化物、プリント配線基板、エステル化合物、エステル系樹脂、及びその製造方法
US20100285407A1 (en) 2009-05-11 2010-11-11 Shin-Etsu Chemical Co., Ltd. Composition for forming a silicon-containing antireflection film, substrate having the silicon-containing antireflection film from the composition and patterning process using the same
WO2011034062A1 (ja) 2009-09-15 2011-03-24 三菱瓦斯化学株式会社 芳香族炭化水素樹脂及びリソグラフィー用下層膜形成組成物
US20120171611A1 (en) 2009-09-15 2012-07-05 Ryuji Ideno Aromatic hydrocarbon resin and composition for forming underlayer film for lithography
JP2011068624A (ja) 2009-09-28 2011-04-07 Osaka Gas Chem Kk フルオレン骨格を有するアルコールの製造方法
JP2011105887A (ja) 2009-11-19 2011-06-02 Osaka Gas Co Ltd フルオレンポリエステルオリゴマー及びその製造方法
US20110177459A1 (en) 2010-01-19 2011-07-21 Shin-Etsu Chemical Co., Ltd. Resist underlayer film-forming composition, process for forming resist underlayer film and patterning process
JP2011150023A (ja) 2010-01-19 2011-08-04 Shin-Etsu Chemical Co Ltd レジスト下層膜形成用組成物、レジスト下層膜形成方法、及びパターン形成方法
US20130150627A1 (en) 2010-05-26 2013-06-13 Yu Okada Purification method for cyclic compound
US20110311920A1 (en) 2010-06-21 2011-12-22 Shin-Etsu Chemical Co., Ltd Naphthalene derivative, resist bottom layer material, resist bottom layer forming method, and patterning process
JP2012077295A (ja) 2010-09-10 2012-04-19 Shin-Etsu Chemical Co Ltd ナフタレン誘導体及びその製造方法、レジスト下層膜材料、レジスト下層膜形成方法及びパターン形成方法
US20120064725A1 (en) 2010-09-10 2012-03-15 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, and patterning process
US20140363768A1 (en) 2010-09-10 2014-12-11 Shin-Etsu Chemical Co., Ltd. Naphthalene derivative, resist bottom layer material, and patterning process
JP2012083731A (ja) 2010-09-13 2012-04-26 Idemitsu Kosan Co Ltd 感放射線性組成物、及びフォトレジスト組成物
US20120184103A1 (en) 2011-01-14 2012-07-19 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
JP2012145897A (ja) 2011-01-14 2012-08-02 Shin Etsu Chem Co Ltd レジスト下層膜材料及びこれを用いたパターン形成方法
CN102070595A (zh) 2011-01-20 2011-05-25 中国人民解放军第二军医大学 取代苯并呫吨酮类化合物及其应用
US20120220112A1 (en) 2011-02-25 2012-08-30 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
US20120228584A1 (en) 2011-03-08 2012-09-13 Xerox Corporation Xanthene based semiconductor compositions
US20140186776A1 (en) 2011-06-03 2014-07-03 Mitsubishi Gas Chemical Company, Inc. Phenolic resin and material for forming underlayer film for lithography
WO2012165507A1 (ja) 2011-06-03 2012-12-06 三菱瓦斯化学株式会社 フェノール系樹脂およびリソグラフィー用下層膜形成材料
WO2013010102A2 (en) 2011-07-14 2013-01-17 Latham Keith R Halogenated phenols for diagnostics, antioxidant protection and drug delivery
EP2743249A1 (en) 2011-08-12 2014-06-18 Mitsubishi Gas Chemical Company, Inc. Cyclic compound, method for producing same, composition, and method for forming resist pattern
US9540339B2 (en) 2011-08-12 2017-01-10 Mitsubishi Gas Chemical Company, Inc. Resist composition, method for forming resist pattern, polyphenolic compound for use in the composition, and alcoholic compound that can be derived therefrom
EP2743770A1 (en) 2011-08-12 2014-06-18 Mitsubishi Gas Chemical Company, Inc. Underlayer film-forming material for lithography, underlayer film for lithography, and pattern formation method
US20150090691A1 (en) 2011-08-12 2015-04-02 Mitsubishi Gas Chemical Company, Inc. Material for forming underlayer film lithography, underlayer film for lithography and pattern forming method
WO2013024779A1 (ja) 2011-08-12 2013-02-21 三菱瓦斯化学株式会社 リソグラフィー用下層膜形成材料、リソグラフィー用下層膜及びパターン形成方法
WO2013024778A1 (ja) 2011-08-12 2013-02-21 三菱瓦斯化学株式会社 レジスト組成物、レジストパターン形成方法、それに用いるポリフェノール化合物及びそれから誘導され得るアルコール化合物
WO2013024777A1 (ja) 2011-08-12 2013-02-21 三菱瓦斯化学株式会社 環状化合物、その製造方法、組成物及びレジストパターン形成方法
US9316913B2 (en) 2011-08-12 2016-04-19 Mitsubishi Gas Chemical Company, Inc. Underlayer film-forming material for lithography, underlayer film for lithography, and pattern formation method
US20160145231A1 (en) 2011-08-12 2016-05-26 Mitsubishi Gas Chemical Company, Inc. Resist composition, method for forming resist pattern, polyphenolic compound for use in the composition, and alcoholic compound that can be derived therefrom
EP2743769A1 (en) 2011-08-12 2014-06-18 Mitsubishi Gas Chemical Company, Inc. Resist composition, resist pattern formation method, polyphenol compound used therein, and alcohol compound capable of being derived therefrom
US20170183279A1 (en) 2011-08-12 2017-06-29 Mitsubishi Gas Chemical Company, Inc. Resist composition, method for forming resist pattern, polyphenolic compound for use in the composition, and alcoholic compound that can be derived therefrom
US20140308615A1 (en) 2011-08-12 2014-10-16 Mitsubishi Gas Chemical Company, Inc. Cyclic compound, method for producing same, composition, and method for forming resist pattern
US20140248561A1 (en) * 2011-08-12 2014-09-04 Mitsubishi Gas Chemical Company, Inc. Resist composition, method for forming resist pattern, polyphenolic compound for in the composition, and alcoholic compound that can be derived therefrom
US9908831B2 (en) 2011-08-12 2018-03-06 Mitsubishi Gas Chemical Company, Inc. Resist composition, method for forming resist pattern, polyphenolic compound for use in the composition, and alcoholic compound that can be derived therefrom
CN103733136A (zh) 2011-08-12 2014-04-16 三菱瓦斯化学株式会社 光刻用下层膜形成材料、光刻用下层膜及图案形成方法
US20130056653A1 (en) 2011-09-02 2013-03-07 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
JP2013064978A (ja) 2011-09-02 2013-04-11 Shin Etsu Chem Co Ltd ポジ型レジスト材料及びパターン形成方法
US20130056654A1 (en) 2011-09-06 2013-03-07 Shin-Etsu Chemical Co., Ltd. Positive resist composition and patterning process
JP2013068928A (ja) 2011-09-06 2013-04-18 Shin Etsu Chem Co Ltd ポジ型レジスト材料及びパターン形成方法
US20130084705A1 (en) 2011-09-30 2013-04-04 Jsr Corporation Method for forming pattern, and composition for forming resist underlayer film
JP2013083939A (ja) 2011-09-30 2013-05-09 Jsr Corp レジスト下層膜形成用組成物、レジスト下層膜及びその形成方法、並びにパターン形成方法
JP2013083833A (ja) 2011-10-11 2013-05-09 Shin Etsu Chem Co Ltd レジスト下層膜形成材料及びパターン形成方法
US20130087529A1 (en) 2011-10-11 2013-04-11 Shin-Etsu Chemical Co., Ltd. Resist underlayer film composition and patterning process using the same
JP2013087173A (ja) 2011-10-17 2013-05-13 Mitsubishi Gas Chemical Co Inc 新規エポキシ化合物及びその製造方法
US20140319097A1 (en) 2011-11-02 2014-10-30 Dongjin Semichem Co., Ltd Phenol monomer, polymer for forming a resist underlayer film including same, and composition for a resist underlayer film including same
WO2013066067A1 (ko) 2011-11-02 2013-05-10 주식회사 동진쎄미켐 페놀계 단량체, 이를 포함하는 레지스트 하층막 형성용 고분자 및 이를 포함하는 레지스트 하층막 조성물
JP2013137524A (ja) 2011-11-30 2013-07-11 Fujifilm Corp パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜、電子デバイスの製造方法及び電子デバイス
US20140248556A1 (en) 2011-11-30 2014-09-04 Fujifilm Corporation Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition, resist film, method for manufacturing electronic device, and electronic device
US20150037735A1 (en) 2012-03-16 2015-02-05 Institute Of Chemistry, Chinese Academy Of Sciences Molecular glass photoresists containing bisphenol a framework and method for preparing the same and use thereof
CN103304385A (zh) 2012-03-16 2013-09-18 中国科学院化学研究所 含双酚a骨架结构的分子玻璃光刻胶及其制备方法和应用
JP2015514691A (ja) 2012-03-16 2015-05-21 中国科学院化学研究所 ビスフェノールa骨格構造含有の分子性ガラスフォトレジスト及びその製造方法並びに応用
JP2013253161A (ja) 2012-06-06 2013-12-19 Denki Kagaku Kogyo Kk 光学部品用接着剤組成物
WO2013184755A2 (en) 2012-06-07 2013-12-12 Georgia State University Research Foundation, Inc. Seca inhibitors and methods of making and using thereof
WO2014050690A1 (ja) 2012-09-26 2014-04-03 富士フイルム株式会社 半硬化物、硬化物およびそれらの製造方法、光学部品、硬化樹脂組成物ならびに化合物
CN103804196A (zh) 2012-11-06 2014-05-21 中国科学院理化技术研究所 星形金刚烷衍生物分子玻璃及其制备方法、应用
US20150376158A1 (en) 2013-02-08 2015-12-31 Mitsubishi Gas Chemical Company, Inc. Compound, material for forming underlayer film for lithography, underlayer film for lithography and pattern forming method
US10377734B2 (en) 2013-02-08 2019-08-13 Mitsubishi Gas Chemical Company, Inc. Resist composition, method for forming resist pattern, polyphenol derivative for use in the composition
US20150376157A1 (en) 2013-02-08 2015-12-31 Mitsubishi Gas Chemical Company, Inc. Resist composition, method for forming resist pattern, polyphenol derivative for use in the composition
WO2014123032A1 (ja) 2013-02-08 2014-08-14 三菱瓦斯化学株式会社 レジスト組成物、レジストパターン形成方法及びそれに用いるポリフェノール誘導体
US20150376202A1 (en) 2013-02-08 2015-12-31 Mitsubishi Gas Chemical Company, Inc. Compound, material for forming underlayer film for lithography, underlayer film for lithography and pattern forming method
US20150368224A1 (en) 2013-02-08 2015-12-24 Mitsubishi Gas Chemical Company, Inc. Novel allyl compound and method for producing the same
JP2014196288A (ja) 2013-03-04 2014-10-16 国立大学法人東京工業大学 ジナフトチオフェン化合物並びにジナフトチオフェン骨格を含む重合体及びその製造方法
JP2014205746A (ja) 2013-04-11 2014-10-30 Jsr株式会社 着色組成物、着色硬化膜及び表示素子
JP2015018223A (ja) 2013-06-11 2015-01-29 信越化学工業株式会社 下層膜材料及びパターン形成方法
US9136121B2 (en) 2013-06-11 2015-09-15 Shin-Etsu Chemical Co., Ltd. Underlayer film-forming composition and pattern forming process
US20140363958A1 (en) 2013-06-11 2014-12-11 Shin-Etsu Chemical Co., Ltd. Underlayer film-forming composition and pattern forming process
JP2015018220A (ja) 2013-06-11 2015-01-29 信越化学工業株式会社 下層膜材料及びパターン形成方法
US20140363955A1 (en) 2013-06-11 2014-12-11 Shin-Etsu Chemical Co., Ltd. Underlayer film-forming composition and pattern forming process
US20140363957A1 (en) 2013-06-11 2014-12-11 Shin-Etsu Chemical Co., Ltd. Underlayer film-forming composition and pattern forming process
JP2015018221A (ja) 2013-06-11 2015-01-29 信越化学工業株式会社 下層膜材料及びパターン形成方法
WO2014199660A1 (ja) 2013-06-14 2014-12-18 Dic株式会社 エポキシ化合物、エポキシ樹脂、硬化性組成物、その硬化物、半導体封止材料、及びプリント配線基板
US20160130243A1 (en) 2013-06-14 2016-05-12 Dic Corporation Epoxy compound, epoxy resin, curable composition, cured product thereof, semiconductor sealing material, and printed circuit board
CN104557552A (zh) 2013-10-22 2015-04-29 中国科学院理化技术研究所 一种星形四苯基乙烯衍生物分子玻璃、正性光刻胶、正性光刻胶涂层及其应用
JP2015087115A (ja) 2013-10-28 2015-05-07 日立Geニュークリア・エナジー株式会社 中性子数分析装置および放射線計測装置
US10303055B2 (en) 2014-03-13 2019-05-28 Mitsubishi Gas Chemical Company, Inc. Resist composition and method for forming resist pattern
US9274426B2 (en) 2014-04-29 2016-03-01 Az Electronic Materials (Luxembourg) S.A.R.L. Antireflective coating compositions and processes thereof
US20150309403A1 (en) 2014-04-29 2015-10-29 Az Electronic Materials (Luxembourg) S.A.R.L. Antireflective coating compositions and processes thereof
US20170349564A1 (en) * 2014-12-25 2017-12-07 Mitsubishi Gas Chemical Company, Inc. Compound, resin, material for forming underlayer film for lithography, underlayer film for lithography, pattern forming method, and purification method
EP3279190A1 (en) 2015-03-31 2018-02-07 Mitsubishi Gas Chemical Company, Inc. Resist composition, method for forming resist pattern, and polyphenol compound used therein
US20180074402A1 (en) 2015-03-31 2018-03-15 Mitsubishi Gas Chemical Company, Inc. Resist composition, method for forming resist pattern, and polyphenol compound used therein
US20180208703A1 (en) 2015-07-22 2018-07-26 Mitsubishi Gas Chemical Company, Inc. Compound, resin, material for forming underlayer film for lithography, composition for forming underlayer film for lithography, underlayer film for lithography, resist pattern forming method, circuit pattern forming method, and purification method
JP2020121687A (ja) 2019-01-31 2020-08-13 横浜ゴム株式会社 空気入りタイヤ

Non-Patent Citations (45)

* Cited by examiner, † Cited by third party
Title
Ahmed Munir et al., The Direct Bradsher Reaction. Part I. Synthesis of Thiophen Analogues of Linear Polycyclic Hydrocarbons, Journal of the Chemical Society, Perkin Transactions 1,1973, pp. 1099-1103.
Areephong, Jetsuda, et al., "A concise synthesis of functionalized 7-oxa-[5]-helicenes," Tetrahedron Letters, 2004, vol. 45, pp. 3067-3070.
Bentley, K. W., and Robinson, R., "A Synthesis of alpha-Anhydrotrimethylbrazilone," Tetrahedron Letters, 1959, vol. 1, Issue 2, pp. 11-14.
Brecher, Jonathan, Graphical Representation Standards for Chemical Structure Diagrams, Pure Appl. Chem., 2008, pp. 277-410, vol. 80, No. 2, Cambridge, Massachusetts.
Burnett, James C., et al. "Novel small molecule inhibitors of botulinum neurotoxin A metalloprotease activity," Biochemical and Biophysical Research Communications, vol. 310, No. 1, Oct. 2003, pp. 84-93.
Cameron, Donald W., et al., "Synthesis of a natural polychloro dinaphthofuran quinone," Tetrahedron Letters, 1980, vol. 21(14), pp. 1385-1386.
Chatterjea, J.N., "Experiments on the Syntheses of Furano Compounds. Part XII. Further Transformations of isoCoumaranone," Journal of the Indian Chemical Society, 1957, vol. 34, Issue 4, pp. 299-305.
Clowes, G. A., et al., "Studies of the Scholl reaction: Oxidative Dehydrogenation involving 1-Ethoxynaphthylenen and Related Compounds," J Chem. Soc (C) 2519-2526 (1968).
Dann, von Otto, and Hofmann, Hans, Synthese von ( )-Brasilin, Justus Liebigs Annalen der Chemie, 1963, vol. 667, Issue 1, pp. 116-125.
English Translation of JP H01-283280 A, Nov. 14, 1989.
European Journal of Medicinal Chemistry, published bi-monthly, Ejmcs, 13(4): 381-385 (1978).
Ghodratbeigi Mohsen et al., "Design, modeling and synthesis of molecular tweezers with self-assembly Properties," Journal of Molecular Structure, 2011, vol. 990, No. 1, pp. 140-151.
Hagihara K. et al., "The effect of Ti-addition on plastic deformation and fracture behavior of directionally solidified NliAl/Cr(Mo) eutetic alloys," Intermetallics, 2006, vol. 14, No. 10, pp. 1326-1331.
Hannuksela, Miska M. et al., "Hook for scalable extensions: video parameter set," Joint Collaborative Team on Video Coding (JCT-VC) of ITU-T SG 16 WP 3 and ISO/IEC JTC 1/SC 29/WG 11, May 2012, pp. 1-6.
International Preliminary Report on Patentability issued in International Application No. PCT/JP2012/070304.
International Search Report dated Feb. 25, 2014 for PCT/JP2012/051775 and English translation of the same (4 pages).
International Search Report dated Feb. 9, 2016, for PCT/JP2015/084907 and English translation of the same (7 pages).
International Search Report dated Mar. 25, 2014 for International Application No. PCT/JP2014/052524 with English Translation (8 pages).
International Search Report dated May 13, 2014 for International Application No. PCT/JP2014/052530 with English Translation (8 pages).
International Search Report dated Oct. 23, 2012 issued in International Application No. PCT/JP2012/070304.
International Search Report dated Sep. 11, 2012 for International Application No. PCT/JP2012/070305 with English Translation (5 pages).
International Search Report for PCT/JP2014/051775 dated Feb. 25, 2014 and English translation (4 pages).
International Search Report on Patentability for PCT/JP2016/056332 dated May 31, 2016; English translation submitted herewith (11 pages).
International Search Report on Patentability for PCT/JP2016/056333 dated May 24, 2016; English translation submitted herewith (7 pages).
Jha Amitabh and Beal Jennifer, "Convenient synthesis of 12H-benzo[a]xanthenes from 2-tetralone," Tetrahedron Letters, 2004, vol. 45, No. 49, pp. 8999-9001.
Journal of the Chemical Society, p. 5336-5341 (Nov. 1963).
Luo, Junfei et al., "Salicylic acids as readily available starting materials for the synthesis of meta-substituted biaryls," ChemComm, 2015, vol. 51, pp. 3127-3130.
Machine English Translation of JP 2008-239868 A, Oct. 9, 2008.
Massif, Cedrik, et al. "New insights into the water-solubilisation of fluorophores by post-synthetic ‘click’ and Sonogashira reactions," Organic & Biomolecular Chemistry, vol. 10, No. 22, Apr. 2012, pp. 4430-4336.
Nature, 161:930-931 (1948).
Nishiyama Tomihiro et al., Antioxidant activities of fused heterocyclic compounds, xanthene-2,7-diols with BHT or Catechol skeleton, Polymer Degradation and Stability, 1998, vol. 62, No. 3, pp. 529-534.
Ohishi Takeshi. Tetrahedron Letters 42 (2001) 2493-2496.
Osman A-M, Reactions Between Chloro-p-benzoquinones and Beta-Naphtol, Journal of Organic Chemistry, 1957, vol. 22, pp. 342-344.
Percec, Virgil, et al., "Synthesis of Aromatic Polyethers by Scholl Reaction. VI. Aromatic Polyethers by Cation-Radical Polymerization of 4,4′-, 3,3′-, and 2-2′-Bis(1-naphthoxy)biphenyls and of 1,3-Bis(1-naphthoxy)benzene," Macromolecules, 1992, vol. 25(1), pp. 64-74.
Percec, Virgil, et al., Synthesis of Aromatic Polyethers by Scholl Reaction. I. Poly(1,1′-Dinaphthyl Ether Phenyl Sulfone)s and Poly(1,1′-Dinaphthyl Ether Phenyl Ketone)s, Journal of Polymer Science: Part A: Polymer Chemistry, 1988, vol. 26, pp. 783-805.
Protiva, Miroslav et al., Potential metabolites of tricyclic neuroleptics: 2,8-dihydroxy and 3,8-dihydroxy derivatives of 10-(4-methylpiperazino)-10,11-dihydrodibenzo[b,fJthiepin, Part CXXXIII in the series Neurotropic and Psychotropic Agents, Collection of Czechoslovak Chemical Communications, 1979, vol. 44, No. 10, pp. 2987-2996.
Protiva, Miroslav, et al., "Potential metabolites or tricyclic neuroleptics 3,7-dimethoxy and 7,8-dimethoxy derivatives of 10-{4-methylpiperazino )-10,11-dihydrodibenzo[b,f]thiepin", Collection of Czechoslovak Chemical Communications, 1981, vol. 46, pp. 1808-1817.
Shinji Okazaki et al., "New Trends of Photoresists", CMC Publishing Co., Ltd., Sep. 2009, p. 211-259.
Singh Ritesh and Panda Gautam, "Scandium triflate-catalyzed one-pot domino approach towards general and efficient syntheses of unsymmetrical 9-substituted xanthene derivatives," Organic & Biomolecular Chemistry, 2010, vol. 8, No. 5, pp. 1097-1105.
Sirkecioglu Okan et al., A Novel Synthesis of 14-(Hydroxymethylalkyl) Derivatives of Dibenzoxanthenes and 3,3-Dimethyl-4-(2-hydroxy-1-naphthyl)benzo[fJchroman, Journal of Heterocyclic Chemistry, Mar. 1, 1998, vol. 35, No. 2, pp. 457-460.
Sirringhaus Henning et al., Dibenzothienobisbenzothiophene—a novel fused-ring oligomer with high field-effect mobility, Journal of Materials Chemistry, 1999, vol. 9, pp. 2095-2101.
Skandinavisches Archiv fuer Physiologie, 43: 215-243 (1923).
T. Nakayama, M. Nomura, K. Haga, M. Ueda: "A New Three-Component Photoresist Based on Calix[4]resorcinarene Derivative, a Cross-linker, and a Photo-acid Generator" Bull. Chem. Soc. Jpn., 71, 2979 (1998).
Tian-jun Liu, Ke-shen Zhang, Yong-jun Chen, Dong Wang and Chao-jun Li, "Chiral Conjugated Oligomer Based on 1, 1′-Binol With 3, 3 ′—Acetylene—Phenylene-Acetylene Spacer", Chinese Journal of Polymer Science, Mar. 8, 2001, vol. 19, No. 5, p. 521-526.
Written Opinion of the International Searching Authority issued in International Application No. PCT/JP2012/070304 (including translation), dated Oct. 23, 2012.

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210206901A1 (en) * 2018-08-24 2021-07-08 Mitsubishi Gas Chemical Company, Inc. Compound, composition containing the same, method for forming resist pattern and method for forming insulating film
US20210331994A1 (en) * 2018-08-24 2021-10-28 Mitsubishi Gas Chemical Company, Inc. Compound, composition containing the same, method for forming resist pattern and method for forming insulating film

Also Published As

Publication number Publication date
CN107533291B (zh) 2021-06-11
TWI761302B (zh) 2022-04-21
WO2016158168A1 (ja) 2016-10-06
TW201708943A (zh) 2017-03-01
TW202032270A (zh) 2020-09-01
JP6845991B2 (ja) 2021-03-24
KR102548109B1 (ko) 2023-06-27
EP3279727B1 (en) 2021-06-09
US20180074406A1 (en) 2018-03-15
SG11201706306SA (en) 2017-09-28
KR20170132777A (ko) 2017-12-04
EP3279727A1 (en) 2018-02-07
TWI761818B (zh) 2022-04-21
EP3279727A4 (en) 2018-10-31
CN107533291A (zh) 2018-01-02
JPWO2016158168A1 (ja) 2018-01-25

Similar Documents

Publication Publication Date Title
US11256170B2 (en) Compound, resist composition, and method for forming resist pattern using it
US10377734B2 (en) Resist composition, method for forming resist pattern, polyphenol derivative for use in the composition
US9908831B2 (en) Resist composition, method for forming resist pattern, polyphenolic compound for use in the composition, and alcoholic compound that can be derived therefrom
US11480877B2 (en) Resist composition, method for forming resist pattern, and polyphenol compound used therein
US11852970B2 (en) Material for lithography, production method therefor, composition for lithography, pattern formation method, compound, resin, and method for purifying the compound or the resin
EP3141957B1 (en) Resist material, resist composition, and resist pattern formation method
US20160124303A1 (en) Resist composition

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: MITSUBISHI GAS CHEMICAL COMPANY, INC., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TOIDA, TAKUMI;ECHIGO, MASATOSHI;SATO, TAKASHI;AND OTHERS;SIGNING DATES FROM 20171107 TO 20171108;REEL/FRAME:044482/0593

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCT Information on status: administrative procedure adjustment

Free format text: PROSECUTION SUSPENDED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: AWAITING TC RESP., ISSUE FEE NOT PAID

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction