TWI797215B - 處理基板表面的方法及製作製品的方法 - Google Patents

處理基板表面的方法及製作製品的方法 Download PDF

Info

Publication number
TWI797215B
TWI797215B TW107145154A TW107145154A TWI797215B TW I797215 B TWI797215 B TW I797215B TW 107145154 A TW107145154 A TW 107145154A TW 107145154 A TW107145154 A TW 107145154A TW I797215 B TWI797215 B TW I797215B
Authority
TW
Taiwan
Prior art keywords
substrate
coating
chuck
bonding
bonding surface
Prior art date
Application number
TW107145154A
Other languages
English (en)
Other versions
TW201936271A (zh
Inventor
凱文 艾迪巴
羅伯特艾倫 貝爾曼
金大淵
羅伯喬治 曼利
Original Assignee
美商康寧公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商康寧公司 filed Critical 美商康寧公司
Publication of TW201936271A publication Critical patent/TW201936271A/zh
Application granted granted Critical
Publication of TWI797215B publication Critical patent/TWI797215B/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0433Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases the gas being a reactive gas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/04Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials by exposure to gases
    • B05D3/0486Operating the coating or treatment in a controlled atmosphere
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/08Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface
    • B05D5/083Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures to obtain an anti-friction or anti-adhesive surface involving the use of fluoropolymers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2203/00Other substrates
    • B05D2203/30Other inorganic substrates, e.g. ceramics, silicon
    • B05D2203/35Glass

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Laminated Bodies (AREA)
  • Chemical Vapour Deposition (AREA)
  • Surface Treatment Of Glass (AREA)
  • Drying Of Semiconductors (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

本文描述製品及製作製品的方法,例如玻璃製品,包括片和載體,其中片和載體利用塗層黏結在一起,例如氟碳聚合物塗層,相關沉積方法及鈍氣處理施用於片、載體或二者,以控制塗層的氟含量和片與載體間的凡得瓦、氫與共價鍵。塗層以足夠黏結強度將片與載體黏結在一起,避免片與載體在高溫處理期間分層,並防止高溫處理期間永久黏結,同時維持足夠黏結性,以免高溫處理時分層。

Description

處理基板表面的方法及製作製品的方法
本申請案根據專利法法規主張西元2017年12月15日申請的美國臨時專利申請案第62/599,348號的優先權權益,本申請案依賴該臨時申請案全文內容且該臨時申請案全文內容以引用方式併入本文中。
本發明大體係關於在載體上製作片的方法,更特別係關於製作可控式黏結於處理玻璃載體的玻璃片的方法。
可撓基板能利用捲繞式處理製造更便宜的裝置,及製作更薄、更輕、更可撓又耐用的顯示器。然捲繞式處理高品質顯示器的技術、設備和製程尚未完全開發。因面板製造商已大力投資工具集來處理大片玻璃,故將可撓基板層疊至載體及利用單片式處理在可撓基板上製作顯示器可提供開發更薄、更輕且更可撓顯示器的短期解決方案。顯示器據證已展示於聚合物片上,例如聚萘二甲酸乙二酯(PEN),其中裝置製造為單片式,PEN層疊至玻璃載體。然PEN的溫度上限限制了可用裝置品質和製程。此外,聚合物基板的高滲透性會造成有機發光二極體(OLED)裝置的環境劣化,以致需近密封包裝。膜封裝期可克服限制,但尚未證實能提供可接受量產產率。
以類似方式,顯示裝置可使用層疊至一或更多玻璃基板的玻璃載體製造。玻璃的低滲透性和改善溫度與耐化學性期可獲得更高性能、更長壽命的可撓顯示器。
一些裝置採用彩色濾光片(CF)或非晶矽膜電晶體(a-Si TFT),此一般分別在約250℃及350℃下製造。然包括如氧化銦鎵鋅(IGZO或氧化物TFT)的高溫應用和低溫多晶矽(LTPS)裝置亦很重要。氧化物TFT處理一般在400℃-450℃下進行。在LTPS裝置製造製程中,溫度通常為近600℃或以上。在各處理技術中,亦可使用真空和溼蝕刻環境。該等條件限制可用材料並對載體/片寄予高度要求。故期採用製造商現有資本基礎設施的載體方式,能以高處理溫度處理玻璃,即厚度≤0.3毫米(mm)的玻璃,又不會污染或使玻璃與載體間失去黏結強度,其中玻璃在製程結束時可輕易從載體脫結。此方式應容許:a)載體與片在室溫下自發黏結,較佳不需層疊,以提供100-500毫焦耳/平方公尺(mJ/m2 )的充足結合或黏著能;b)隨後溼式和乾式處理步驟不致使片從載體脫離;c)黏結配對能承受製造的熱、化學、真空和溼式處理步驟;d)熱處理期間有最少釋氣;e)處理結束後很容易分離片與載體。
商業優勢為製造商能利用現有資本投資處理設備,同時獲得薄片優點,例如玻璃片,用於如光伏(PV)結構、OLED、液晶顯示器(LCD)和圖案化膜電晶體(TFT)電子產品。此外,此方式能賦予製程彈性,包括:清洗及表面製備玻璃片和載體的製程,以助於黏結。
已知黏結方法的挑戰為處理多晶矽TFT時的高溫。對手持顯示器、筆記型與桌上型顯示器具更高像素密度、高解析度和快速刷新率及OLED顯示器有更廣泛用途的要求迫使面板製造商從非晶矽TFT背板朝氧化物TFT或多晶矽TFT背板推動。因OLED係電流驅動裝置,故期有高遷移率。多晶矽TFT亦提供驅動器整合和其他部件活化的優點。在多晶矽TFT製程中,摻質活化偏好高溫,理想溫度為超過600℃。
鑒於上述,亟需基板對基板(例如片-載體)製品,並能承受TFT和平面顯示器(FPD)的嚴苛處理,包括高溫處理(無釋氣,此與將行半導體或顯示器製作製程不相容),而容許整片區域(一次全部或分段)自載體移除,使載體得重複用於處理另一片。本說明書描述控制載體與片間黏著的方法,以產生夠強臨時黏結力來耐受TFT與FPD處理(包括在約300℃、約400℃、約500℃和高達至少600℃的溫度下處理,包括其間任何範圍與子範圍),但即使高溫處理後仍夠弱以讓片從載體脫結。控制黏結可用於製造具可再利用載體的製品或載體與片間具圖案化控制黏結區的製品。更特定言之,本發明提供改質或塗佈層(以下稱作「塗層」)(包括各種材料和相關表面熱處理),塗層可提供於片、載體或兩者上,以控制片與載體間的室溫凡得瓦及/或氫鍵和高溫共價鍵。此外,本發明描述沉積用於黏結片與載體的塗層的方法、製備塗層供黏結及將塗層黏結至片與載體的方法。該等方法在部件間產生黏結,使黏結能不會太高而造成部件在電子裝置處理後無法分離,並使黏結能不會太低而損及黏結品質,導致在電子裝置處理期間片與載體間脫結或流體進入。該等方法亦可製造展現低釋氣及耐受高溫處理的玻璃製品,例如a-Si TFT處理和額外處理步驟,例如溼式清洗及乾蝕刻。在替代實施例中,塗層可用於產生各種控制黏結區(其中載體與片仍由各種製程充分黏結,包括真空處理、溼式處理及/或超音波清洗處理)和共價鍵結區以供進一步處理,例如維持載體與片間密封,即使將製品切成小塊供附加裝置處理後亦然。
在第一態樣中,提供處理基板表面的方法,包括下列步驟:a.將基底基板(例如載體)安置在反應室的夾盤上,反應室具有感應耦合電漿線圈和氣體供應入口,夾盤與感應耦合電漿線圈個別連接至電力供應器;b.供應聚合物形成氟氣源至氣體供應入口,及使聚合物形成氟氣源流入反應室,以接觸安置於夾盤的基板,聚合物形成氟氣源包括具式Cx Hz Fy 的氟組分,其中x選自1-4,y選自3-8,z選自0-3,其中當x為1時,y為3,z為1;c.供應電力至感應耦合電漿線圈和夾盤;d.沉積衍生自聚合物形成氟氣源的碳基材料,以於基板上形成塗層;e.使沉積塗層接觸處理氣體而形成處理塗覆基板;及f.自反應室移除處理塗覆基板。
在第一態樣的實例中,碳基材料包括電漿聚合氟聚合物。
在第一態樣的另一實例中,電漿聚合氟聚合物具有小於15%的氟原子表面濃度。
在第一態樣的又一實例中,聚合物形成氟氣源選自CHF3 、C2 F4 、C3 F8 、C4 F8 和上述任一組合物。
在第一態樣的再一實例中,聚合物形成氟氣源不含蝕刻氣體。
在第一態樣的另一實例中,蝕刻氣體包括CF4 、SF6 、NF3 和上述任一組合物的至少一者。
在第一態樣的又一實例中,塗層具有1-10奈米(nm)的平均厚度。
在第一態樣的再一實例中,塗層係單層。
在第一態樣的另一實例中,基底基板係玻璃且具有300微米或以下的厚度。
在第一態樣的又一實例中,在沉積碳基材料以形成塗層的步驟期間,反應室具有小於30托耳的內壓。
在第一態樣的再一實例中,步驟c包括以3-5瓦/平方公尺(W/m2 )供應夾盤電力。
在第一態樣的另一實例中,夾盤以小於60伏特(V)的自偏壓操作。
在第一態樣的又一實例中,處理氣體係氮、氧、氫、二氧化碳氣體和上述組合物的至少一者。
在第一態樣的再一實例中,在沉積塗層接觸處理氣體期間,夾盤以150 V至250 V的自偏壓操作。
在第一態樣的另一實例中,沉積塗層接觸處理氣體0.5-10秒。
在第一態樣的又一實例中,處理塗覆基板的塗層具有黏結表面。
在第一態樣的再一實例中,黏結表面具有小於40%的氟原子表面濃度,其中原子表面濃度係在黏結表面接觸第二基板前測自黏結表面。
在第一態樣的另一實例中,塗層具有小於0.5 nm的表面粗糙度。
在第一態樣的又一實例中,黏結表面具有小於30%的氟原子表面濃度。
在第一態樣的再一實例中,黏結表面具有大於5%的矽原子表面濃度,其中原子表面濃度係在黏結表面接觸第二基板前測自黏結表面。
在第一態樣的另一實例中,黏結表面具有8%至12%的矽原子表面濃度。
在第二態樣中,提供態樣1的方法,進一步包括直接黏結塗層與第二基板而形成製品,其中塗層設在基底基板與第二基板之間,且可直接接觸基底基板和第二基板。
在第二態樣的一實例中,使製品在氮大氣中保持在750℃ 10分鐘後,塗層與第二基板間的黏結能小於800毫焦耳/平方公尺(mJ/m2 )或為約300至約800 mJ/m2
在第二態樣的另一實例中,基底基板和第二基板係玻璃。
在第三態樣中,提供製作製品的方法,包括:a.將基底玻璃基板安置在反應室的夾盤上,反應室具有感應耦合電漿線圈和氣體供應入口,夾盤連接至第一電力供應器,感應耦合電漿線圈連接至第二電力供應器,第一和第二電力供應器為不同且彼此獨立;b.供應聚合物形成氟氣源至氣體供應入口,及使聚合物形成氟氣源流入反應室,以接觸安置於夾盤的基板,聚合物形成氟氣源包括具式Cx Hz Fy 的氟組分,其中x選自1-4,y選自3-8,z選自0-3,其中當x為1時,y為3,z為1;c.供應電力至感應耦合電漿線圈和夾盤,其中夾盤以小於60 V的自偏壓操作;d.沉積衍生自聚合物形成氟氣源的碳基材料,以於玻璃基板上形成塗層;e.使沉積塗層接觸處理氣體而形成處理塗覆基板,其中處理塗覆基板的塗層具有脫開的露出黏結表面,黏結表面具有小於40%的氟原子表面濃度,其中原子表面濃度係在黏結表面接觸第二基板前測自黏結表面;f.自反應室移除處理塗覆基板;及g.直接黏結塗層與第二玻璃基板而形成製品,其中塗層設在基底玻璃基板與第二玻璃基板之間。
在第三態樣的一實例中,黏結表面具有大於5%的矽原子表面濃度,其中原子表面濃度係在黏結表面接觸第二基板前測自黏結表面。
在第三態樣的另一實例中,黏結表面具有8%至12%的矽原子表面濃度。
在第三態樣的又一實例中,黏結表面具有小於20%或約10%-約20%的氟原子表面濃度。
在第三態樣的再一實例中,使製品在氮大氣中保持在750℃ 10分鐘後,塗層與第二基板間的黏結能小於800 mJ/m2 或為約300至約800 mJ/m2
在第三態樣的另一實例中,在沉積塗層接觸處理氣體期間且沉積塗層接觸處理氣體0.5-10秒,夾盤以150 V至250 V的自偏壓操作。
在第三態樣的又一實例中,步驟c包括以3-5 W/m2 供應夾盤電力。
在第三態樣的再一實例中,碳基材料包括電漿聚合氟聚合物。
在第三態樣的另一實例中,電漿聚合氟聚合物包括CHF3 -C4 F8 電漿聚合氟聚合物。
在第三態樣的又一實例中,聚合物形成氟氣源選自CHF3 、C2 F4 、C3 F8 、C4 F8 和上述任一組合物。
在第三態樣的再一實例中,塗層具有1-10 nm的平均厚度。
在第三態樣的另一實例中,碳基材料係在反應室缺少蝕刻氣體下沉積。例如,反應室只包括聚合物形成氟氣源且無其他氣體存在或實質存在。
在第三態樣的又一實例中,蝕刻氣體包括CF4 、SF6 、NF3 和上述任一組合物的至少一者。
以上任一態樣(或態樣實例)可單獨或結合上述態樣的任一或更多實例提供;例如,第一態樣可單獨或結合上述第一態樣的任一或更多實例提供;第二態樣可單獨或結合上述第二態樣的任一或更多實例提供等。
所含附圖提供對本發明原理的進一步瞭解,故當併入及構成說明書的一部分。圖式描繪一些實例,並連同實施方式說明一起用來舉例解釋實例的原理和操作。應理解說明書和圖式所述各種特徵結構可以任何和所有結合方式使用。非限定舉例來說,不同特徵結構可如說明書所述互相結合為態樣。
現將參照附圖,更完整描述示例性實施例於後,其中附圖圖示示例性實施例。各圖中盡可能以相同的元件符號代表相同或相仿的零件。然實施例可以許多不同形式體現,故不應解釋成限定於所述實例。示例性實施例乃提供使本發明更臻完善,並向熟諳此技術者充分傳達申請專利範圍的保護範圍。
本文所用方向用語(例如上、下、右、左、前、後、頂部、底部)僅參考繪圖使用,而無意隱射絕對位向。
本文所用「約」一詞意指用量、尺寸、配方、參數和其他數量與特性並不且未必精確,而是視反映容差、轉換因子、四捨五入、測量誤差等和熟諳此技術者已知其他因子要求為近似值及/或更大或更小值。以「約」一詞描述數值或範圍端點時,本發明應理解成包括指稱特定值或端點。在說明書中,不論數值或範圍端點是否提及「約」字,數值或範圍端點擬包括兩個實施例:其一有「約」字修飾,另一無「約」字修飾。更應理解各範圍的終點相對另一終點係有意義的,並且獨立於另一終點。
本文所用「實質」、「實質上」和變體字等用語擬指所述特徵等於或近乎等於某一數值或敘述。例如,「實質平面」的表面擬指平面或近乎平面的表面。再者,「實質上」擬指二數值相等或近乎相等。在一些實施例中,「實質上」表示數值彼此相差不超過約10%,例如彼此相差不超過約5%或彼此相差不超過約2%。
注意本文所用「實質」和「約」等用語係表示任何定量比較、數值、測量或其他表述引起的固有不確定程度。該等用語在此亦表示定量表述偏離指定參考值、又不致改變論述主題標的的基本功能的程度。故例如,玻璃「不含」或「實質無」B2 O3 係指B2 O3 不主動加入或批入玻璃,而是如污染般以極少量(例如,< 0.001 mol%)存在。類似B2 O3 ,其他組分,例如「不含」或「實質無」氧的化合物,可以相同方式特性化。
茲提供容許在基底基板上處理第二基板(有時稱作「片」)的解決方案,藉此至少部分(包括最多全部)的第二基板(例如玻璃片)保持「不黏結」,使片上處理裝置可自基底基板(例如載體)移除。為維持有利的表面形狀特性,載體通常為顯示器級玻璃基板。是以在一些情況下,載體使用一次後便扔掉既浪費又花錢。故為降低顯示器製造成本,期能重複使用載體來處理一片以上。本發明提出製品和能使片通過如TFT處理線的惡劣環境處理的方法,包括高溫處理,其中高溫處理係在≥400℃的溫度下處理,且視製作裝置類型而異,例如,非晶矽或非晶氧化銦鎵鋅(IGZO)背板處理溫度高達約450℃,結晶IGZO處理溫度高達約500℃-550℃,或LTPS與TFT處理溫度一般高達約600℃-650℃,但仍可輕易自載體移除片,又不損壞片或載體(例如載體與片之一斷裂或破裂成二或更多塊),如此載體可重複使用。
製品
如第1圖及第2圖所示,製品2(例如玻璃製品)具有厚度8,且包括具厚度18的基底基板(例如載體)10、具厚度28的第二基板(例如片)20和具厚度38的塗層30。第二基板20的平均厚度28例如為等於或小於約300微米(mm),包括、但不限於厚度為如約10至約50 mm、約50至約100 μm、約100至約150 μm、約150至約300 μm、約300 μm、約250 μm、約200 μm、約190 μm、約180 μm、約170 μm、約160 μm、約150 μm、約140 μm、約130 μm、約120 μm、約110 μm、約100 μm、約90 μm、約80 μm、約70 μm、約60 μm、約50 μm、約40 μm、約30 μm、約20 μm或約10 μm,包括其間任何範圍與子範圍。
製品2配置成容許第二基板20在設計用於厚片的設備中處理,例如片平均厚度大於或等於約0.4毫米(mm),例如約0.4 mm、約0.5 mm、約0.6 mm、約0.7 mm、約0.8 mm、約0.9 mm或約1.0 mm,然第二基板20本身為等於或小於約300 μm。製品2的厚度8係厚度18、28、38的總和,相當於設備設計處理厚片的厚度,例如設計將電子裝置部件放置到基板片上的設備。在一實例中,若處理設備設計用於700 μm片,片厚度28為約300 μm,假定塗層厚度38可忽略,則厚度18可選擇為約400 μm。即,塗層30未按比例繪製,而是大幅放大以便於說明。此外,第2圖圖示塗層30的局部剖視圖。提供可再利用載體時,塗層30可均勻或實質均勻佈署在黏結表面14上面。通常,平均厚度38為奈米(nm)等級,例如約2 nm至約1 μm、約5 nm至約250 nm或約20 nm至約100 nm、或約30 nm、約40 nm、約50 nm、約60 nm、約70 nm、約80 nm或約90 nm。在另一實例中,厚度38為小於約200 nm、約150 nm、約100 nm、約75 nm、約50 nm、約40 nm、約30 nm、約20 nm或約10nm。塗層30的存在可由表面化學分析偵測,例如利用飛行時間二次離子質譜(ToF SIMS)或X光光電光譜(XPS)。故例如沉積至載體上後,塗層黏結表面的組分原子濃度可在與另一基板黏結前測量。
基底基板10具有第一表面12、黏結表面14和周邊16。基底基板10可為任何適合材料,包括玻璃。基底基板可為非玻璃材料,例如陶瓷、熔融矽石、玻璃-陶瓷、矽、金屬或上述組合物(因表面能及/或黏結可以類似下述玻璃載體相關方式控制)。若由玻璃製成,基底10可為任何適合組成,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽,且可視最終應用為含鹼或無鹼。另外,在一些實例中,由玻璃、玻璃-陶瓷或其他材料製成時,基底基板黏結表面可由塗層或置於底下基板塊材上的金屬材料層製成。厚度18可為約0.2至約3 mm或以上,例如約0.2 mm、約0.3 mm、約0.4 mm、約0.5 mm、約0.6 mm、約0.65 mm、約0.7 mm、約1.0 mm、約2.0 mm或約3.0 mm或以上,包括其間任何範圍與子範圍,如上所述,此將視厚度28和厚度38(若厚度38不可忽略)而定。在一些實施例中,基板10的平均厚度18大於第二基板20的厚度28。在一些實施例中,厚度18小於厚度28。在一些實施例中,所示基板10由單層或黏結在一起的多層(包括多片)製成。在此,所述單層係指單一塗層沉積步驟形成層。故多個塗層沉積步驟將形成多個塗層。另外,基底基板可為一代尺寸或更大,例如二代、三代、四代、五代、八代或更大(例如片尺寸為約100毫米×100毫米至約3公尺×3公尺或更大)。
第二基板20具有第一表面22、黏結表面24和周邊26。周邊16(基板10)與26(第二基板20)可為任何適合形狀,且彼此可為相同或不同。另外,第二基板20可為任何適合材料,包括玻璃、陶瓷、玻璃-陶瓷、矽、金屬或上述組合物。如前文就基板10所言,由玻璃製成時,第二基板20可為任何適合組成,包括鋁矽酸鹽、硼矽酸鹽、鋁硼矽酸鹽、鈉鈣矽酸鹽,且可視最終應用為含鹼或無鹼。第二基板的熱膨脹係數可匹配成實質同於基底基板,以減少高溫處理期間任何製品翹曲。第二基板20的平均厚度28為約300 μm或以下,如上所述,例如約200 μm或約100 μm或上述厚度。另外,第二基板20可為一代尺寸或更大,例如二代、三代、四代、五代、八代或更大(例如片尺寸為約100毫米×100毫米至約3公尺×3公尺或更大)。
製品2具有適應現有設備處理的厚度,亦可耐受進行處理的惡劣環境。例如,TFT處理可在高溫下施行,例如³約200℃、³300℃、³400℃暨至多小於500℃。對於一些製程,如上所述,溫度可為³約200℃、³約250℃、³約300℃、³約350℃、³約400℃、³約500℃、³約600℃、³約700℃暨至多小於750℃,包括其間任何範圍與子範圍。
為耐受製品2處理的惡劣環境,黏結表面14應以足夠強度黏結於黏結表面24,使第二基板20不會自發性與基底基板10分離。整個處理過程應維持強度,使第二基板20在處理期間不與基板10分離。另外,為容許第二基板20自基板10移除(如此基板10可重複使用,例如載體),最初設計黏結力及/或因製品如經高溫處理而改變最初設計黏結力所產生的黏結力不應將黏結表面14與黏結表面24黏結得太牢固,例如溫度³約200℃、³約300℃、³約400℃、³約500℃、³約600℃、³約700℃暨至多小於750℃,包括其間任何範圍與子範圍。
塗層30可用於控制黏結表面14與黏結表面24間的黏結強度,以達上述目的。藉由調節第二基板20和基板10的極性與非極性表面能分量控制來控制凡得瓦(及/或氫鍵)和共價吸引能對總黏著能的貢獻,以達成控制黏結力。或者,塗層30可完全覆蓋基板的黏結表面(例如黏結表面14)及出示塗層黏結表面(特性與該黏結表面無關)來耦接另一基板的另一黏結表面(例如黏結表面24)。控制黏結強度足以耐受TFT處理,例如包括溫度³約200℃,在一些情況下,處理溫度³約200℃、³約250℃、³約300℃、³約350℃、³約400℃、³約450℃、³約500℃、³約550℃、³約600℃、³約700℃暨至多小於750℃,包括其間任何範圍與子範圍,且在施加足以分離基板的力時,仍可脫結,但不會造成明顯破壞第二基板20及/或基板10。例如,施力應不致使第二基板20或基板10斷裂。脫結後可移除第二基板20和製造於上的裝置,亦容許基板10重新使用做為載體。
雖然所示塗層30係在第二基板20與基板10間的固體層,但不一定如此。例如,塗層30可為約0.1 nm至約1 mm厚(例如約1 nm至約10 nm、約10 nm至約50 nm、約50 nm至約100 nm、約250 nm、約500 nm至約1 μm),且可不完全覆蓋整個黏結表面14。例如,黏結表面14的覆蓋率可為£100%、黏結表面14的約1%至約100%、約10%至約100%、約20%至約90%或約50%至約90%,包括其間任何範圍與子範圍。在一些實施例中,塗層30係單層,在其他實施例中,塗層30實質為單層。在其他實施例中,層30高達約50 nm厚,或在其他實施例中,甚至高達約100 nm至約250 nm厚。塗層30可視為置於基板10與第二基板20間並直接接觸基板10和第二基板20。在其他實施例中,塗層30改變黏結表面14與黏結表面24的黏結力,從而控制基板10與第二基板20間的黏結強度。塗層30的材料與厚度和黏結前的黏結表面14、24處理及控制黏結表面的氟、碳及/或矽含量可用於控制基板10與第二基板20間的黏結強度(黏著能)。
塗層組成
可用於塗層的材料實例包括氟碳化物,特別係氟碳聚合物。氟碳聚合物可由聚合物形成氟氣源沉積氣體至基底基板或第二基板上而形成,包括至少一含氟碳化物單體化合物。
一類做為氣源的含氟碳化物單體係具式Cx Hz Fy 的化合物,其中x選自1-4,z選自0-3,y選自3-8,其中當x為1時,y為3,z為1。氟碳化合物實例包括CHF3 、C2 F4 、C3 F8 、C4 F8 和上述任一組合物。
在一些實施例中,聚合物形成氟氣源包括附加氣體和一或更多含氟碳化物單體化合物。在其他實施例中,氣源較佳不含蝕刻氣體,例如CF4 、SF6 和NF3
塗層沉積
製備所述製品時,塗層可先沉積至基底基板上。在製備基底基板(例如載體)供第3圖所示塗層沉積的示例性製程中,基底基板先傳送到裝載閘模組100,在此使基底基板周圍壓力變真空及提高基底基板溫度。隨後,將基底基板傳送到移送模組200,同時保持真空,並將基底基板傳送到處理模組300,在此沉積塗層。
裝載閘模組100具有第一門板102,材料(例如玻璃基底基板)由此傳送到裝載閘室101。裝載閘模組100包括封閉裝載閘室101與外在環境的室壁103、自裝載閘室移除氣體的第一真空泵104、從氣源106引入氣體到裝載閘室101的第一氣體供應入口105、加熱裝載閘室內材料的加熱燈107和由此傳送材料至移送模組200的第二門板202。第一門板102和第二門板202可打開讓材料通過,也可關閉而封閉裝載閘室101與外在環境。
移送模組200經由第二門板202連接至裝載閘模組100。移送模組200具有第二門板202,移送室201由此接收裝載閘室101的材料。移送模組200包括封閉移送室201與外在環境的室壁203、移除移送室201內氣體的第二真空泵204、從氣源206引入氣體到移送室201的第二氣體供應入口205和由此傳送材料至處理模組300的第三門板302。第二門板202和第三門板302可打開讓材料通過,也可關閉而封閉移送室201與外在環境。
處理模組300經由第三門板302連接至移送模組200。處理模組300具有第三門板302,處理室301由此接收移送室201的材料。處理模組300包括封閉處理室301與外在環境的室壁303、自處理室301移除氣體的第三真空泵304、由此從一或更多第三氣源306a/306b輸送氣體到處理室的第三氣體供應入口305、將第三氣體供應入口305的氣體轉化成電漿的感應耦合電漿(「ICP」)線圈310和供材料(例如基底基板)安置於上的靜電夾盤(「夾盤」)308。第三門板302可打開讓材料通過,也可關閉而封閉處理室301與外在環境。第三氣體供應入口305可連接至多個氣源306a/306b,使一或更多氣體同時或分別經由第三氣體供應入口305進給處理室301。氣源的氣體實例包括用於形成塗層的氟碳氣體、用於淨化處理室的淨化氣體(例如氮)、用於清洗處理室的清洗氣體(例如氧)和鈍氣(例如氬)。ICP線圈310和夾盤308連接至電力供應器309,較佳為個別(參見309a/309b),以予供電。處理模組300亦具有至少一機構或裝置,例如加熱器,以控制室壁303和夾盤308的溫度。
在一些實施例中,利用上述系統沉積塗層的操作包括下列步驟。打開第一門板102,將基底基板傳送到裝載閘室101。關閉第一門板102,封閉裝載閘室101與外在環境,利用第一真空泵104來移除裝載閘室101內氣體,以產生預定真空度。裝載閘室101內的示例性真空度為小於1×10-4 托耳、小於5×10-5 托耳或小於1×10-5 托耳。加熱燈107操作以加熱基底基板達預定溫度。基底基板的預定溫度實例為約65℃至約95℃、約70℃至約90℃或約75℃至約85℃。透過第二真空泵204操作使移送室201處於預定真空度(例如和裝載閘室101一樣),打開第二門板202,將基底基板從裝載閘室101傳送到移送室201,及再次關閉第二門板202而密封腔室201。裝載閘室101接著選擇性用從第一氣源106經由第一氣體供應入口105輸送的氣體淨化,以備引入另一基底基板。透過第三真空泵304操作使處理室301處於預定真空度(例如和移送室201一樣),打開第三門板302,將基底基板從移送室201傳送到處理室301,及再次關閉第三門板302而密封腔室301。移送室201接著選擇性用從第二氣源206經由第二氣體供應入口205輸送的氣體淨化,然後使用第二真空泵204回到預定真空度,以備引入另一基底基板。在處理室301中,室壁303和夾盤308維持在預定溫度,基底基板放在夾盤308上,聚合物形成氟碳氣體從第三氣源306a經由氣體供應入口305輸送。在一些實施例中,室壁303保持高於約45℃、高於約50℃、高於約55℃。夾盤可保持高於約25℃、高於約30℃、高於約35℃。電力供應ICP線圈310以產生氟碳電漿,電力並供應夾盤308而於夾盤產生電荷,將碳氟電漿吸引到置於夾盤308上的基底基板,致使氟碳電漿如電漿聚合塗層沉積於基底基板的黏結表面。第一電力供應器309a以約11至約17千瓦(kW)、約12至約16 kW或約13至約15 kW供應ICP線圈310電力,以由聚合物形成氟氣產生電漿。第二電力供應器309b以約1至約7瓦/平方公尺(W/m2 )、約2至約7 W/m2 或約3至約5 W/m2 供應夾盤308電力。供應電力在夾盤308形成約35至約65伏特(V)、約40至約60 V或約45至約55 V的自偏壓。自偏壓容許塗層材料在基底基板上有效堆積,同時最小化基底基板蝕刻。供應夾盤308的功率偏壓為約1至約2千伏(kV)、約1.2至約1.8 kV或約1.4至約1.6 kV。塗層較佳具有約0.1至約20 nm、約0.5至約15 nm或約1至約10 nm的平均厚度。基底基板可接觸氟碳電漿約0.5至約10秒或約1至約7秒。
沉積塗層後,第三真空泵304操作以自處理室301移除聚合物形成氣體、電漿和任何殘餘氣體。選擇性表面處理塗層後,如後文所詳述,打開第三門板302,將具沉積塗層於上的基底基板從處理室301傳送到移送室201,並保持真空。關閉第三門板302,打開第二門板202,將具塗層的基底基板從移送室201傳送到裝載閘室101,並保持真空。關閉第二門板202,打開第一門板102,使具塗層的基底基板回復標準溫度與壓力條件。或者,基板可經由具類似上述相關移送模組200與裝載閘模組100的另一移送室與另一裝載閘室的另一路徑離開處理室301。
塗層的表面能
如本文所述,塗層的表面能係當塗層存於基底基板上時,塗層露出表面(例如黏結表面)的表面能度量。依表面(包括極性和色散分量)測量,塗層可提供表面能約48至約75 mJ/m2 的黏結表面,藉此表面將與另一基板產生非永久性黏結。
通常,塗層的表面能可在沉積及/或進一步處理後測量。在任何進一步表面活化步驟前,剛沉積塗層(沉積層後尚未對層施行任何進一步處理)的表面能通常為約48至約60 mJ/m2 或約50至約58 mJ/m2 ,或等於或大於約50 mJ/m2 、或等於或小於約60 mJ/m2 。進一步處理後,例如,表面能可增加為約75 mJ/m2 或以下,此提供與玻璃片自播(self-propagating)黏結,如此組裝製品的生產時間將變合宜且符合成本效益。二表面能範圍(剛沉積和進一步處理後)亦可有效控制在高溫下黏結,以防止兩片彼此永久黏結。
藉由測量在空氣中個別沉積於固體表面的三個液體(水、二碘甲烷和十六烷)的靜態接觸角,可間接測量固體表面的表面能。所述表面能係依據Wu模型測定(參見「S. Wu, J. Polym. Sci. C, 34, 19, 1971」)。在Wu模型中,表面能(包括總體、極性和色散分量)係擬合理論模型與三個測試液體(水、二碘甲烷和十六烷)的三個接觸角而測量。從三個液體的接觸角值進行回歸分析,計算固體表面能的極性和色散分量。用於計算表面能值的理論模型包括下列三個獨立方程式,此與三個液體的三個接觸角值和固體表面(以下標「S」表示)的表面能的色散與極性分量及三個測試液體有關:
Figure 02_image001
(1)
Figure 02_image003
(2)
Figure 02_image005
(3)
其中,下標「W」、「D」和「H」分別代表水、二碘甲烷和十六烷,上標「d」和「p」分別代表表面能的色散和極性分量。由於二碘甲烷和十六烷本質上為非極性液體,故上述三個方程組可簡化成:
Figure 02_image007
(4)
Figure 02_image009
(5)
Figure 02_image011
(6)
可利用回歸分析,從上述三個方程組(4-6)計算兩個未知參數:固體表面的色散和極性表面能分量gS d 和gS p 。然採取此方式時,可測量固體表面的表面能有極限最大值。極限最大值為水的表面張力:73 mJ/m2 。若固體表面的表面能明顯大於水的表面張力,則表面將完全浸水,致使接觸角接近零。因此,超過此表面能值時,無論實際表面能值為何,所有計算表面能值將對應約73-75 mJ/m2 。例如,若二固體表面的實際表面能為75 mJ/m2 和150 mJ/m2 ,對二表面而言,使用液體接觸角計算值都將為約75 mJ/m2
故所述所有接觸角測量係在空氣中將液滴置於固體表面及測量接觸線處固體表面與液氣界面間的角度。因此,主張表面能值為40 mJ/m2 至75 mJ/m2 時,應理解該值對應基於上述方法計算的表面能值、而非實際表面能值,當計算值接近實際表面能值時,此可大於75 mJ/m2
沉積塗層後,可選擇性退火。退火可用於移除塗層中的部分聚合材料。移除可有效減少塗層在如高溫處理第一基板和第二基板期間釋氣。減少釋氣能在高溫下產生更強黏結,而可更順利處理基板。退火可在快速熱處理系統(RTP)進行。退火溫度可高於100℃,例如高於200℃、高於300℃、高於400℃、高於500℃、高於600℃或高於700℃。退火可在包括如氮、氧或空氣的大氣中進行。退火時間可為15秒或以上,例如30秒或以上、45秒或以上、1分鐘或以上、2分鐘或以上、3分鐘或以上、4分鐘或以上、5分鐘或以上、6分鐘或以上、7分鐘或以上、8分鐘或以上、9分鐘或以上、或10分鐘或以上。退火的時間和溫度可視塗層組成而異。
塗層的表面處理
在處理模組中沉積塗層後,可在處理模組內處理塗層表面,以達成更理想性質而與第二基板黏結。經由氣體供應入口供給處理氣體(例如氮),同時供電給ICP線圈來產生處理氣體電漿可使塗層的表面能增加至更適合與第二基板黏結的程度。此外,在處理氣體電漿處理期間供電給夾盤可將塗層中的氟原子漸漸推向塗層-基底基板界面,此亦可使塗層黏結表面的表面能增加至更適合與第二基板黏結的程度。在一些實施例中,塗層經處理後的表面粗糙度為小於約0.7 nm、小於約0.6 nm或小於約0.5 nm。塗層經處理後的黏結表面具有小於40%、小於35%、小於30%、小於25%、小於20%或小於15%的氟原子表面濃度。
表面處理後,將處理基板從處理模組移動到移送模組、再至裝載閘模組,最後完全移出系統。
在示例性表面處理中,沉積塗層及利用第三真空泵304或藉由淨化處理模組來移除塗層氣體後,將處理氣體從第三氣源306b經由第三氣體供應入口305引入處理室301。室壁303和夾盤308維持在和塗層沉積時一樣的溫度,例如約60℃或以上。電力供應ICP線圈310來產生處理氣體電漿並供應夾盤308而於夾盤產生電荷,以將處理氣體電漿吸引到夾盤308,使處理氣體電漿得提高基底基板上的塗層黏結表面的表面能。第一電力供應器309a以約11至約17 kW、約12至約16 kW或約13至約15 kW供應ICP線圈310電力,以由氣體產生電漿。第二電力供應器309b以約50至約140 W/m2 、約55至約135 W/m2 或約60至約130 W/m2 供應夾盤308電力。供應電力在夾盤308形成約150至約250 V、約170至約230 V或約190至約210 V的自偏壓。自偏壓造成塗層中的氟原子漸漸移向基底基板,又不會使處理氣體電漿有太多能量引起物理轟擊而毀壞塗層。故相較於不可行的低自偏壓處理(例如小於150、125或100 V),高自偏壓(例如約150-200 V)容許存於塗層的氟與基底基板表面界面反應及黏結,以供碳基材料或膜堆積於耗盡氟的塗層黏結表面。供應夾盤308的功率偏壓為約1至約2 kV、約1.2至約1.8 kV或約1.4至約1.6 kV。塗層可接觸處理氣體電漿約0.5至約10秒,較佳為約1至約9.5秒、約2至約9秒或約2.5至約8.5秒。表面處理塗層後,第三真空泵304操作以自處理室301移除氣體、電漿和殘餘氣體。接著,如上所述,打開第三門板302,將具表面處理塗層的基底基板從處理室301傳送到移送室201,並保持真空。關閉第三門板302,打開第二門板202,將具表面處理塗層的基底基板從移送室201傳送到裝載閘室101,並保持真空。關閉第二門板202,打開第一門板102,使具表面處理塗層的基底基板回復標準溫度與壓力條件。
第4圖圖示沉積於基底基板上的五個塗層樣品的露出黏結表面的原子級表面組成。樣品1-4係利用實例1所述製程製備及表面處理,比較樣品1係利用比較實例1所述製程製備及表面處理。從第4圖可看出,樣品1-4的氟原子表面濃度為約30%至約35%,比較實例1為近60%。此外,樣品1-4的碳原子表面濃度為約10%至約15%,比較實例1為近5%。樣品1-4的氮原子表面濃度為近5%,比較實例1為近0%。再者,樣品1-4的氧原子表面濃度為約20%至約30%,比較實例1為近10%。矽原子表面濃度為約8%至約12%,比較實例1為近5%。由此可知所述處理基板的方法可於塗層的黏結表面形成小於40%、35%和30%的氟濃度。表面氟濃度降低提供可黏結表面,以助於第二基板在製品接觸750℃ 10分鐘後脫結剝離。
第5圖圖示沉積於基底基板和無塗層的基底基板(比較樣品2)上的五個塗層樣品的露出黏結表面的原子級碳物種表面組成。樣品1-4係利用實例1所述製程製備及表面處理,比較樣品1係利用比較實例1所述製程製備及表面處理。從第5圖可看出,樣品1-4的C-C和C-H物種原子表面濃度為約5.8%至約8%,比較實例1為近2.5%,比較實例2為約1.25%。樣品1-4的C-O、C-N和CH2 -CF2 物種原子表面濃度為約1.5%至約2%,比較實例1為約0.75%,比較實例2為約0.4%。此外,樣品1-4的C=O、C-N和C-CFx 物種原子表面濃度為約1%至約2%,比較實例1為約0.75%,比較實例2為近0%。再者,樣品1-4的COO和CFH物種原子表面濃度為約1.5%至約2.25%,比較實例1為近0%,比較實例2為近0.1%。由此可知利用所述方法可有效提高塗層黏結表面的碳濃度。塗層黏結表面的碳濃度增加進一步證明較少氟量存於表面,此有利於製作可在高溫下脫結的玻璃製品,例如高於600℃
基底基板或第二基板與塗層的黏結能
在此,塗層的黏結能係基底與第二基板的耦接力度量。通常,二表面間的黏著能(即黏結能)可以雙懸臂梁法或楔塊試驗測量。試驗以定性方式模擬塗層與基底基板或塗層與第二基板間界面的黏著黏結接合力和作用。楔塊試驗常用於測量黏結能。例如,ASTM D5041(黏結接點處黏著劑脫裂的抗斷強度標準測試方法)和ASTM D3762(鋁的黏著劑-黏結表面耐久性標準測試方法)係測量基板與楔塊黏結的標準測試方法。
在一實例中,所述基於上述ASTM方法測定黏結能的測試方法概述於後。測試方法包括記錄測試進行時的溫度和相對溼度,例如在實驗室。輕輕使第二基板(或片)預裂或分離,以打斷玻璃製品角落處基底基板與第二基板間的黏結。剃刀刀片用於使第二基板從基底基板預裂,例如GEM牌剃刀,其中剃刀厚度為約95微米。形成預裂時,瞬時持續壓力用於使黏結疲勞。緩緩插入移除鋁片的扁平剃刀,直到可觀察裂縫前緣綿延,致使裂縫和離距增加。扁平剃刀不必大幅插入來誘發裂縫。一旦形成裂縫,便讓玻璃製品靜置至少5分鐘使裂縫穩定。較長靜置時間可用於高溼度環境,例如,相對溼度高於50%。
用顯微鏡評估具擴展裂縫的玻璃製品,記錄裂縫長度。裂縫長度係從第二基板離基底基板的最終分離點(即離剃刀尖端最遠的分離點)和剃刀最近的非錐形部分測量。記錄裂縫長度並用於以下方程式來計算黏結能。
Figure 02_image013
(7)
其中g代表黏結能,tb 代表刀片、剃刀或楔塊的厚度,E1 代表基底基板(例如玻璃載體)的楊氏模數,tw1 代表基底基板的厚度,E2 代表第二基板(例如玻璃片)的楊氏模數,tw2 代表第二基板的厚度,L代表插入上述剃刀刀片後,基底基板與第二基板間的裂縫長度。
黏結能應理解成如同矽晶圓鍵結作用,其中初始氫鍵結晶圓配對經加熱使大部分或所有矽醇-矽醇氫鍵轉化成Si-O-Si共價鍵。雖然初始室溫氫鍵產生約100-200 mJ/m2 的黏結能容許黏結表面分離,但以約300℃至約800℃處理達成的完全共價鍵結晶圓配對具有約2000至約3000 mJ/m2 的黏著能,此不容許黏結表面分離;而是二晶圓當作獨塊體。另一方面,若二表面均完全塗覆低表面能材料,例如氟聚合物,且厚度足以防護底下基板的影響,則黏著能將為塗層材料的黏著能且非常低,致使黏結表面間的黏著力很低或無黏著。因此,第二基板將無法在黏結不失效又不破壞第二基板的情況下於基底基板上處理。考量兩種極端情況:(a)二標準清洗1(SC1,如此領域所知)清洗浸透矽醇基的玻璃表面並在室溫下由氫鍵鍵結在一起(其中黏著能為約100至約200 mJ/m2 ),然後加熱達矽醇基轉化成共價Si-O-Si鍵的溫度(由此黏著能變為約2000至約3000 mJ/m2 )。後者黏著能太高,導致玻璃表面配對無法分開;及(b)二玻璃表面完全塗覆氟聚合物且具低表面黏著能(每表面約12至約20 mJ/m2 )並在室溫下黏結及加熱達高溫。在後一例子(b)中,表面不僅低溫不黏結(因表面放在一起時的總黏結能為約24至約40 mJ/m2 ,太低),因極性反應基太少,高溫也不黏結。二極端例子間存在可產生更理想黏結程度的黏結能範圍,例如約50至約1000 mJ/m2 。故發明人發現各種提供塗層以產生二極端例子間的黏結能的方法,從而產生足以維持玻璃基板配對(例如玻璃載體與玻璃片)互相黏結的控制黏結來通過TFT或LTPS的嚴苛處理,但亦容許第二基板在處理完後從基底基板脫離(即便高溫處理後,例如≥400℃至750℃)。再者,可以機械力使第二基板從基底基板脫離,依此,無明顯破壞至少第二基板,較佳亦無明顯破壞基底基板。
使用選定表面改質劑(即塗層)及/或沉積方法和黏結前熱處理表面,可達成適當黏結能。適當黏結能可藉由選擇黏結表面14及/或表面24的化學改質劑而獲得,化學改質劑控制凡得瓦(及/或氫鍵,該等術語在整份說明書中可交換使用)黏結能及高溫處理(例如≥400℃至750℃)可能造成的共價鍵結黏著能。
製品製造
為製造製品,塗層形成於基底基板上,例如基底基板10。如所述,塗層可依需求經受諸如表面活化等步驟,以提高表面能、耗盡表面的氟、減少處理期間釋氣,及改善塗層的黏結能力。為黏結第二基板,例如第二片,使第二基板接觸塗層的露出黏結表面。若塗層具有夠高的表面能,則將第二基板引入塗層會造成第二基板透過自播黏結黏結至塗層。自播黏結有利於減少組裝時間及/或成本。然若未產生自播黏結,可利用附加技術將第二基板黏結至塗層,例如層疊,例如利用輥把片壓合在一起,或利用層疊領域已知其他技術使兩塊材料放在一起進行黏結。
黏結能的熱測試
在特定加熱條件後,測試塗層與基板或片的黏結能(「黏結能試驗」)。為觀察特定表面塗層是否容許片保持黏結至載體且仍容許片在處理後從載體脫結,乃進行以下測試。將製品(由塗層黏結載體的片或由塗層黏結基板的第二基板)放入熔爐或快速熱處理(RTP),以每秒4℃的速率上升至預定處理-測試溫度。接著讓製品留在熔爐(維持在預定處理-測試溫度)10分鐘。然後使熔爐在45分鐘內冷卻至約150℃,拿出樣品。接著依據所述黏結能試驗測試製品的黏結能。
在室溫黏結後,接著對製品進行熱測試,利用上述黏結能的熱試驗,觀察熱處理後黏結能如何增加。在一些實例中,塗層具有黏結基底基板或第二基板的黏結表面,其中製品在鈍氣(例如氮)大氣中留在500℃、550℃、600℃、650℃、700℃、750℃(包括其間任何範圍與子範圍)的熔爐10分鐘後,黏結能為等於或小於900 mJ/m2 、等於或小於850 mJ/m2 、等於或小於800 mJ/m2 、等於或小於750 mJ/m2 、等於或小於700 mJ/m2 、等於或小於650 mJ/m2 、等於或小於600 mJ/m2 、等於或小於550 mJ/m2 、或等於或小於500 mJ/m2 ,包括其間任何範圍與子範圍。所述黏結能測量係把製品放入爐腔,以每分鐘9℃的速率加熱熔爐達測試溫度(例如600℃),使製品保持在測試溫度10分鐘,較佳係在惰性大氣(例如氮),使爐腔在約1分鐘內冷卻至約200℃,接著自爐腔移除製品,使製品冷卻至室溫。此製品測試過程亦可稱作製品經受熱測試循環。
塗層脫結
所述塗層容許第二基板在玻璃製品經受上述溫度循環及熱測試後與基底基板分離,又不致使第二基板裂成二或更多塊。
玻璃製品處理
使用改質塗層連同所述黏結表面製備可達成控制黏結區,即能在基底基底與第二基底間提供室溫黏結的黏結區,足使製品得以FPD型製程(包括真空和溼式製程)處理,及控制基底基板與第二基板間的共價鍵結(即便在高溫下),以容許高溫處理製品後,自第二基板移除基底基板(無破壞基板),例如FPD型處理或LTPS處理。為評估可行的鍵結表面製備和具不同黏結能的塗層以提供適於FPD處理的可再利用載體,一連串試驗用於評估各適合性。不同FPD應用具有不同要求,但LTPS和氧化物TFT製程目前似乎最為嚴格。故依照製品2的預定應用,選擇代表製程步驟的試驗。400℃退火用於氧化物TFT製程,高於600℃結晶及摻質活化步驟用於LTPS處理。因此,進行以下試驗,評估特定黏結表面製備及塗層經處理後(包括在≥400℃至750℃的溫度下處理)容許第二基板在整個FPD處理中保持黏結至基底基板、同時容許第二基板自基底基板移除(不破壞第二基板及/或基底基板)的可能性。實例 實例1
在處理室中,氣體供應入口耦接至ICP線圈,ICP線圈耦接第一電力供應器,夾盤耦接第二獨立電力供應器,載體(玻璃,厚度為0.5 mm,標稱組成按重量百分比計為SiO2 61.5、Al2 O3 19.7、B2 O3 1.7、MgO 2.5、CaO 4.5、SrO 1.8、BaO 8.1、SnO2 0.2、Fe2 O3 0.03、ZrO2 0.03)放在夾盤上。ICP線圈功率設為14 kW,CHF3 以1250 sccm(每分鐘標準立方公分)的流率及約8至約10毫托耳的壓力(樣品1為約10毫托耳;樣品2為約8.2毫托耳;樣品3為約9.2毫托耳;樣品4為約10毫托耳)經由氣體供應入口和ICP線圈傳送到反應室。反應室只含有聚合物形成氟氣。夾盤偏壓功率設為125 W且功率偏壓為1.5 kV,以產生約50 V的自偏壓。反應室壁保持60℃,夾盤保持40℃。在處理時間小於約7秒期間(樣品1為約6.5秒;樣品2為約1.2秒;樣品3為約1秒;樣品4為約3秒),CHF3 電漿將沉積厚度小於2 nm的薄氟碳聚合物塗層至載體上。塗層沉積後,使用真空泵來泵抽反應室及淨化氣體/電漿數次。ICP線圈功率再次設為14 kW,在反應室中N2 以1250 sccm的流率及約8至約10毫托耳的壓力(樣品1為約10毫托耳;樣品2為約8.2毫托耳;樣品3為約9.2毫托耳;樣品4為約10毫托耳)經由氣體供應入口和ICP線圈輸送到反應室。夾盤偏壓功率設為2182-4240 W且功率偏壓為1.5 kV,以產生約150-250 V的自偏壓。反應室壁保持60℃,夾盤保持40℃。在處理時間小於約8秒期間(樣品1為約6.5秒;樣品2為約2.8秒;樣品3為約2.3秒;樣品4為約8秒),N2 電漿處理和夾盤自偏壓會將塗層露出表面的氟原子漸漸推向載體/塗層界面,及將塗層表面處理成£0.4 nm的粗糙度,表面能為約74 mJ/m2 ,F含量<30%,Si含量>10%。耗盡氟的塗層黏結表面接著與玻璃片(玻璃,厚度為0.1 mm,標稱組成按重量百分比計為SiO2 62.0、Al2 O3 18.3、B2 O3 4.2、MgO 2.2、CaO 4.2、SrO 1.8、BaO 7.0、SnO2 0.2、Fe2 O3 0.02、ZrO2 0.02)黏結而形成玻璃製品。在空氣中以600℃退火處理玻璃製品10分鐘後,黏結能為500-600 mJ/m2 。玻璃製品的載體和玻璃片可分離且不斷裂。 比較實例1
在處理室中,氣體供應入口耦接至ICP線圈,ICP線圈耦接第一電力供應器,夾盤耦接第二獨立電力供應器,載體(玻璃,厚度為0.5 mm,標稱組成按重量百分比計為SiO2 61.5、Al2 O3 19.7、B2 O3 1.7、MgO 2.5、CaO 4.5、SrO 1.8、BaO 8.1、SnO2 0.2、Fe2 O3 0.03、ZrO2 0.03)放在夾盤上。ICP線圈功率設為10 kW,CF4 以500 sccm的流率及約7毫托耳的壓力經由氣體供應入口和ICP線圈輸送到反應室。反應室只含有聚合物形成氟氣。夾盤偏壓功率設為2000 W且功率偏壓為1.5 kV,以產生約141 V的自偏壓。反應室壁保持60℃,夾盤保持40℃。在處理時間約30秒期間,CF4 電漿將沉積厚度小於2 nm的薄氟碳聚合物塗層至載體上。塗層沉積後,使用真空泵來泵抽反應室及淨化氣體/電漿數次。ICP線圈功率再次設為10 kW,以處理塗層表面,CF4 和CHF3 分別以937.5和312.5 sccm的流率及約30毫托耳的壓力經由氣體供應入口和ICP線圈輸送到反應室。夾盤偏壓功率設為3000 W且功率偏壓為1.5 kV,以產生約190 V的自偏壓。反應室壁保持60℃,夾盤保持40℃。CF4 和CHF3 電漿處理的處理時間為約45秒。塗層黏結表面接著與玻璃片(玻璃,厚度為0.1 mm,標稱組成按重量百分比計為SiO2 62.0、Al2 O3 18.3、B2 O3 4.2、MgO 2.2、CaO 4.2、SrO 1.8、BaO 7.0、SnO2 0.2、Fe2 O3 0.02、ZrO2 0.02)黏結而形成玻璃製品。在空氣中以600℃退火處理玻璃製品10分鐘後,玻璃製品效果不佳。
2‧‧‧製品 8、18、28、38‧‧‧厚度 10‧‧‧基底基板 12、22‧‧‧表面 14、24‧‧‧黏結表面 16、26‧‧‧周邊 20‧‧‧基板 30‧‧‧塗層 100‧‧‧裝載閘模組 101‧‧‧裝載閘室 102、202、302‧‧‧門板 103、203、303‧‧‧室壁 104、204、304‧‧‧真空泵 105、205、305‧‧‧氣體供應入口 106、206、306a、306b‧‧‧氣源 107‧‧‧加熱燈 200‧‧‧移送模組 201‧‧‧移送室 300‧‧‧處理模組 301‧‧‧處理室 308‧‧‧夾盤 309、309a、309b‧‧‧電力供應器 310‧‧‧ICP線圈
本說明書所述實施例的以上和其他特徵、態樣與優點在配合參閱以下詳細實施方式說明與附圖後,將變得更清楚易懂,其中:
1 係製品的側視圖,具有利用塗層黏結片(第二基板)的載體(第一基板),塗層在載體與片之間且直接接觸載體和片;
2 係第1圖製品的局部剖視圖;
3 係圖示用於沉積塗層至基底基板上的系統示意圖;
4 係沉積於基底基板上的塗層黏結表面的表面組成曲線圖;及
5 係沉積於基底基板上的塗層黏結表面的碳物種表面組成曲線圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
10‧‧‧基底基板
12‧‧‧表面
14、24‧‧‧黏結表面
16、26‧‧‧周邊
20‧‧‧基板
30‧‧‧塗層

Claims (36)

  1. 一種處理基板表面的方法,包含下列步驟:a.將一基底基板安置在一反應室的一夾盤上,該反應室包含一感應耦合電漿線圈和一氣體供應入口,該夾盤與該感應耦合電漿線圈個別連接至一電力供應器;b.供應一聚合物形成氟氣源至該氣體供應入口,及使該聚合物形成氟氣源流入該反應室,以接觸安置於該夾盤上的該基板,該聚合物形成氟氣源包含具式CxHzFy的一氟組分,其中x選自1-4,y選自3-8,z選自0-3,其中當x為1時,y為3,z為1;c.供應電力至該感應耦合電漿線圈和該夾盤,其中該夾盤以小於60V的一自偏壓操作;d.沉積衍生自該聚合物形成氟氣源的一碳基材料,以於該基板上形成一塗層;e.使該沉積塗層接觸一處理氣體而形成一處理塗覆基板;及f.自該反應室移除該處理塗覆基板。
  2. 如請求項1所述之方法,其中該碳基材料包含一電漿聚合氟聚合物。
  3. 如請求項2所述之方法,其中該電漿聚合氟聚合物包含小於15%的一氟原子表面濃度。
  4. 如請求項1所述之方法,其中該聚合物形成氟氣源選自由CHF3、C2F4、C3F8、C4F8和上述各者之任一組合所組成的群組。
  5. 如請求項1所述之方法,其中該聚合物形成氟氣源不含一蝕刻氣體。
  6. 如請求項5所述之方法,其中該蝕刻氣體包含CF4、SF6、NF3和上述各者之任一組合的至少一者。
  7. 如請求項1所述之方法,其中該塗層包含1-10nm的一平均厚度。
  8. 如請求項1所述之方法,其中該塗層係一單層。
  9. 如請求項1所述之方法,其中該基底基板係包含300微米或更小的厚度的一玻璃。
  10. 如請求項1所述之方法,其中在沉積該碳基材料的該步驟期間,該反應室包含小於30托耳的一內壓。
  11. 如請求項1所述之方法,其中該步驟c包含以3-5W/m2供應該夾盤電力。
  12. 如請求項1-11中任一項所述之方法,其中該處理氣體包含氮、氧、氫、二氧化碳氣體和上述各者之組合的至少一者。
  13. 如請求項1所述之方法,其中在該沉積塗層接觸該處理氣體期間,該夾盤以150V至250V的一自偏壓操作。
  14. 如請求項1所述之方法,其中該沉積塗層接觸該處理氣體0.5-10秒。
  15. 如請求項1所述之方法,其中該處理塗覆基板的該塗層包含一黏結表面。
  16. 如請求項15所述之方法,其中該黏結表面包含小於40%的一氟原子表面濃度,其中該原子表面濃度係在該黏結表面接觸一第二基板前測自該黏結表面。
  17. 如請求項15所述之方法,其中該塗層包含小於0.5nm的一表面粗糙度。
  18. 如請求項15所述之方法,其中該黏結表面包含小於30%的一氟原子表面濃度。
  19. 如請求項15所述之方法,其中該黏結表面包含大於5%的一矽原子表面濃度,其中該原子表面濃度係在該黏結表面接觸一第二基板前測自該黏結表面。
  20. 如請求項19所述之方法,其中該黏結表面包含8%-12%的一矽原子表面濃度。
  21. 如請求項1所述之方法,進一步包含直接 黏結該塗層與一第二基板而形成一製品,其中該塗層設在該基底基板與該第二基板之間。
  22. 如請求項21所述之方法,其中使該製品在一氮大氣中保持在750℃ 10分鐘後,該塗層與該第二基板間的一黏結能小於800mJ/m2
  23. 如請求項21所述之方法,其中該基底基板和該第二基板包含一玻璃。
  24. 一種製作一製品的方法,包含以下步驟:a.將一基底玻璃基板安置在一反應室的一夾盤上,該反應室包含一感應耦合電漿線圈和一氣體供應入口,該夾盤連接至一第一電力供應器,該感應耦合電漿線圈連接至一第二電力供應器;b.供應一聚合物形成氟氣源至該氣體供應入口,及使該聚合物形成氟氣源流入該反應室,以接觸安置於該夾盤上的該基板,該聚合物形成氟氣源包含具式CxHzFy的一氟組分,其中x選自1-4,y選自3-8,z選自0-3,其中當x為1時,y為3,z為1;c.供應電力至該感應耦合電漿線圈和該夾盤,其中該夾盤以小於60V的一自偏壓操作;d.沉積衍生自該聚合物形成氟氣源的一碳基材料,以於該玻璃基板上形成一塗層;e.使該沉積塗層接觸一處理氣體而形成一處理塗覆 基板,其中該處理塗覆基板的該塗層包含一黏結表面,該黏結表面包含小於40%的一氟原子表面濃度,其中該原子表面濃度係在該黏結表面接觸一第二基板前測自該黏結表面;f.自該反應室移除該處理塗覆基板;及g.直接黏結該塗層與該第二玻璃基板而形成一製品,其中該塗層設在該基底玻璃基板與該第二玻璃基板之間。
  25. 如請求項24所述之方法,其中該黏結表面包含大於5%的一矽原子表面濃度,其中該原子表面濃度係在該黏結表面接觸一第二基板前測自該黏結表面。
  26. 如請求項25所述之方法,其中該黏結表面包含8%-12%的一矽原子表面濃度。
  27. 如請求項24所述之方法,其中該黏結表面包含小於20%的一氟原子表面濃度。
  28. 如請求項24所述之方法,其中使該製品在一氮大氣中保持在750℃ 10分鐘後,該塗層與該第二基板間的一黏結能小於800mJ/m2
  29. 如請求項24所述之方法,其中在該沉積塗層接觸該處理氣體期間,該夾盤以150V至250V的一自偏壓操作,且該沉積塗層接觸該處理氣體 0.5-10秒。
  30. 如請求項24所述之方法,其中該步驟c包含以3-5W/m2供應該夾盤電力。
  31. 如請求項24所述之方法,其中該碳基材料包含一電漿聚合氟聚合物。
  32. 如請求項31所述之方法,其中該電漿聚合氟聚合物包含一CHF3-C4F8電漿聚合氟聚合物。
  33. 如請求項24所述之方法,其中該聚合物形成氟氣源選自由CHF3、C2F4、C3F8、C4F8和上述各者之任一組合所組成的群組。
  34. 如請求項24所述之方法,其中該塗層包含1-10nm的一平均厚度。
  35. 如請求項24所述之方法,其中該碳基材料係在該反應室缺少一蝕刻氣體下沉積。
  36. 如請求項35所述之方法,其中該蝕刻氣體包含CF4、SF6、NF3和上述各者之任一組合的至少一者。
TW107145154A 2017-12-15 2018-12-14 處理基板表面的方法及製作製品的方法 TWI797215B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762599348P 2017-12-15 2017-12-15
US62/599,348 2017-12-15

Publications (2)

Publication Number Publication Date
TW201936271A TW201936271A (zh) 2019-09-16
TWI797215B true TWI797215B (zh) 2023-04-01

Family

ID=65237135

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107145154A TWI797215B (zh) 2017-12-15 2018-12-14 處理基板表面的方法及製作製品的方法

Country Status (5)

Country Link
US (1) US11331692B2 (zh)
JP (1) JP7431160B2 (zh)
CN (1) CN111615567B (zh)
TW (1) TWI797215B (zh)
WO (1) WO2019118660A1 (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100308014A1 (en) * 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
WO2015113023A1 (en) * 2014-01-27 2015-07-30 Corning Incorporated Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
US20160011109A1 (en) * 2013-03-05 2016-01-14 Plexense, Inc. Surface plasmon detection apparatuses and methods
US9805941B2 (en) * 2015-01-12 2017-10-31 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)

Family Cites Families (385)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB952609A (en) * 1959-05-07 1964-03-18 Rank Xerox Ltd Improved image development
NL267831A (zh) * 1960-08-17
GB1082116A (en) 1964-09-03 1967-09-06 Owens Illinois Inc Organopolysiloxane resins and their production
US3722181A (en) 1970-05-22 1973-03-27 Du Pont Chromatographic packing with chemically bonded organic stationary phases
FR2110495A5 (zh) 1970-10-19 1972-06-02 Michelin & Cie
IE35508B1 (en) 1971-08-11 1976-03-03 Intercontinental Chem Co Ltd Method of forming adhesive bonds between surfaces
US4096315A (en) 1976-12-15 1978-06-20 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Process for producing a well-adhered durable optical coating on an optical plastic substrate
GB1583544A (en) 1977-07-25 1981-01-28 Uop Inc Metal-clad laminates
US4179324A (en) 1977-11-28 1979-12-18 Spire Corporation Process for fabricating thin film and glass sheet laminate
JPS5614533A (en) * 1979-07-16 1981-02-12 Shin Etsu Chem Co Ltd Production of polarizing film or sheet
GB2107930B (en) * 1981-10-21 1985-06-12 Secr Defence Photoconductive strip detectors
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
JPS58222438A (ja) 1982-06-18 1983-12-24 Tdk Corp 磁気記録媒体
FR2531235B1 (fr) * 1982-07-27 1985-11-15 Corning Glass Works Verres stratifies transparents polarisants et leur procede d'obtention
US4599243A (en) 1982-12-23 1986-07-08 International Business Machines Corporation Use of plasma polymerized organosilicon films in fabrication of lift-off masks
US4849284A (en) 1987-02-17 1989-07-18 Rogers Corporation Electrical substrate material
US4822466A (en) 1987-06-25 1989-04-18 University Of Houston - University Park Chemically bonded diamond films and method for producing same
US4810326A (en) 1987-08-31 1989-03-07 International Business Machines Corporation Interlaminate adhesion between polymeric materials and electrolytic copper surfaces
US5357726A (en) 1989-02-02 1994-10-25 Chemfab Corporation Composite materials for structural end uses
US5141800A (en) 1989-02-02 1992-08-25 Chemical Fabrics Corporation Method of making laminated PTFE-containing composites and products thereof
US4990462A (en) 1989-04-12 1991-02-05 Advanced Micro Devices, Inc. Method for coplanar integration of semiconductor ic devices
US5073181A (en) 1990-08-09 1991-12-17 Corning Incorporated Method of protecting glass surfaces using submicron refractory particles
CA2065918A1 (en) 1991-04-22 1992-10-23 Bradley W. Reed Liquid membrane modules with minimal effective membrane thickness and methods of making the same
CA2069038C (en) 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
CA2110499C (en) 1991-06-14 1998-06-23 Stanislaw L. Zukowski Surface modified porous expanded polytetrafluoroethylene and process for making
US5462781A (en) 1991-06-14 1995-10-31 W. L. Gore & Associates, Inc. Surface modified porous expanded polytetrafluoroethylene and process for making
US5222494A (en) 1991-07-31 1993-06-29 Cyberonics, Inc. Implantable tissue stimulator output stabilization system
JP3039070B2 (ja) 1991-10-09 2000-05-08 住友電気工業株式会社 弗素樹脂被覆物
FR2690279B1 (fr) 1992-04-15 1997-10-03 Picogiga Sa Composant photovoltauique multispectral.
US5491571A (en) 1993-01-19 1996-02-13 Hughes Aircraft Company Liquid crystal display including electrodes and driver devices integrally formed in monocrystalline semiconductor layer
US5482896A (en) 1993-11-18 1996-01-09 Eastman Kodak Company Light emitting device comprising an organic LED array on an ultra thin substrate and process for forming same
US5616179A (en) 1993-12-21 1997-04-01 Commonwealth Scientific Corporation Process for deposition of diamondlike, electrically conductive and electron-emissive carbon-based films
US5554680A (en) 1994-02-16 1996-09-10 E. I. Du Pont De Nemours And Company Heat-resistant perfluoroelastomer composition
JP3081122B2 (ja) 1994-07-18 2000-08-28 シャープ株式会社 基板搬送用治具及びそれを用いた液晶表示素子の製造方法
US5760100B1 (en) 1994-09-06 2000-11-14 Ciba Vision Corp Extended wear ophthalmic lens
US5413940A (en) 1994-10-11 1995-05-09 Taiwan Semiconductor Manufacturing Company Process of treating SOG layer using end-point detector for outgassing
US5461934A (en) * 1994-12-20 1995-10-31 Budd; Alexander G. Ambient air collection device for use with a self-contained breathing apparatus
DE69606942T2 (de) 1995-09-25 2000-10-05 Dow Corning Verwendung von präkeramischen Polymeren als Klebstoffe für Elektronik
US5718967A (en) 1995-10-13 1998-02-17 The Dow Chemical Company Coated plastic substrate
US5661618A (en) 1995-12-11 1997-08-26 International Business Machines Corporation Magnetic recording device having a improved slider
JP3930591B2 (ja) 1995-12-22 2007-06-13 東陶機器株式会社 光触媒性親水性コーティング組成物、親水性被膜の形成方法および被覆物品
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
WO2004079826A1 (ja) 1996-10-22 2004-09-16 Mitsutoshi Miyasaka 薄膜トランジスタの製造方法、及び表示装置と電子機器
US5820991A (en) 1997-02-24 1998-10-13 Cabo; Ana M. Fused glass sheets having ceramic paint and metal foil and method of making same
DE19711459A1 (de) 1997-03-19 1998-09-24 Flachglas Automotive Gmbh Verfahren zur Herstellung einer gebogenen Verbundsicherheitsglasscheibe
JP3551702B2 (ja) 1997-05-08 2004-08-11 カシオ計算機株式会社 液晶表示素子とその駆動方法
US5972152A (en) 1997-05-16 1999-10-26 Micron Communications, Inc. Methods of fixturing flexible circuit substrates and a processing carrier, processing a flexible circuit and processing a flexible circuit substrate relative to a processing carrier
US6687969B1 (en) 1997-05-16 2004-02-10 Micron Technology, Inc. Methods of fixturing flexible substrates and methods of processing flexible substrates
JPH1126733A (ja) 1997-07-03 1999-01-29 Seiko Epson Corp 薄膜デバイスの転写方法、薄膜デバイス、薄膜集積回路装置,アクティブマトリクス基板、液晶表示装置および電子機器
EP1018153A1 (en) 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US20010045351A1 (en) * 1997-11-21 2001-11-29 Korea Institute Of Science And Technology Plasma polymerization on surface of material
US6852650B2 (en) 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
EP2261920A3 (en) 1998-02-23 2011-03-09 Kabushiki Kaisha Toshiba Information storage medium, information playback method and apparatus and information recording method
US6159385A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc Process for manufacture of micro electromechanical devices having high electrical isolation
EP1048628A1 (de) 1999-04-30 2000-11-02 Schott Glas Polymerbeschichtete Dünnglasfoliensubstrate
US6379746B1 (en) 1999-02-02 2002-04-30 Corning Incorporated Method for temporarily protecting glass articles
JP3202718B2 (ja) 1999-02-23 2001-08-27 鹿児島日本電気株式会社 表示装置製造用治具及びそれを用いた表示装置の製造方法
US6387736B1 (en) 1999-04-26 2002-05-14 Agilent Technologies, Inc. Method and structure for bonding layers in a semiconductor device
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
EP1054454A3 (en) 1999-05-18 2004-04-21 Nippon Sheet Glass Co., Ltd. Glass sheet with conductive film, method of manufacturing the same, and photoelectric conversion device using the same
US8853696B1 (en) 1999-06-04 2014-10-07 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and electronic device
US6824879B2 (en) 1999-06-10 2004-11-30 Honeywell International Inc. Spin-on-glass anti-reflective coatings for photolithography
SG93210A1 (en) 1999-06-29 2002-12-17 Univ Singapore Method for lamination of fluoropolymer to metal and printed circuit board (pcb) substrate
TW487959B (en) 1999-08-13 2002-05-21 Semiconductor Energy Lab Laser apparatus, laser annealing method, and manufacturing method of a semiconductor device
EP1258035A4 (en) 2000-02-01 2008-12-24 Analog Devices Inc PROCESS FOR MACHINING AT WAFER LEVEL TO REDUCE THE ADHESION AND PASSIVATE MICRO-WORKING SURFACES AND COMPOSITIONS USED THEREFROM
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US6528145B1 (en) 2000-06-29 2003-03-04 International Business Machines Corporation Polymer and ceramic composite electronic substrates
DE10034737C2 (de) 2000-07-17 2002-07-11 Fraunhofer Ges Forschung Verfahren zur Herstellung einer permanenten Entformungsschicht durch Plasmapolymerisation auf der Oberfläche eines Formteilwerkzeugs, ein nach dem Verfahren herstellbares Formteilwerkzeug und dessen Verwendung
US6649540B2 (en) 2000-11-09 2003-11-18 The Boc Group, Inc. Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6599437B2 (en) * 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
FR2823599B1 (fr) 2001-04-13 2004-12-17 Commissariat Energie Atomique Substrat demomtable a tenue mecanique controlee et procede de realisation
FR2823596B1 (fr) 2001-04-13 2004-08-20 Commissariat Energie Atomique Substrat ou structure demontable et procede de realisation
FR2824821B1 (fr) 2001-05-17 2003-08-29 Essilor Int Procede de preparation d'un verre apte au debordage, verre ainsi obtenu et procede de debordage d'un tel verre
US7045878B2 (en) 2001-05-18 2006-05-16 Reveo, Inc. Selectively bonded thin film layer and substrate layer for processing of useful devices
US6956268B2 (en) 2001-05-18 2005-10-18 Reveo, Inc. MEMS and method of manufacturing MEMS
JP5415658B2 (ja) 2001-05-28 2014-02-12 三菱樹脂株式会社 中間膜用粘着シート及び透明積層体
JP4036018B2 (ja) 2001-06-20 2008-01-23 昭和電工株式会社 有機発光素子および発光材料
US7396598B2 (en) 2001-06-20 2008-07-08 Showa Denko K.K. Light emitting material and organic light-emitting device
DE60221753T2 (de) 2001-06-29 2007-12-06 Crystal Systems Inc. Beschlagungsresistente transparente Artikel, Stoffe, die eine hydrophile anorganische Schicht hoher Härte bilden und Verfahren zur Herstellung einer beschlagungsarmen Linse
US6735982B2 (en) 2001-07-12 2004-05-18 Intel Corporation Processing relatively thin glass sheets
US6649212B2 (en) 2001-07-30 2003-11-18 Guardian Industries Corporation Modified silicon-based UV absorbers useful in crosslinkable polysiloxane coatings via sol-gel polymerization
US7351300B2 (en) 2001-08-22 2008-04-01 Semiconductor Energy Laboratory Co., Ltd. Peeling method and method of manufacturing semiconductor device
JP2003077187A (ja) 2001-08-30 2003-03-14 Toshiba Corp 光ディスクの製造方法
JP2003071937A (ja) 2001-09-05 2003-03-12 Toppan Printing Co Ltd 積層体及びその製造方法、並びに多層回路基板
US7033910B2 (en) 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6528351B1 (en) 2001-09-24 2003-03-04 Jigsaw Tek, Inc. Integrated package and methods for making same
US6814833B2 (en) 2001-10-26 2004-11-09 Corning Incorporated Direct bonding of articles containing silicon
US6521857B1 (en) 2001-11-19 2003-02-18 Geomat Insights, Llc Plasma enhanced bonding method and device
DE10162435A1 (de) 2001-12-19 2003-07-17 Joerg Lahann Verfahren zur Erzeugung von Oberflächenbeschichtungen, die die Adsorption von Proteinen bzw. die Adhäsion von Bakterien und/oder Zellen vermindern
US6824872B2 (en) 2002-04-23 2004-11-30 Laurel Products Llc Surface-treating fluoropolymer powders using atmospheric plasma
US6699798B2 (en) 2002-04-24 2004-03-02 Intel Corporation Promoting adhesion of fluoropolymer films to semiconductor substrates
FR2874455B1 (fr) 2004-08-19 2008-02-08 Soitec Silicon On Insulator Traitement thermique avant collage de deux plaquettes
JP3639978B2 (ja) 2002-05-10 2005-04-20 日本航空電子工業株式会社 光スイッチ
DE60325669D1 (de) 2002-05-17 2009-02-26 Semiconductor Energy Lab Verfahren zum Transferieren eines Objekts und Verfahren zur Herstellung eines Halbleiterbauelements
KR101005989B1 (ko) 2002-06-11 2011-01-05 코니카 미놀타 홀딩스 가부시키가이샤 표면 처리 방법 및 광학 부품
US7101947B2 (en) 2002-06-14 2006-09-05 Florida State University Research Foundation, Inc. Polyelectrolyte complex films for analytical and membrane separation of chiral compounds
JP3941627B2 (ja) 2002-08-07 2007-07-04 株式会社豊田中央研究所 密着層を備える積層体
AU2003254851A1 (en) 2002-08-07 2004-02-25 Kabushiki Kaisha Toyota Chuo Kenkyusho Laminate having adherent layer and laminate having protective film
JP2006516302A (ja) 2002-09-18 2006-06-29 フジフィルム・エレクトロニック・マテリアルズ・ユーエスエイ・インコーポレイテッド アルキル−水素シロキサンの分解を防止する添加剤
DE20215401U1 (de) 2002-10-07 2004-02-19 Schott Glas Verbund aus einem Dünnstsubsrat und einem Trägersubstrat mit lösbarem Verbindungsmittel
TW578439B (en) 2002-10-25 2004-03-01 Ritdisplay Corp Organic light emitting diode and material applied in the organic light emitting diode
EP1573788A3 (en) 2002-11-20 2005-11-02 Reveo, Inc. Method and system for fabricating multi layer devices on a substrate
JP2004178891A (ja) 2002-11-26 2004-06-24 Mitsubishi Electric Corp 電子放出型発光素子の製造方法
DE10353530A1 (de) 2003-11-14 2005-06-23 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Wafer mit Deckschicht und Trennschicht, Verfahren zur Herstellung eines solchen Wafers sowie Verfahren zum Dünnen bzw. Rückseitenmetallisieren eines Wafers
AU2003299296A1 (en) 2002-11-29 2004-06-23 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for machining a wafer, in addition to a wafer comprising a separation layer and a support layer
WO2004054728A2 (de) 2002-12-17 2004-07-01 Wipf Ag Substrat mit einer polaren plasmapolymerisierten schicht
US6762074B1 (en) 2003-01-21 2004-07-13 Micron Technology, Inc. Method and apparatus for forming thin microelectronic dies
US7089635B2 (en) 2003-02-25 2006-08-15 Palo Alto Research Center, Incorporated Methods to make piezoelectric ceramic thick film arrays and elements
US6964201B2 (en) 2003-02-25 2005-11-15 Palo Alto Research Center Incorporated Large dimension, flexible piezoelectric ceramic tapes
TWI361814B (en) 2003-03-07 2012-04-11 Kuraray Co Plastic bonding method
US7220656B2 (en) 2003-04-29 2007-05-22 Micron Technology, Inc. Strained semiconductor by wafer bonding with misorientation
US6969166B2 (en) 2003-05-29 2005-11-29 3M Innovative Properties Company Method for modifying the surface of a substrate
JP2005014599A (ja) 2003-05-30 2005-01-20 Toyota Central Res & Dev Lab Inc 樹脂シート及びエレクトロルミネッセンス表示装置
FR2855909B1 (fr) 2003-06-06 2005-08-26 Soitec Silicon On Insulator Procede d'obtention concomitante d'au moins une paire de structures comprenant au moins une couche utile reportee sur un substrat
US20040258850A1 (en) 2003-06-18 2004-12-23 Ann Straccia Environmentally friendly reactive fixture to allow localized surface engineering for improved adhesion to coated and non-coated substrates
US20050001201A1 (en) 2003-07-03 2005-01-06 Bocko Peter L. Glass product for use in ultra-thin glass display applications
FR2859312B1 (fr) 2003-09-02 2006-02-17 Soitec Silicon On Insulator Scellement metallique multifonction
US7242041B2 (en) 2003-09-22 2007-07-10 Lucent Technologies Inc. Field-effect transistors with weakly coupled layered inorganic semiconductors
US20050069713A1 (en) 2003-09-30 2005-03-31 Rahul Gupta Capillary coating method
US6992371B2 (en) 2003-10-09 2006-01-31 Freescale Semiconductor, Inc. Device including an amorphous carbon layer for improved adhesion of organic layers and method of fabrication
WO2005036604A2 (en) 2003-10-09 2005-04-21 E.I. Dupont De Nemours And Company Apparatus and method for supporting a flexible substrate during processing
US20050081993A1 (en) 2003-10-16 2005-04-21 Ilkka Steven J. Method of bonding glass
GB0326537D0 (en) 2003-11-14 2003-12-17 Koninkl Philips Electronics Nv Flexible devices
US20050118742A1 (en) 2003-11-17 2005-06-02 Frank Henning Method for reducing the adhesive properties of MEMS and anti-adhesion-coated device
GB0327093D0 (en) 2003-11-21 2003-12-24 Koninkl Philips Electronics Nv Active matrix displays and other electronic devices having plastic substrates
KR101002936B1 (ko) 2003-12-17 2010-12-21 삼성전자주식회사 캐리어 기판, 이를 이용한 플라스틱 기판의 적층 방법 및유연한 디스플레이 장치의 제조 방법
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR101073032B1 (ko) 2003-12-19 2011-10-12 삼성전자주식회사 플라스틱 기판의 적층 방법 및 이를 이용한 유연한디스플레이 장치의 제조방법
US7041608B2 (en) 2004-02-06 2006-05-09 Eastman Kodak Company Providing fluorocarbon layers on conductive electrodes in making electronic devices such as OLED devices
US7147891B2 (en) 2004-02-11 2006-12-12 Dionex Corporation Polymer substrate coated with weak cationic-exchange functional layer
CN102867855B (zh) 2004-03-12 2015-07-15 独立行政法人科学技术振兴机构 薄膜晶体管及其制造方法
US7087134B2 (en) 2004-03-31 2006-08-08 Hewlett-Packard Development Company, L.P. System and method for direct-bonding of substrates
JP2005300972A (ja) 2004-04-13 2005-10-27 Seiko Epson Corp 表示装置の製造方法及び基板貼り合わせ装置
JP2006003684A (ja) 2004-06-18 2006-01-05 Nikon Corp 基板レスフィルタの製造方法
ITMI20041252A1 (it) 2004-06-22 2004-09-22 Solvay Solexis Spa Composizioni perfluoroelastomeriche
US7261793B2 (en) 2004-08-13 2007-08-28 Hewlett-Packard Development Company, L.P. System and method for low temperature plasma-enhanced bonding
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20060134362A1 (en) 2004-12-17 2006-06-22 3M Innovative Properties Company Optically clear pressure sensitive adhesive
JP5068674B2 (ja) 2005-03-01 2012-11-07 ダウ・コーニング・コーポレイション 半導体加工のための一時的なウェハ結合法
CN101175633B (zh) 2005-04-19 2011-12-21 宇部兴产株式会社 聚酰亚胺薄膜层合体
US20060246218A1 (en) 2005-04-29 2006-11-02 Guardian Industries Corp. Hydrophilic DLC on substrate with barrier discharge pyrolysis treatment
TWI402935B (zh) 2005-05-17 2013-07-21 Koninkl Philips Electronics Nv 彩色主動矩陣顯示器
US7462552B2 (en) 2005-05-23 2008-12-09 Ziptronix, Inc. Method of detachable direct bonding at low temperatures
US20070020451A1 (en) 2005-07-20 2007-01-25 3M Innovative Properties Company Moisture barrier coatings
US7166520B1 (en) 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
JP5200538B2 (ja) 2005-08-09 2013-06-05 旭硝子株式会社 薄板ガラス積層体及び薄板ガラス積層体を用いた表示装置の製造方法
US20070048530A1 (en) 2005-08-29 2007-03-01 Wen-Kuang Tsao Anti-static substrate
TWI288493B (en) 2005-09-13 2007-10-11 Ind Tech Res Inst Method for fabricating a device with flexible substrate and method for stripping flexible-substrate
US7462551B2 (en) 2005-09-30 2008-12-09 Intel Corporation Adhesive system for supporting thin silicon wafer
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US8039049B2 (en) 2005-09-30 2011-10-18 Tokyo Electron Limited Treatment of low dielectric constant films using a batch processing system
JP2007138144A (ja) 2005-10-18 2007-06-07 Hitachi Chem Co Ltd シリカ系被膜形成用組成物
KR20070047114A (ko) 2005-11-01 2007-05-04 주식회사 엘지화학 플렉서블 기판을 구비한 소자의 제조방법 및 이에 의해제조된 플렉서블 기판을 구비한 소자
CN101305315B (zh) 2005-11-11 2010-05-19 株式会社半导体能源研究所 形成具有功能性的层的方法及半导体器件的制造方法
FR2893750B1 (fr) 2005-11-22 2008-03-14 Commissariat Energie Atomique Procede de fabrication d'un dispositif electronique flexible du type ecran comportant une pluralite de composants en couches minces.
US20070134784A1 (en) 2005-12-09 2007-06-14 Halverson Kurt J Microreplicated microarrays
EP2259213B1 (en) 2006-02-08 2015-12-23 Semiconductor Energy Laboratory Co., Ltd. RFID device
KR100831562B1 (ko) 2006-03-23 2008-05-21 주식회사 엘지화학 유연성 기판 반송용 점착제 조성물
US7909928B2 (en) 2006-03-24 2011-03-22 The Regents Of The University Of Michigan Reactive coatings for regioselective surface modification
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US20070248809A1 (en) 2006-04-19 2007-10-25 Steven Vincent Haldeman Interlayers Comprising Stable Infrared Absorbing Agents
WO2007121524A1 (en) 2006-04-20 2007-11-01 Epitactix Pty Ltd. Method of manufacture and resulting structures for semiconductor devices
WO2007129554A1 (ja) 2006-05-08 2007-11-15 Asahi Glass Company, Limited 薄板ガラス積層体、薄板ガラス積層体を用いた表示装置の製造方法および、支持ガラス基板
KR101358255B1 (ko) 2006-06-27 2014-02-05 엘지디스플레이 주식회사 광경화 타입 소수성 몰드 및 그 제조방법
CN101489949B (zh) 2006-07-12 2012-12-19 旭硝子株式会社 带保护用玻璃的玻璃基板、使用带保护用玻璃的玻璃基板的显示装置的制造方法及剥离纸用硅酮
US8084103B2 (en) 2006-08-15 2011-12-27 Sakhrani Vinay G Method for treating a hydrophilic surface
US20080044588A1 (en) 2006-08-15 2008-02-21 Sakhrani Vinay G Method for Treating a Hydrophilic Surface
JP2008072087A (ja) 2006-08-16 2008-03-27 Kyoto Univ 半導体装置および半導体装置の製造方法、ならびに表示装置
WO2008044884A1 (en) 2006-10-13 2008-04-17 Sunwoo Amc Co., Ltd. Laminating film of plastic/teflon-silicon and method for preparing the same
GB0620955D0 (en) 2006-10-20 2006-11-29 Speakman Stuart P Methods and apparatus for the manufacture of microstructures
US7903083B2 (en) 2006-11-13 2011-03-08 Motorola Mobility, Inc. Mixed-mode encapsulated electrophoretic display for electronic device
JP5008381B2 (ja) 2006-11-15 2012-08-22 富士フイルム株式会社 可撓性基板を用いた有機エレクトロルミネッセンス発光パネルの製造方法、それにより製造された有機エレクトロルミネッセンス発光パネル、及びその製造に使用する支持基板
US8069229B2 (en) 2006-12-28 2011-11-29 Computer Associates Think, Inc. Topology static zones
KR100890250B1 (ko) 2007-01-08 2009-03-24 포항공과대학교 산학협력단 플렉서블 소자의 제조 방법 및 플렉서블 표시 장치의 제조방법
US8110906B2 (en) 2007-01-23 2012-02-07 Infineon Technologies Ag Semiconductor device including isolation layer
EP2128105A4 (en) 2007-03-12 2013-03-27 Asahi Glass Co Ltd GLASS PROTECTIVE GLASS SUBSTRATE AND METHOD FOR MANUFACTURING DISPLAY DEVICE USING GLASS PROTECTIVE GLASS SUBSTRATE
JP5277552B2 (ja) 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
US8399047B2 (en) 2007-03-22 2013-03-19 The Regents Of The Univeristy Of Michigan Multifunctional CVD coatings
CN101687893B (zh) 2007-04-26 2014-01-22 巴斯夫欧洲公司 含有吩噻嗪s-氧化物或吩噻嗪s,s-二氧化物基团的硅烷及其在oled中的用途
KR101436115B1 (ko) 2007-04-27 2014-09-01 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 기판의 제조방법, 및 반도체장치의 제조방법
US7960916B2 (en) 2007-05-16 2011-06-14 Advanced Lcd Technologies Development Center Co., Ltd. Display device and electronic device using thin-film transistors formed on semiconductor thin films which are crystallized on insulating substrates
WO2008156177A1 (ja) 2007-06-20 2008-12-24 Asahi Glass Company, Limited 酸化物ガラスの表面処理方法
KR20080113576A (ko) 2007-06-25 2008-12-31 엘지디스플레이 주식회사 액정표시장치 및 그 제조방법
US7935780B2 (en) 2007-06-25 2011-05-03 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
TW200907003A (en) 2007-07-03 2009-02-16 Hitachi Chemical Co Ltd Adhesive composition and method of manufacturing thereof, adhesive member using the adhesive composition and method of manufacturing thereof, supporting member for mounting semiconductor and method of manufacturing thereof, and semiconductor apparatus an
JP4337935B2 (ja) 2007-07-11 2009-09-30 セイコーエプソン株式会社 接合体および接合方法
JP2009028922A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体、液滴吐出ヘッドおよび液滴吐出装置
JP2009028923A (ja) 2007-07-24 2009-02-12 Seiko Epson Corp 接合方法、接合体および配線基板
JP2009074002A (ja) 2007-09-21 2009-04-09 Seiko Epson Corp 接着シート、接合方法および接合体
US20090091025A1 (en) 2007-10-04 2009-04-09 Agency For Science, Technology And Research Method for forming and releasing interconnects
WO2009085362A2 (en) 2007-10-05 2009-07-09 The Regents Of The University Of Michigan Ultrastrong and stiff layered polymer nanocomposites and hierarchical laminate materials thereof
JP4710897B2 (ja) 2007-11-28 2011-06-29 セイコーエプソン株式会社 接合体の剥離方法
KR101445734B1 (ko) 2007-12-07 2014-10-01 삼성전자 주식회사 액정표시장치
CN101925996B (zh) 2008-01-24 2013-03-20 布鲁尔科技公司 将器件晶片可逆地安装在载体基片上的方法
EP2244878B1 (en) 2008-02-05 2018-11-07 Saint-Gobain Performance Plastics Corporation Multi-layer article
JP5024087B2 (ja) 2008-02-05 2012-09-12 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、およびそれらの製造方法
GB0802912D0 (en) 2008-02-15 2008-03-26 Carben Semicon Ltd Thin-film transistor, carbon-based layer and method of production thereof
KR20090095026A (ko) 2008-03-04 2009-09-09 삼성전자주식회사 표시 장치 제조 방법
KR101517263B1 (ko) 2008-04-08 2015-04-30 아리조나 보드 오브 리전트스, 아리조나주의 아리조나 주립대 대행법인 반도체 처리 중 가요성 기판의 비틀림 및 굽힘을 감소시키는 조립체 및 방법
KR101500684B1 (ko) 2008-04-17 2015-03-10 삼성디스플레이 주식회사 캐리어 기판 및 이를 이용한 가요성 표시 장치의 제조 방법
CN102007524B (zh) 2008-04-17 2013-07-31 旭硝子株式会社 玻璃层叠体、带支撑体的显示装置用面板及它们的制造方法
US7842548B2 (en) 2008-04-22 2010-11-30 Taiwan Semconductor Manufacturing Co., Ltd. Fixture for P-through silicon via assembly
KR101458901B1 (ko) 2008-04-29 2014-11-10 삼성디스플레이 주식회사 가요성 표시 장치의 제조 방법
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
JP2009283155A (ja) 2008-05-19 2009-12-03 Seiko Epson Corp 表示装置の製造方法、表示装置および電子機器
JP5029523B2 (ja) 2008-07-14 2012-09-19 旭硝子株式会社 ガラス積層体、支持体付き表示装置用パネル、表示装置用パネル、表示装置およびこれらの製造方法
US7690344B2 (en) 2008-07-24 2010-04-06 Gm Global Technology Operations, Inc. Method and apparatus for supporting stop-and-go engine functionality
GB2462615A (en) 2008-08-12 2010-02-17 Nec Corp Optional Access Stratum security activation depending on purpose of request or message parameter in an evolved UTRAN communication network.
DE102008045370B4 (de) 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
WO2010051106A2 (en) 2008-09-12 2010-05-06 Arizona Board of Regents, a body corporate acting for and on behalf of Arizona State University Methods for attaching flexible substrates to rigid carriers and resulting devices
TWI354854B (en) 2008-09-15 2011-12-21 Ind Tech Res Inst Substrate structures applied in flexible electrica
JP2010107597A (ja) 2008-10-28 2010-05-13 Seiko Epson Corp 光学素子および光学素子の製造方法
KR101555551B1 (ko) 2008-11-07 2015-09-24 엘지디스플레이 주식회사 플렉시블 표시장치 제조방법
JP2012509393A (ja) 2008-11-19 2012-04-19 ダウ コーニング コーポレーション シリコーン組成物およびその製造方法
US8211270B2 (en) 2008-11-21 2012-07-03 Nitto Denko Corporation Method of detaching attached boards from each other
KR101572814B1 (ko) 2008-12-05 2015-12-01 코닌클리케 필립스 엔.브이. 플라스틱 기판을 갖는 전자 디바이스
DE102009022628A1 (de) 2008-12-05 2010-06-10 Evonik Goldschmidt Gmbh Verfahren zur Modifizierung von Oberflächen
US9063605B2 (en) 2009-01-09 2015-06-23 Apple Inc. Thin glass processing using a carrier
TW201033000A (en) 2009-01-09 2010-09-16 Asahi Glass Co Ltd Glass laminate and manufacturing method therefor
JP5207306B2 (ja) 2009-01-26 2013-06-12 武井電機工業株式会社 薄膜積層ガラス基板の薄膜除去方法及び装置
WO2010098762A1 (en) 2009-02-27 2010-09-02 Exxonmobil Oil Corporation Plasma treated evoh multilayer film
TWI410329B (zh) 2009-03-09 2013-10-01 Ind Tech Res Inst 可撓式裝置的取下設備及其取下方法
JP5402184B2 (ja) 2009-04-13 2014-01-29 日本電気硝子株式会社 ガラスフィルムおよびその製造方法
CN101873532B (zh) 2009-04-22 2013-01-02 电信科学技术研究院 广播多播系统中发送和获取控制信息的方法、基站和ue
CN102422406B (zh) 2009-05-06 2014-07-09 康宁股份有限公司 用于玻璃基片的支承件
JP5578174B2 (ja) 2009-05-08 2014-08-27 日立化成株式会社 半導体封止用フィルム状接着剤、半導体装置及びその製造方法
GB0908193D0 (en) 2009-05-13 2009-06-24 Albright Patents Treatment of disease state
CN101924067B (zh) 2009-06-09 2013-05-08 财团法人工业技术研究院 挠性膜自载板上脱离的方法及可挠式电子装置的制造方法
JP2010284869A (ja) 2009-06-11 2010-12-24 Shin-Etsu Chemical Co Ltd 接合部材
JP5594522B2 (ja) 2009-07-03 2014-09-24 日本電気硝子株式会社 電子デバイス製造用ガラスフィルム積層体
US7987566B2 (en) 2009-07-15 2011-08-02 Sturzebecher Richard J Capacitor forming method
US8568184B2 (en) 2009-07-15 2013-10-29 Apple Inc. Display modules
CN101989469A (zh) 2009-07-31 2011-03-23 群康科技(深圳)有限公司 导电板
US8048794B2 (en) 2009-08-18 2011-11-01 International Business Machines Corporation 3D silicon-silicon die stack structure and method for fine pitch interconnection and vertical heat transport
DE102009028640A1 (de) 2009-08-19 2011-02-24 Evonik Goldschmidt Gmbh Härtbare Masse enthaltend Urethangruppen aufweisende silylierte Polymere und deren Verwendung in Dicht- und Klebstoffen, Binde- und/oder Oberflächenmodifizierungsmitteln
JP2011048979A (ja) 2009-08-26 2011-03-10 Canon Inc 画像表示装置
KR20120059512A (ko) 2009-08-27 2012-06-08 아사히 가라스 가부시키가이샤 플렉시블 기재-지지체의 적층 구조체, 지지체를 갖는 전자 디바이스용 패널 및 전자 디바이스용 패널의 제조 방법
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US9847243B2 (en) 2009-08-27 2017-12-19 Corning Incorporated Debonding a glass substrate from carrier using ultrasonic wave
JP5562597B2 (ja) 2009-08-28 2014-07-30 荒川化学工業株式会社 支持体、ガラス基板積層体、支持体付き表示装置用パネル、および表示装置用パネルの製造方法
CN102481764B (zh) 2009-09-08 2014-11-05 旭硝子株式会社 玻璃/树脂层叠体、及使用其的电子设备
JP2011058579A (ja) 2009-09-10 2011-03-24 Tokyo Institute Of Technology エネルギ吸収構造体
EP2475728B1 (en) 2009-09-11 2018-01-10 Henkel IP & Holding GmbH Compositions for polymer bonding
WO2011034034A1 (ja) 2009-09-18 2011-03-24 日本電気硝子株式会社 ガラスフィルムの製造方法及びガラスフィルムの処理方法並びにガラスフィルム積層体
CN102576106B (zh) 2009-10-20 2015-02-11 旭硝子株式会社 玻璃层叠体及其制造方法、显示面板的制造方法及利用该制造方法获得的显示面板
KR20120099018A (ko) 2009-10-20 2012-09-06 아사히 가라스 가부시키가이샤 유리 적층체, 지지체를 구비한 표시 장치용 패널, 표시 장치용 패널, 표시 장치 및 이들의 제조 방법
KR101617280B1 (ko) 2009-10-21 2016-05-03 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
KR20110043376A (ko) 2009-10-21 2011-04-27 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
KR20110045136A (ko) 2009-10-26 2011-05-04 주식회사 삼영테크놀로지 윈도우와 디스플레이 패널을 분리하기 위한 코팅방법
US9019211B2 (en) 2009-10-30 2015-04-28 Corning Incorporated Methods and apparatus for providing touch sensitive displays
KR101635914B1 (ko) 2009-12-16 2016-07-05 엘지디스플레이 주식회사 플렉서블 표시장치의 제조 방법
US20120258320A1 (en) 2009-12-17 2012-10-11 Stefan Berger Surface conditioning for improving bone cement adhesion to ceramic substrates
WO2011086991A1 (ja) 2010-01-12 2011-07-21 日本電気硝子株式会社 ガラスフィルム積層体及びその製造方法並びにガラスフィルムの製造方法
JP2011159697A (ja) 2010-01-29 2011-08-18 Dainippon Printing Co Ltd 薄膜トランジスタ搭載基板、その製造方法及び画像表示装置
US8995146B2 (en) 2010-02-23 2015-03-31 Semblant Limited Electrical assembly and method
GB201003067D0 (en) 2010-02-23 2010-04-07 Semblant Ltd Plasma-polymerized polymer coating
JP2011201977A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
JP2011201976A (ja) 2010-03-24 2011-10-13 Seiko Epson Corp 接合方法
US8349727B2 (en) 2010-04-08 2013-01-08 Liang Guo Integrated method for high-density interconnection of electronic components through stretchable interconnects
US20110256385A1 (en) 2010-04-15 2011-10-20 Seiko Epson Corporation Bonding film-attached substrate and bonding film-attached substrate manufacturing method
JP5625470B2 (ja) 2010-05-10 2014-11-19 セイコーエプソン株式会社 接合方法
CN102883879B (zh) 2010-05-11 2015-06-17 旭硝子株式会社 层叠体的制造方法和层叠体
JP2011235556A (ja) 2010-05-11 2011-11-24 Seiko Epson Corp 励起エネルギー特定方法、励起エネルギー特定装置、接合方法および接合装置
JP5516046B2 (ja) 2010-05-11 2014-06-11 セイコーエプソン株式会社 接合膜転写シートおよび接合方法
JP2011248011A (ja) 2010-05-25 2011-12-08 Bridgestone Corp 表示媒体用粒子およびこれを用いた情報表示用パネル
GB2481187B (en) 2010-06-04 2014-10-29 Plastic Logic Ltd Processing substrates
KR101721414B1 (ko) 2010-06-09 2017-03-31 삼성디스플레이 주식회사 백라이트 어셈블리 및 이를 포함하는 액정표시장치
US8852391B2 (en) 2010-06-21 2014-10-07 Brewer Science Inc. Method and apparatus for removing a reversibly mounted device wafer from a carrier substrate
TWI432835B (zh) 2010-06-24 2014-04-01 Au Optronics Corp 可撓性顯示面板及其製造方法
DE102010025967B4 (de) 2010-07-02 2015-12-10 Schott Ag Verfahren zur Erzeugung einer Vielzahl von Löchern, Vorrichtung hierzu und Glas-Interposer
CN101916022B (zh) 2010-07-06 2012-10-10 友达光电股份有限公司 可挠性显示面板及其制造方法
US9333724B2 (en) 2010-07-28 2016-05-10 Nippon Electric Glass Co., Ltd. Glass film laminate
JP5602529B2 (ja) 2010-07-29 2014-10-08 日本合成化学工業株式会社 積層体の製法、ガラス基板付き偏光板の製法、およびそれにより得られたガラス基板付き偏光板
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
US20120035309A1 (en) 2010-08-06 2012-02-09 Baker Hughes Incorporated Method to disperse nanoparticles into elastomer and articles produced therefrom
JP5462107B2 (ja) 2010-08-09 2014-04-02 株式会社日本製鋼所 中空成形品の製造方法および製造装置
US8846499B2 (en) 2010-08-17 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Composite carrier structure
TWI446420B (zh) 2010-08-27 2014-07-21 Advanced Semiconductor Eng 用於半導體製程之載體分離方法
US20120063952A1 (en) 2010-09-10 2012-03-15 Hong Keith C Uv resistant clear laminates
KR101164945B1 (ko) 2010-09-13 2012-07-12 한국과학기술원 플렉시블 소자의 제작 방법
KR101779586B1 (ko) 2010-09-27 2017-10-10 엘지디스플레이 주식회사 플라스틱 기판을 이용한 표시장치 제조 방법
WO2012043971A2 (ko) 2010-09-29 2012-04-05 포항공과대학교 산학협력단 롤 형상의 모기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
US8822306B2 (en) 2010-09-30 2014-09-02 Infineon Technologies Ag Method for manufacturing a composite wafer having a graphite core, and composite wafer having a graphite core
KR101267529B1 (ko) 2010-10-30 2013-05-24 엘지디스플레이 주식회사 플렉서블한 유기전계 발광소자 제조 방법
US8859103B2 (en) 2010-11-05 2014-10-14 Joseph Eugene Canale Glass wafers for semiconductor fabrication processes and methods of making same
CN102034746B (zh) 2010-11-08 2013-06-05 昆山工研院新型平板显示技术中心有限公司 一种有源矩阵有机发光显示器阵列基板的制造方法
KR101295532B1 (ko) 2010-11-11 2013-08-12 엘지디스플레이 주식회사 플렉시블 평판소자의 제조방법
KR101271838B1 (ko) 2010-11-24 2013-06-07 주식회사 포스코 보강기판을 이용한 플렉서블 전자소자의 제조방법, 플렉서블 전자소자 및 플렉서블 기판
KR101842134B1 (ko) 2010-11-26 2018-03-26 엘지디스플레이 주식회사 전기영동 표시장치 및 그 제조 방법
EP2458620B1 (en) 2010-11-29 2021-12-01 IHP GmbH-Innovations for High Performance Microelectronics / Leibniz-Institut für innovative Mikroelektronik Fabrication of graphene electronic devices using step surface contour
TW201238014A (en) 2010-11-30 2012-09-16 Corning Inc Methods of forming a glass wiring board substrate
JP2012119611A (ja) 2010-12-03 2012-06-21 Asahi Glass Co Ltd 貫通電極基板の製造方法
KR101049380B1 (ko) 2010-12-21 2011-07-15 한국기계연구원 전해도금을 이용한 반도체 소자 3차원 패키지용 관통 전극 및 그 제조 방법
CN102070120B (zh) 2010-12-31 2012-09-05 东南大学 用于微电子系统级封装的高密度转接板的制备方法
US8398873B2 (en) 2011-02-01 2013-03-19 Micro Technology Co., Ltd. Thin-sheet glass substrate laminate and method of manufacturing the same
JP2012166999A (ja) 2011-02-16 2012-09-06 Asahi Glass Co Ltd インターポーザ用ガラス基板の製造方法、インターポーザの製造方法、インターポーザ用ガラス基板、およびインターポーザ
KR101918284B1 (ko) 2011-03-03 2019-01-30 엘지디스플레이 주식회사 플렉시블 표시장치의 제조 방법
JP5355618B2 (ja) 2011-03-10 2013-11-27 三星ディスプレイ株式會社 可撓性表示装置及びこの製造方法
JP2012209545A (ja) 2011-03-17 2012-10-25 Sekisui Chem Co Ltd 半導体積層体の製造方法
TWI445626B (zh) 2011-03-18 2014-07-21 Eternal Chemical Co Ltd 製造軟性元件的方法
JP2012227310A (ja) 2011-04-19 2012-11-15 Panasonic Corp セラミックス多層基板とその製造方法
US10155361B2 (en) 2011-11-09 2018-12-18 Corning Incorporated Method of binding nanoparticles to glass
CN103492173B (zh) 2011-04-22 2015-05-20 旭硝子株式会社 层叠体、其制造方法和用途
JP5862238B2 (ja) 2011-05-27 2016-02-16 東洋紡株式会社 積層体とその製造方法及びそれを用いたデバイス構造体の製造方法
JP5760696B2 (ja) 2011-05-27 2015-08-12 株式会社デンソー 画像認識装置
JP5862866B2 (ja) 2011-05-30 2016-02-16 東洋紡株式会社 積層体の作成方法および、この積層体を利用したフィルムデバイスの作成方法
JP5883236B2 (ja) 2011-06-10 2016-03-09 日東電工株式会社 薄層基材用キャリア材
KR20130000211A (ko) 2011-06-22 2013-01-02 삼성전자주식회사 기판 가공 방법
KR20130003997A (ko) 2011-07-01 2013-01-09 엘지디스플레이 주식회사 캐리어 기판과 박형 글라스의 탈부착 방법
US9827757B2 (en) 2011-07-07 2017-11-28 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
US8617925B2 (en) 2011-08-09 2013-12-31 Soitec Methods of forming bonded semiconductor structures in 3D integration processes using recoverable substrates, and bonded semiconductor structures formed by such methods
US8383460B1 (en) 2011-09-23 2013-02-26 GlobalFoundries, Inc. Method for fabricating through substrate vias in semiconductor substrate
WO2013044941A1 (en) 2011-09-27 2013-04-04 Applied Materials, Inc. Carrier for thin glass substrates and use thereof
JP5790392B2 (ja) 2011-10-12 2015-10-07 旭硝子株式会社 電子デバイスの製造方法
JP5796449B2 (ja) 2011-10-12 2015-10-21 旭硝子株式会社 電子デバイスの製造方法、樹脂層付きキャリア基板の製造方法
JP5924344B2 (ja) 2011-10-18 2016-05-25 旭硝子株式会社 積層体、積層体の製造方法、および、電子デバイス用部材付きガラス基板の製造方法
KR101820171B1 (ko) 2011-10-24 2018-01-19 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
US8696864B2 (en) 2012-01-26 2014-04-15 Promerus, Llc Room temperature debonding composition, method and stack
US8550061B2 (en) 2012-01-26 2013-10-08 Maruzen Company Limited Toy gun
US8975157B2 (en) 2012-02-08 2015-03-10 Advanced Semiconductor Engineering, Inc. Carrier bonding and detaching processes for a semiconductor wafer
WO2013119737A2 (en) 2012-02-08 2013-08-15 Corning Incorporated Processing flexible glass with a carrier
US9725357B2 (en) 2012-10-12 2017-08-08 Corning Incorporated Glass articles having films with moderate adhesion and retained strength
US10543662B2 (en) 2012-02-08 2020-01-28 Corning Incorporated Device modified substrate article and methods for making
US8696212B2 (en) 2012-03-01 2014-04-15 Amsted Rail Company, Inc. Roller bearing backing ring assembly
JP2013184346A (ja) 2012-03-07 2013-09-19 Asahi Glass Co Ltd ガラス積層体、電子デバイスの製造方法
JP2013184872A (ja) 2012-03-09 2013-09-19 Nippon Electric Glass Co Ltd ガラス基板の端部処理方法、ガラス基板の端部処理装置及びガラス基板
KR101390212B1 (ko) 2012-03-14 2014-05-14 한양대학교 에리카산학협력단 전기분무건조를 이용한 글리아딘 나노입자의 제조방법
JP2013207084A (ja) 2012-03-28 2013-10-07 Sumitomo Electric Ind Ltd 放熱板付基板モジュールおよび放熱板付基板モジュールの製造方法
JP2013216513A (ja) 2012-04-05 2013-10-24 Nippon Electric Glass Co Ltd ガラスフィルムの切断方法及びガラスフィルム積層体
EP2650938A1 (en) 2012-04-13 2013-10-16 Acreo Swedish ICT AB Organic Field-Effect Transistor Device
JP2013224475A (ja) 2012-04-23 2013-10-31 Shimazu Kogyo Kk 溶射用粒子、溶射皮膜の形成方法及び溶射部材
DE102012207149A1 (de) 2012-04-27 2013-10-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verbund mit temporärer Schutzfolie
CN104349894B (zh) 2012-05-29 2016-06-08 旭硝子株式会社 玻璃层叠体和电子器件的制造方法
KR20130095605A (ko) 2012-06-22 2013-08-28 코스텍시스템(주) 디바이스 웨이퍼와 캐리어 웨이퍼의 본딩과 디본딩 장치
CN102815052B (zh) 2012-06-29 2016-08-24 法国圣戈班玻璃公司 超疏水减反基板及其制作方法
JP2014019597A (ja) 2012-07-17 2014-02-03 Nippon Electric Glass Co Ltd ガラスフィルムの製造方法及びガラスフィルム積層体
US9139469B2 (en) 2012-07-17 2015-09-22 Corning Incorporated Ion exchangeable Li-containing glass compositions for 3-D forming
CN102789125B (zh) 2012-07-27 2013-11-13 京东方科技集团股份有限公司 隔垫物制作方法
WO2014028284A1 (en) 2012-08-17 2014-02-20 Corning Incorporated Ultra-thin strengthened glasses
CN102820262A (zh) 2012-09-05 2012-12-12 江苏物联网研究发展中心 一种玻璃通孔的制作及互连的方法
CN104582955B (zh) 2012-09-28 2017-05-31 Hoya株式会社 电子设备用罩玻璃及其制造方法
KR101949561B1 (ko) 2012-10-12 2019-02-18 코닝 인코포레이티드 잔류 강도를 갖는 제품
US20140150244A1 (en) 2012-11-30 2014-06-05 General Electric Company Adhesive-free carrier assemblies for glass substrates
CN103035490A (zh) 2012-12-11 2013-04-10 京东方科技集团股份有限公司 柔性显示器件的制备方法
US10014177B2 (en) 2012-12-13 2018-07-03 Corning Incorporated Methods for processing electronic devices
TWI617437B (zh) 2012-12-13 2018-03-11 康寧公司 促進控制薄片與載體間接合之處理
US20150329415A1 (en) 2012-12-13 2015-11-19 Robert Alan Bellman Glass and methods of making glass articles
US9340443B2 (en) 2012-12-13 2016-05-17 Corning Incorporated Bulk annealing of glass sheets
US10086584B2 (en) 2012-12-13 2018-10-02 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
KR102046534B1 (ko) 2013-01-25 2019-11-19 삼성전자주식회사 기판 가공 방법
WO2014137801A1 (en) 2013-03-03 2014-09-12 John Moore Temporary adhesive with tunable adhesion force sufficient for processing thin solid materials
US9790407B2 (en) 2013-03-09 2017-10-17 Moore John Aqueous detergent soluble coating and adhesive and methods of temporary bonding for manufacturing
JP6070822B2 (ja) 2013-03-15 2017-02-01 日産自動車株式会社 非水電解質二次電池
CN105658594B (zh) 2013-03-15 2019-01-04 康宁股份有限公司 玻璃片的整体退火
KR101432575B1 (ko) 2013-03-29 2014-08-21 엘지디스플레이 주식회사 경량 박형의 액정표시장치 제조방법
JP6137303B2 (ja) 2013-04-02 2017-05-31 旭硝子株式会社 被膜付きガラス基板およびその製造方法
KR102070617B1 (ko) * 2013-08-21 2020-01-30 엘지이노텍 주식회사 멤스 진폭 변조기 및 이를 포함하는 멤스 자계 센서
KR20150034829A (ko) 2013-08-30 2015-04-06 주식회사 엔씰텍 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 이를 이용하여 제조된 플렉시블 정보 표시 소자 및 이의 제조방법
KR101580015B1 (ko) 2013-08-30 2015-12-24 주식회사 엔씰텍 임시 점착/탈착층을 사용하는 플렉시블 정보 표시 소자 제조용 지지 기판, 이의 제조 방법, 그를 이용한 플렉시블 정보 표시 소자 및 그의 제조 방법
US20150099110A1 (en) 2013-10-07 2015-04-09 Corning Incorporated Glass articles and methods for controlled bonding of glass sheets with carriers
US10510576B2 (en) 2013-10-14 2019-12-17 Corning Incorporated Carrier-bonding methods and articles for semiconductor and interposer processing
JP6119567B2 (ja) 2013-11-11 2017-04-26 旭硝子株式会社 ガラス積層体の製造方法および電子デバイスの製造方法
JP6176067B2 (ja) 2013-11-11 2017-08-09 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
JP6136909B2 (ja) 2013-12-17 2017-05-31 旭硝子株式会社 樹脂層付き支持基板の製造方法、ガラス積層体の製造方法、電子デバイスの製造方法
WO2015113020A1 (en) 2014-01-27 2015-07-30 Corning Incorporated Articles and methods for controlled bonding of polymer surfaces with carriers
KR102353030B1 (ko) 2014-01-27 2022-01-19 코닝 인코포레이티드 얇은 시트와 캐리어의 제어된 결합을 위한 물품 및 방법
KR101522941B1 (ko) 2014-02-05 2015-05-26 도레이첨단소재 주식회사 실리콘 이형필름 및 그 제조방법
KR20160119080A (ko) 2014-02-07 2016-10-12 아사히 가라스 가부시키가이샤 유리 적층체
US9406746B2 (en) 2014-02-19 2016-08-02 International Business Machines Corporation Work function metal fill for replacement gate fin field effect transistor process
JP2017087417A (ja) 2014-03-26 2017-05-25 旭硝子株式会社 ガラス積層体
KR20160145062A (ko) 2014-04-09 2016-12-19 코닝 인코포레이티드 디바이스 변경된 기판 물품 및 제조 방법
CN106163798B (zh) 2014-04-10 2019-05-10 Agc株式会社 玻璃层叠体及其制造方法、电子器件的制造方法
JP6322469B2 (ja) 2014-04-25 2018-05-09 ニッタ株式会社 基板加工方法
WO2015163134A1 (ja) 2014-04-25 2015-10-29 旭硝子株式会社 ガラス積層体および電子デバイスの製造方法
CN106573443B (zh) 2014-08-01 2018-09-25 Agc株式会社 带无机膜的支撑基板及玻璃层叠体、以及它们的制造方法及电子器件的制造方法
US9790593B2 (en) 2014-08-01 2017-10-17 Corning Incorporated Scratch-resistant materials and articles including the same
EP3297824A1 (en) 2015-05-19 2018-03-28 Corning Incorporated Articles and methods for bonding sheets with carriers
CN117534339A (zh) 2015-06-26 2024-02-09 康宁股份有限公司 包含板材和载体的方法和制品
TW201716245A (zh) * 2015-08-05 2017-05-16 康寧公司 用於將片材與載體鍵結之物件及方法
JP6123919B2 (ja) 2016-01-07 2017-05-10 住友ベークライト株式会社 化粧板
JP2019524510A (ja) 2016-08-22 2019-09-05 コーニング インコーポレイテッド 制御可能に結合されたシートの物品およびその製造方法
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100308014A1 (en) * 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching
US20160011109A1 (en) * 2013-03-05 2016-01-14 Plexense, Inc. Surface plasmon detection apparatuses and methods
WO2015113023A1 (en) * 2014-01-27 2015-07-30 Corning Incorporated Treatment of a surface modification layer for controlled bonding of thin sheets with carriers
US9805941B2 (en) * 2015-01-12 2017-10-31 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)

Also Published As

Publication number Publication date
JP7431160B2 (ja) 2024-02-14
TW201936271A (zh) 2019-09-16
US20210187546A1 (en) 2021-06-24
CN111615567A (zh) 2020-09-01
CN111615567B (zh) 2023-04-14
WO2019118660A1 (en) 2019-06-20
US11331692B2 (en) 2022-05-17
JP2021507106A (ja) 2021-02-22

Similar Documents

Publication Publication Date Title
US20210362470A1 (en) Siloxane plasma polymers for sheet bonding
US11660841B2 (en) Articles and methods for bonding sheets with carriers
US10538452B2 (en) Bulk annealing of glass sheets
US20190184686A1 (en) Articles of controllably bonded sheets and methods for making same
EP3584229B1 (en) Method for controlled bonding of glass sheets with carriers
TWI679175B (zh) 玻璃片之大量退火
US20150099110A1 (en) Glass articles and methods for controlled bonding of glass sheets with carriers
TWI797215B (zh) 處理基板表面的方法及製作製品的方法
TW201716245A (zh) 用於將片材與載體鍵結之物件及方法
TWI810161B (zh) 具以可控制式黏結的薄片之製品及製作其之方法