TWI631434B - 硬化光阻之紫外線輔助剝離以建立用於定向自組裝之化學模板 - Google Patents

硬化光阻之紫外線輔助剝離以建立用於定向自組裝之化學模板 Download PDF

Info

Publication number
TWI631434B
TWI631434B TW105135283A TW105135283A TWI631434B TW I631434 B TWI631434 B TW I631434B TW 105135283 A TW105135283 A TW 105135283A TW 105135283 A TW105135283 A TW 105135283A TW I631434 B TWI631434 B TW I631434B
Authority
TW
Taiwan
Prior art keywords
substrate
photoresist
layer
polymer
wavelength
Prior art date
Application number
TW105135283A
Other languages
English (en)
Other versions
TW201708981A (zh
Inventor
桑末薇拉馬克
布朗意恩
西姆斯伊赫桑
尼格雷亞阿伊諾阿
納佛斯凱瑟琳
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201708981A publication Critical patent/TW201708981A/zh
Application granted granted Critical
Publication of TWI631434B publication Critical patent/TWI631434B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material

Abstract

本發明揭露一種處理方法,其藉由允許在可在基板上促成形成次30nm特徵部的DSA模板中形成改良的導部線條,因而能夠提升定向自組裝(DSA)的處理方式。改良的導部線條可藉由提升在不同有機層或膜間之濕式化學處理的選擇性而形成。在一實施例中,以紫外光之一個以上的波長處理有機層可提升選擇性。UV光的第一波長可小於200nm,而UV光的第二波長可大於200nm。

Description

硬化光阻之紫外線輔助剝離以建立用於定向自組裝之化學模板
根據專利法,本申請案主張先前申請之共同待審的美國臨時申請案第61/873,515號(其於2013年9月4日申請)的優先權。
本發明係與半導體處理技術相關,且更具體而言,係與基板上之嵌段共聚物(BCP)的定向自組裝方法相關。
微影製程是一種可用於在基板上產生圖案的半導體製造技術,該基板可用於形成積體電路或電子元件。半導體元件的成本和性能提升可藉由增加基板上的元件密度實現。實現更高元件密度的一種方法可為:使在半導體基板上形成之特徵部的微型化程度增加。因此,需要產生較小圖案的新型方法或技術。
嵌段共聚物(BCP)的自組裝已被用作一種降低使用標準微影技術之圖案化特徵部尺寸的工具。BCP在半導體製造上可能是有用的,這是因為它們可形成具有小於30nm尺寸之有序、化性不同的模板。模板的幾何結構可藉由共聚物之不同嵌段類型的分子量及組成來操作。模板可包含兩個以上週期性交替之不同化學活性的區域。在一方法中,該等化學活性區域之一者對嵌段共聚 物相之一者可具有吸引力,而該等化學活性區域之其他者可對BCP的嵌段皆是中性。在一實例中,有吸引力的區域可促使BCP對準在其上方,於是可促使交替的BCP毗鄰於此針紮相對準。以此方式,嵌段共聚物可被引導,以在基板大區域上,在平行於此針紮導部之情況下自組裝。
可使用可以有機光阻圖案化的不同有機材料形成模板。在此情況下,此圖案化的處理可能需要包含移除處理或方法,此類處理或方法係增加基板上之暴露及未暴露有機材料之間的選擇性。
本發明係與定向自組裝模板的製造過程相關,其包含有機材料的沉積、圖案化、及移除,以形成用於次30nm結構的圖案化模板。更尤其是,在一具體的實施例中,該模板可藉由圖案化及蝕刻沉積於基板上的聚苯乙烯層而形成。有機光阻可沉積在聚苯乙烯層上,而圖案化/蝕刻的處理可能會造成殘留的有機聚合物形成在可能沒有受到光阻覆蓋的聚苯乙烯層之側壁上。在理想的情況下,光阻和殘留聚合物的移除可使用相同的處理或化學品進行。
在一實施例中,模板可藉由將聚合物(如:聚苯乙烯)沉積在用於製造半導體元件的基板上而形成。可將該聚合物加熱至一烘烤溫度(例如:<310℃)一段時間,如此可增加該聚合物的密度,並可交聯該聚合物。光阻層可沉積在聚合物上並圖案化,以暴露出下層的聚合物。可使用乾式或濕式蝕刻處理移除未受到光阻覆蓋的聚合物部分。在若干實例中,蝕刻處理可能會將殘留的聚合物沉積在未受到光阻覆蓋的聚合物側壁及光阻側上。然而,殘留聚合物的存在可能不是形成模板所期望的。因此,使用最少量的處理步驟移除光阻及殘留的聚合物可係期望。例如,氧及紫外(UV)光可用於氧化一部分的光阻,及/或用於改變殘留聚合物的表面狀態,使得可在對下層聚合物的幾何結構及/或 表面特性影響最小的情況下,移除光阻和殘留聚合物。UV光可包含第一波長及第二波長,使得第一波長係大於200nm,而第二波長係小於200nm。該UV光可具有在0.01J/cm2至150J/cm2間的劑量。在另一實施例中,至少10%曝照至基板的UV光可以具有小於200nm的波長。在一具體的實施例中,第一波長為185nm,而第二波長為254nm。在另一實施例中,大於200nm的波長包含至少220nm的波長。
在UV光曝照之後,可使用多種化學品進行濕式化學蝕刻,該等化學品可以包含,但非限於:去離子水、氫氧化銨、及過氧化氫之組合;或四甲基氫氧化銨及二甲基亞碸;或以上的組合。此類清洗化學品需要顯示聚合物、殘留聚合物、以及光阻之間的選擇性。
100‧‧‧流程圖(方法)
102‧‧‧剖面圖
104‧‧‧基板
106‧‧‧方塊圖
108‧‧‧方塊圖
110‧‧‧方塊圖
112‧‧‧方塊圖
114‧‧‧方塊圖
116‧‧‧方塊圖
118‧‧‧方塊圖
120‧‧‧方塊圖
122‧‧‧方塊圖
124‧‧‧方塊圖
126‧‧‧有機聚合物(有機層)
128‧‧‧光阻層
130‧‧‧暴露部分
132‧‧‧殘留的聚合物
134‧‧‧反應性氣體
136‧‧‧殘留層(殘留聚合物層)
138‧‧‧層(表面)
140‧‧‧中性共聚物層
142‧‧‧無規的共聚物層
200‧‧‧實施例
202‧‧‧實施例
206‧‧‧聚苯乙烯
208‧‧‧PMMA
210‧‧‧區域尺寸(L0)
212‧‧‧週期距離
214‧‧‧週期距離
216‧‧‧延續標記
300‧‧‧流程圖
302‧‧‧對應圖
304‧‧‧方塊圖
306‧‧‧方塊圖
308‧‧‧方塊圖
310‧‧‧方塊圖
312‧‧‧第一有機材料(第一有機層)
314‧‧‧第二有機層(第二有機膜)
316‧‧‧第二有機層
併入並構成說明書一部份的隨附圖示說明本發明之實施例,且偕同上述一般描述及以下實施例之詳細描述,用作為解釋本發明之原理。此外,參考編號之最左碼代表該參考編號第一次出現的圖號。
圖1A-1C包含一種方法的流程圖說明以及對應圖,該方法係用於產生定向自組裝模板的至少一部份,此模板係用於在基板上製造半導體元件。
圖2包含對準的嵌段共聚物的剖面圖,此嵌段共聚物的形成係使用,但非限於圖1A-1C描繪中所述的方法。
圖3包含一種方法的流程圖說明以及對應圖,該方法係用於移除第一有機層,其中第一有機層係置於第二有機層上方,第二有機層係形成於基板上。
以下的實施方式將參照附圖來說明與本發明一致的示例性實施例。在實施方式章節中所提及的「一實施例」、「一個實施例」、「一示例性實施例」等係表示所述的示例性實施例可以包含特定的特徵部、結構、或特性,然並非是每個實施例必然包含此特定的特徵部、結構、或特性。此外,此類用語未必指的是相同的實施例。又,當特定的特徵部、結構、或特性係結合實施例一同描述時,不論有無明確闡明,相關於其他示例性實施例改變響此特徵部、結構、或特性是在熟習此技術領域者的知識範疇內。
本文所述之示例性實施例係為了說明的目的,而非限制性。其他的實施例亦是可行的,且在本發明之範疇中,可對示例性實施例進行修改。因此,實施方式章節不旨在限制本發明。相反地,本發明之範圍係僅依據下列申請專利範圍以及其等價物來限定。
示例性實施方式的以下詳細描述將徹底揭示本發明的一般性質,使得人們藉由應用熟習此技藝者的知識在無需進行過度試驗且不背離本發明的精神和範圍的情況下,容易地修改這種示例性實施方式和/或使這種示例性實施方式適用於多種應用。因此,這種調適以及修改基於本文中所給出的教示和引導係在示例性實施方式的含義以及多個等價物的範圍內。要理解的是,本文中的用語或術語用於描述而非限制,使得鑒於本文中的教示,本說明書的用語或術語為熟習相關領域者理解。
當使用於此處,用語「輻射敏感性材料」表示及包含光敏感性材料,例如:光阻。
當使用於此處,用語「聚合物嵌段」表示及包含將構成單元的單一類型(即:均聚物嵌段)或複數類型(即,共聚物嵌段)構成單元之複數單體單元聚合成為具有若干長度之連續聚合物鏈,該連續聚合物鏈形成長度再更長之更大聚合物的一部分並與其它不同單體類型的聚合物嵌段展現出足以讓相 分離發生之χN值。χ為Flory-Huggins交互作用參數,而N為嵌段共聚物之總聚合度。根據本發明之實施例,較大共聚物中的一聚合物嵌段與至少一其它聚合物嵌段的χN值可能等於或大於約10.5。
當使用於此處,用語「嵌段共聚物」表示及包含由複數鏈所構成之聚合物,其中每一鏈包含二個以上如以上所定義之聚合物嵌段,且該等嵌段之至少二者具有足以讓該等嵌段相分離之分離強度(例如:χN>10.5)。在此設想到許多不同的嵌段聚合物,包含雙嵌段共聚物(即:包含二聚合物嵌段之聚合物(AB))、三嵌段共聚物(即:包含三聚合物嵌段之聚合物(ABA或ABC))、多嵌段共聚物(即:包含大於三聚合物嵌段之聚合物(ABCD等))、及以上之組合。
當使用於此處,用語「基板」表示及包含材料形成於其上的基材或結構。吾人能理解基板可包含單一材料、複數層不同材料、其中具有不同材料或不同結構之區域的一層或複數層等。該等材料可包含半導體、絕緣體、導體、或以上之組合。例如,基板可為半導體基板;支持結構上的基底半導體層;具有形成於其上之一或多層、結構或區域的金屬電極或半導體基板。基板可為習知之矽基板或包含一層半導體材料之其它的主體基板。當使用於此處,用語「主體基板(bulk substrate)」不僅僅表示及包含矽晶圓,亦表示及包含矽絕緣體(SOI)基板(例如:矽藍寶石(SOS)基板、及矽玻璃(SOG)基板)、基底半導體基部上的矽磊晶層、及其他的半導體或光電材料(例如:矽-鍺、鍺、砷化鎵、氮化鎵、和磷化銦)。基板可經摻雜或未摻雜。
當使用於此處,用語「微相分離」及「微相分隔」表示及包含嵌段共聚物之均質嵌段藉以互相聚集而異質嵌段藉以分離成不同區域之性質。在主體中,嵌段共聚物可自組裝成具有球狀、圓柱狀、多層狀、雙連續螺旋二十 四面體、或雜臂星形微區域(miktoarm star microdomain)的有序形態,其中嵌段共聚物之分子量決定所形成之微區域的尺寸。
自組裝之嵌段共聚物形態的區域尺寸或間距週期(L0)可用作設計圖案化結構之關鍵尺寸之基礎。同樣地,結構週期(Ls)可用作設計圖案化結構之關鍵尺寸之基礎,結構週期係於選擇性地將嵌段共聚物的聚合物嵌段之一者蝕去後所留下之特徵部的尺寸。對於這些嵌段共聚物之聚合物嵌段所形成區域之尺寸而言,組成嵌段共聚物之每一聚合物嵌段之長度可為本質上的限制。例如,每一聚合物嵌段可經選擇成具有促進自組裝成期望之區域圖案的長度,而更短及/或更長的共聚物可能無法依所期望地自組裝。
在此所用的用語「烘烤步驟」或「烘烤」表示及包含處理嵌段共聚物,以促使該嵌段共聚物之二個以上不同聚合性嵌段成分之間的足夠微相分離,而形成藉由重複該等聚合物嵌段所形成之結構性單元所定義之有序的圖案。本發明中的嵌段共聚物之烘烤可以各種不同的習知技術之方法達成,該等方法包含但非僅限於:(在真空或在例如氮或氬之惰性氛圍中的)熱退火、(在室溫或高於室溫的)溶劑蒸氣輔助退火、超臨界流體輔助退火、或吸收為基礎的退火(例如:光學烘烤)。作為一具體的範例,可藉由將嵌段共聚物暴露至一升高的溫度來進行嵌段共聚物的熱退火,該升高的溫度係高於嵌段共聚物的玻璃轉移溫度(Tg),但低於嵌段共聚物的熱降解溫度(Td),如以下更詳細描述。亦可使用本文無描述的其他習知退火方法。
嵌段共聚物的自我組織能力可用於形成遮罩圖案。嵌段共聚物係由二個以上之化學性不同的嵌段形成。例如,每個嵌段可由不同的單體形成。該等嵌段為不混溶的或熱力學不相容的,如:一個嵌段可為極性,而其他嵌段可為非極性。由於熱力學效應,共聚物會在溶液中自我組織,以最小化系統整體之能量;一般而言,如此情形造成共聚物相對於彼此移動,使得例如類似嵌 段聚集在一起,從而形成含有各別嵌段類型或物種之交替區域。例如,倘若共聚物係由極性嵌段(如:含有機金屬的聚合物)及非極性嵌段(如:碳氫聚合物)形成,該等嵌段則會分離,使得非極性嵌段與其他非極性嵌段聚集,而極性嵌段與其他極性嵌段聚集。因嵌段可在沒有主動施加外力以引導特定個別分子移動的情況下移動而形成圖案(雖可施加熱,以增加分子群體整體的移動速率),故吾人能理解可將嵌段共聚物描述成自組裝材料。
除了聚合物嵌段物種之間的交互作用,嵌段共聚物的自組裝亦可受到地貌特徵(如:從嵌段共聚物沉積於其上之水平表面垂直延伸的階梯或導部)的影響。例如,雙嵌段共聚物(其係由二個不同的聚合物嵌段物種所形成之共聚物)可形成複數個交替區域(或區),該等區域係分別由實質不同的聚合物嵌段物種形成。當聚合物嵌段物種之自組裝發生在階梯或導部之垂直壁之間的區域時,該階梯或導部可與聚合物嵌段交互作用,使得例如由該等嵌段所形成之每個交替區域形成具有定向成大致平行於壁及水平表面之特徵部的規律間隔圖案。
如此的自組裝對於形成在半導體製造處理期間圖案化特徵部的遮罩可係有用。例如,可將交替區域之一者移除,藉此留下將形成其他區之材料,以用作遮罩。該遮罩可用於將像是下方半導體基板中之電子元件的特徵部圖案化。在西元2013年3月14日Somervell等人發明之美國申請案第13/830,859,號,專利名稱為「Chemi-Epitaxy in directed self-assembly applications Using Photo-Decomposable AGENTS」中揭露用以形成嵌段共聚物遮罩的方法。
圖1A-1B包含用於產生定向自組裝(DSA)模板之方法的流程圖100,及用於說明方法100之對應的剖面圖102,該模板係用以將次30nm的特徵部蝕刻至基板104中。該DSA模板可用於實現基板104上之均勻及小尺度圖案(例如,<30nm)。在一實施例中,依據DSA模板表面特性的BCPs自組裝可包含具 有不同表面特性的兩個以上區域,其可在整個DSA模板交替。一種形成DSA模板的方法可以包含:在基板104上形成導部材料,其可包含會在基板104上形成圖案之小於20nm寬度的窄線部。導部材料(例如:有機聚合物126)可藉由以沉積在尚未圖案化之導部材料上之有機光阻圖案化而加以形成。導部材料的圖案化可包含:使用蝕刻處理移除一部分的導部材料,蝕刻處理可能會在導部材料的側壁上沉積殘留的聚合物。理想上,在不會影響幾何結構或表面特性(其可能不利地影響隨後的處理)的情況下,可將有機光阻從有機導部材料移除。因此,吾人期望一種選擇性的移除方式,其可促成有機光阻及殘留聚合物的選擇性移除,但卻可維持該聚合物表面特性及幾何結構。例如,該聚合物的幾何結構或輪廓可形成具有均勻表面的實質正方形或矩形,且在該表面處的鍵結係強到足以在隨後的基板104處理期間維持該輪廓。
雖然為了說明的目的,將方法100描述成複數個別的選項。然而,不應將所述之順序理解成暗示該等操作必定為順序相依。具體而言,該等操作不需以敘述的順序進行。方法100可以不同於所述實施例順序執行。又,亦可略去該等操作之部分,或該等操作之部分可具有為了形成DSA模板所進行之額外的操作。
在方塊圖106中,有機聚合物126可沉積於基板104上,而形成用於DSA模板的基底。有機聚合物126可包含,但不限於:聚苯乙烯,當在高於室溫烘烤時,聚苯乙烯會交聯。交聯可以增加有機聚合物126的強度,以抵擋因隨後基板104處理所造成的結構變化。例如,交聯的有機聚合物126較不可能因基板104之隨後層的沉積、圖案化、及/或清洗而被移除或變形。在一實施例中,有機聚合物126的烘烤可使用在200℃和310℃之間的烘烤溫度,以增加有機聚合物126的密度。
所沉積的有機聚合物126可以相對均勻的厚度加以沉積,該厚度在整個基板104表面上可係連續的。然而,DSA模板可使用有機聚合物126的不同部分實現,以在基板104上促成次30nm的特徵部。因此,有機聚合物126可以微影技術圖案化。
在方塊圖108中,光阻層128可以均勻及/或保形的方式沉積於有機層126上。光阻層128可以是任何的輻射敏感性成分,其在暴露於可見光、深紫外(DUV)光、極紫外(EUV)光、電子束、及X射線輻射能量(以上係微影製程中現今常用的輻射類型)時會起化學反應。當暴露於輻射時,光阻層128中的分子鍵結可能會斷裂,或變得更可溶,使得經曝光的部分可以光阻顯影化學品溶解。光阻層128可以是正調光阻。正調光阻當暴露於輻射時可變得較可溶,而負調光阻當暴露於輻射時可變得較不可溶。在一實施例中,正調光阻或負調光阻可以包含,但不限於以下之一者以上:受保護或未受保護的甲基丙烯酸的共聚物、受保護或未受保護的丙烯酸類之共單體、苯乙烯、羥基苯乙烯、或受保護或未受保護的羥基苯乙烯之共單體。輻射的暴露可藉由圖案化的處理加以控制,該處理可使用習知的微影設備及技術,將光阻層128的不同部分暴露於輻射。在另一實施例中,光阻層128可為負調光阻,其較正調光阻會更不溶於一不同類型的顯影劑,使得該負調光阻層的曝光部分保持完整,而未曝光部分可被移除。
在方塊圖110中,在一實施例中,可藉由暴露於輻射(例如,光)的不同部分以使這些經曝光區域更易溶解或更容易地被移除而將光阻層128圖案化,以暴露出有機聚合物層126的部分。有機聚合物126的暴露部分130可以暴露於後續像是蝕刻或清洗的處理。DSA模板圖案可以包含直線部,其會形成光阻層128之實質上正方形或矩形的剖面輪廓,如圖1A所示。有機層126的暴露部 分130係與在化學及/或電漿處理(未顯示在圖1A-1B方法100的流程圖中)期間可能已加以移除之光阻層128部分為相反側。
在方塊圖112中,一化學處理可用於以一種方式移除或蝕刻有機聚合物126的暴露部分130,該方式係將光阻層128的圖案轉移至有機聚合物126。該化學處理可包含,但不限於:一氣體,其在移除處理期間在有機聚合物126及光阻層128的至少一側壁上產生殘留的聚合物132。例如,當使用氣體(例如CF 4)移除有機聚合物126時,鈍化聚合物(例如,殘留的聚合物132)可形成作為此移除處理的副產物。不幸的是,殘留的聚合物132可能會干擾用以在基板104中形成次30nm特徵部之DSA模板的實施。例如,有機聚合物126及光阻層128可加以修剪(未示出)至一較薄的寬度,以製造一DSA模板,其可促成比在先前圖案化處理中(例如,方塊圖110)形成圖案還小的特徵部。修剪可以包含縮小有機聚合物126寬度之此二層額外的圖案化及蝕刻。殘留的聚合物132可能會干擾此修剪處理。因此,可將殘留的聚合物132移除,以提昇DSA技術的效能或能力。光阻層128的蝕刻可能會導致曝光表面的硬化,使得硬化部分可較光阻層128的未曝光部分更具化學性抗性。例如,光阻層128的曝光部分(例如,殘留的聚合物132)可較未曝光的部分更為密實,使得該光阻層128可能將更難以電漿蝕刻處理或濕式化學蝕刻處理移除。因此,吾人期望處理殘留的聚合物132,使得它們更容易移除。該處理可以包含將於以下描述之相同或相似的UV處理步驟。
在圖1B之方塊圖114中。可將基板104暴露於紫外(UV)光,以提升任何可用於自有機聚合物126及光阻層128移除殘留的聚合物132之化學品的選擇性。UV光可包含具有至少100nm波長的電磁輻射。在一實施例中,UV光可以包含電磁輻射之一個以上的波長,其可同時或以依序方式曝照至基板。在一實施例中,UV光的單一波長可用於處理基板104。UV光可使光阻層128及殘留層136更適合經由多種機制移除。
在另一實施例中,兩個不同波長的UV光可用於處理基板104。光的第一波長可小於200nm,而光的第二波長可大於200nm。UV光可具有高達150J/cm2的劑量。在另一實施例中,UV光的第一波長可為約185nm,而UV光的第二波長可為約254nm。
在另一實施例中,UV光的波長分佈亦可以在方塊圖114中的曝光處理期間變化。例如,UV光可包含至少10%的第一波長,而剩餘部分包含第二波長的UV光。在一具體實施例中,至少10%的UV光可以包含約185nm的波長,而剩餘部分的UV光具有約254nm的波長。
除了UV光外,該曝光處理亦可包含一反應性氣體134,其可弱化殘留層136和光阻層128的曝光表面,使得此二層更容易移除(例如,提升選擇性)。反應性氣體可包含,但不限於:單原子氧、雙原子氧、及/或臭氧。
在方塊圖116中,UV光和反應性氣體134的結合可氧化及/或弱化殘留聚合物層136、及光阻層128的表面138。反應性氣體134可包含雙原子氧,其在反應性氣體134暴露於UV光或任何其他的能量源時,可用於產生臭氧。至少,UV光及/或反應性氣體134的結合可用於改變殘留聚合物層136的表面狀態。例如,殘留聚合物層136及/或弱化的光阻層138對至少一部分的UV光可係高度吸收性的,且會誘導電子激發,因而可促進弱化的殘留聚合物層136及/或光阻層128的弱化表面138中之鍵結的斷裂。在一實施例中,光阻層128對185nm波長的UV光可係高度吸收性的,因而可以更容易地打斷光阻層128中的鍵結。另一方面,254nm的UV光可能無法如此被下方的層吸收,但卻可具有帶有足夠能量能打斷下方層中鍵結之更高的254nm光子通量。較高的UV光波長相較於較低波長的UV光可更容易地打斷下方層中的碳鏈。
反應性氣體134亦可使弱化的殘留聚合物層136更為親水性,使得弱化的殘留聚合物層136可以更容易在隨後的濕式化學處理中移除。
在方塊圖118中,濕式化學處理可用於移除光阻層128及弱化的殘留聚合物層136,以暴露出有機聚合物126。在一實施例中,濕式化學處理可包含一個以上的步驟,其可包含以下化學品之一者以上:氫氧化銨、過氧化氫、水、水性、半水性、非水性的化學溶液、或以上的任何組合。因此,有機聚合物126可在光阻層128及殘留聚合物層136、138移除之後,在基板104上形成圖案。在一實例中,該圖案可以包含在整個基板104上的有機聚合物126的平行或實質上平行的線部。
在一實施例中,濕式化學處理可包含:在比例上為1:1:5的氫氧化銨、過氧化氫、及水的組合物,其中依重量為27%的氫氧化銨、及30%的過氧化氫。在一實例中,前述組合物的溫度可為50℃,且基板104可暴露於該組合物長達二分鐘。
在另一實施例中,濕式化學處理可以包含,但不限於以下化學品之一者以上:四甲基銨、N-甲基吡咯烷酮、及/或二甲基亞碸。可將上述的濕式化學品組合在45°-65℃之間加熱,並將其接觸基板104多達兩分鐘。在一具體的實施例中,基板104的暴露時間可為一分鐘。
在方塊圖120中,一中性共聚物層140可沉積於基板104上,且可覆蓋有機層126及有機層126部分之間的空間。在一實施例中,該中性共聚物層可以接枝於基板104,而非有機層126。接枝處理可包含小於300℃的烘烤步驟。一般來說,中性共聚物層140可能不具有對沉積於基板104上之有機層126或隨後的BCP層(圖未示出)的化學親和性。中性共聚物層140可以包含,但不限於:非對準的共聚物嵌段。
在方塊圖122中,中性共聚物層140的非接枝部分可以清洗或化學處理而自基板104移除,如此可將有機層126及中性共聚物層140之間的階梯高度差最小化,如圖1C所示。此階梯高度為在基板104與有機層126以及中性共聚物 層140之相反側表面之間的厚度差。例如,與基板104為相反側之有機層126與中性共聚物層140的表面可為彼此齊平或實質上齊平,使得階梯高度差係最小化的。
在方塊圖124中,未對準的或無規的共聚物層142可以沉積在中性共聚物層140及有機聚合物126上。無規的共聚物層142可以包含至少兩個聚合物嵌段,其可在隨後的基板104處理期間,以可預測的方式自組織或自組裝。例如,聚合物嵌段可係不互溶的,並在適當條件下可分離而形成主要含有單一嵌段物種或各個物種交替之圖案的區域(圖未顯示)。無規的共聚物層142可以各種方法加以沉積,該等方法包含,但不限於:旋轉塗覆、旋轉澆鑄(spin casting)、刷塗、或氣相沉積。例如,無規的共聚物層142可提供用作例如有機溶劑(如:甲苯)的載體溶劑中之溶液。可將該溶液施加至基板104及中性共聚物層140,且隨後可將該載體溶劑移除,以提供無規的共聚物層142。無規的共聚物層142可以包含,但不限於二種以上物種的聚合物,其可包含聚苯乙烯及聚甲基丙烯酸甲酯(PMMA)。
吾人能理解在類似於材料的相分離的過程中,由於熱力學考量,不同的嵌段物種應被理解成會自我聚集。自我組織係受到有機層126及中性共聚物層140的物理性介面及對彼此的化學親和力所引導。因此,嵌段共聚物的組成嵌段可以沿著基板104圖案化部分的長度自我定向。可藉由將毗鄰方塊圖124所示的層狀結構退火而促進及加速自我組織。可選擇足夠低的退火處理溫度,以避免不利地影響嵌段共聚物或層狀結構。在一些實施例中,可在小於約350℃、小於約300℃、小於約250℃、小於約200℃或約180℃的溫度下進行退火。根據另一實施例,退火處理可包含溶劑退火,其實質上會降低退火的溫度。
退火時間的範圍可從約數小時至約1分鐘。例如,高於250℃溫度的退火時間範圍可從約1小時至約2分鐘、從約30分鐘至約2分鐘、或從約5分鐘至約2分鐘。
根據一實施例中,退火溫度的範圍可從約260℃至約350℃,其中低氧氛圍包含小於約40ppm的氧。例如,可將嵌段共聚物層在低氧環境(例如,不超過300ppm)中暴露於310℃的退火條件約2分鐘至約5分鐘。
無規的共聚物層142之退火可以促進嵌段共聚物自組裝成複數個並排對準的交替物種區域,如圖2中所示的實施例。
在其它實施例中,可使用不同於圖1A-1C中所示處理流程之各種處理流程形成DSA模板。本揭露內容的範圍可不限定於圖1A-1C的流程,其中沉積及圖案化導部條紋(例如,有機聚合物126),並回填中性層(例如,中性共聚物層140)。在一特定的實施例中,可將中性層沉積並圖案化成具有溝槽線部,並可將導部材料回填到此溝槽。在這種方式中,所沉積的第一層相對於圖1A-1C實施例的PS層可為中性的,且回填層可用作引導層而非用作中性層。中性層的圖案化(其可類似於圖1A-1C中的處理)可包含一蝕刻及有機條紋。例如,基板104可塗有可被圖案化及蝕刻的中性層,且溝槽可以聚(苯乙烯)類的刷部材料回填、烘烤及清洗,如相對於圖1C中之方塊圖122說明描述。此類處理將產生用於引導嵌段共聚物自組裝之相同的化學模板(即,圖1C,方塊圖122),但卻是經由不同組的處理步驟進行。殘留層可在蝕刻處理期間形成在PS層上。因此,可以使用上述的UV處理移除殘留層。
圖2顯示嵌段共聚物層之1X頻率的實施例200,及嵌段共聚物層之3X頻率的實施例202,該等實施例至少部分可藉由將無規的共聚物層142退火而實現。然而,交替物種的頻率可以不限於1X和3X,且可包含在1X和10X之間或以上的任何頻率。退火可造成以並列方式以及與有機層126及中性共聚層140為 相反側之交替物種(例如,聚苯乙烯206及PMMA208)的自組裝。區域尺寸(L0)210可藉由各個可相鄰於彼此之物種寬度的一次迭代表示。L0圖案可以在整個基板上重複,而形成可彼此平行之線與間隔的陣列。同樣的,下方的有機層126和中性共聚物層140可以在週期距離212(如圖2所示,二個物種係交替於其中)中變化。同樣地,聚苯乙烯206區域和PMMA208區域的佈置係可隨著下方層的結構而變化,並且可在有機層126及中性共聚物層140之間以若干頻率重複。圖2僅包含二個使用不同圖案頻率之代表性的實施例。
在1X頻率之實施例200中,交替物種會以一對一的方式與對應的下方層相對應,使得聚苯乙烯206嵌段可為與中性共聚物層140嵌段之一者為相反側。聚苯乙烯206嵌段及PMMA208嵌段可彼此相互平行或實質上平行。DSA模板的形成品質程度係可依據線及/或間隔在表面區域上平行的程度量測。
在一實施例中,平行的線/間隔在至少1μm2表面積之區域上可為至少95%的平行或實質上平行。在一特定的實施例中,表面積可為1.2656μm2。在另一實施例中,平行線/間隔的品質在一表面區域上可為至少95%的平行,該表面區域於其上可包含至少40個線/間隔的陣列。例如,該陣列的表面區域可以包含整個陣列,除了可在陣列邊緣或外圍之10 L0之內的表面區域。
在若干實例中,可將陣列的品質分類成二個以上的類別,其可以品質控制為目的將陣列分開。例如,該等陣列可以分為三個類別:95%-100%、60%-94%、及小於59%。在一實例中,合格的標準可為95%-100%,以控制或限定DSA處理方式。在另一情況下,合格的標準可為60%-100%,小於59%的結構將不會通過任一實施例。
在其它實施例中,區域尺寸210及週期距離212可自1X頻率之實施例200中所示的比例1:1變化。因此,不同物種的重疊可以用於將與使用1X頻率之實施例200不同的圖案選擇性地蝕刻至基板104或下方的層。
在3X頻率之實施例202中,區域尺寸(L0)210可在週期距離214中以較高的頻率交替,使得區域尺寸210可在給定的週期距離214中交替數次。在此實施例中,週期距離214可進一步地延伸超過圖2中的描繪,如延續標記216所示。在此實例中,上層和下層的比率可為3:1。然而,在其它實施例中,該比率可高達10:1。此外,在以上1X頻率實施例200描繪中所述的品質標準亦可加以使用,以評估及/或分類3X頻率實施例202中之上層中的線/間隔。
圖3包含一種方法的流程圖300說明及對應圖302,該方法係用以選擇性地移除形成於基板104上第二有機基膜下方的第一有機層膜。移除的選擇性可促成下方有機膜的厚度或表面狀態的最少改變。
在方塊圖304中,可使用任何已知的沉積技術將第一有機材料312沉積在基板104上,該等沉積技術可包含但不限於:化學氣相沉積、或旋轉塗覆。在一個實施例中,第一有機層312可以是任何的輻射敏感性成分,其在暴露於可見光、深紫外(DUV)光、極紫外(EUV)光、電子束、及X射線輻射能量(以上係微影製程中現今常用的輻射類型)時會起化學反應。當暴露於輻射時,第一有機層312中的分子鍵結可能會斷裂,或變得更可溶,使得經曝光的部分可以光阻顯影化學品溶解。光阻可以包含,但不限於以下之一者以上:受保護或未受保護的甲基丙烯酸的共聚物、受保護或未受保護的丙烯酸類之共單體、苯乙烯、羥基苯乙烯、或受保護或未受保護的羥基苯乙烯之共單體。
在方塊圖306中,第二有機膜314可沉積在第一有機層312上,使得此二個有機膜係物理性地相互介接,且儘管此二個膜任何相似的有機性質,它們仍可彼此相對地區別出來。在一實施例中,第二有機膜314可為一種抗反射材料,此材料可使光穿透至第二有機膜314,但卻限制可自第二有機層314反射出的光量。
在方塊圖308中,第二有機層314的第一部分可以UV光和氧的組合移除。該第一部分可包含第二有機層314之至少40%的厚度,如圖3所示之較薄的第二有機層316以及第二有機層314之間的厚度差異。
在一實施例中,可將基板104暴露於紫外(UV)光,以移除第二有機層314的第一部分,及/或以提升任何可用於第二有機層314之剩餘部分或第二部分之化學品的選擇性。UV光可以包含具有至少100nm波長的電磁輻射。在一實施例中,UV光可以包含電磁輻射之一個以上的波長,其可同時或以依序的方式曝照至基板。在一實施例中,UV光的單一波長可用於處理基板104。
在另一實施例中,UV光之兩個不同波長可用於處理第二有機層314。光的第一波長可小於200nm,而光的第二波長可大於200nm。UV光可具有高達150J/cm2的劑量。在另一實施例中,UV光的第一波長可約為185nm,而UV光的第二波長可約為254nm。
在另一實施例中,UV光的波長分佈亦可以在方塊圖308中的曝光處理期間變化。例如,UV光可包含至少10%的第一波長,及剩餘部分包含第二波長的UV光。在一具體實施例中,至少10%的UV光的可包含約185nm的波長,及該UV光的剩餘部分具有約254nm的波長。
除了UV光外,曝光製程亦可以包含反應性氣體134,其可能會弱化第二有機層314。反應性氣體可包含,但不限於:單原子氧、雙原子氧、及/或臭氧。
UV光和反應性氣體134的結合可氧化及/或弱化第二有機層314。反應氣體134可包含雙原子氧,其在反應性氣體134暴露至UV光或任何其他的能量源時,可用於產生臭氧。至少,UV光及/或反應性氣體134的結合可用於改變第二有機層314的表面狀態。反應性氣體134亦可以使受到弱化的第二有機層314 更為親水性,使得此弱化的第二有機層316可以更容易地在隨後的濕式化學處理中移除。
在方塊圖310,濕式化學處理可用於移除第二有機層316的第二部分,使得第一有機層312之厚度及/或表面狀態的任何改變可係最小。濕式化學處理可以包含,但不限於:方塊圖118描繪中所述之濕式化學處理。
吾人應理解的是,具體實施方式部分而非摘要部分,係用於解釋申請專利範圍。摘要部分可提出本發明的一個以上之示例性實施方式,而非所有的示例性實施方式,因此在任何情況下,都不限制本發明及申請專利範圍。
雖然已藉由描述一個以上實施例說明本發明,且將該等實施例相當詳細地描述,但以上說明描述並無意圖將隨附專利申請範圍之範疇限制或以任何方面限定於此等細節。熟習此技術者可無困難地明瞭其他的優點和變更。因此本發明在其更廣義的實施態樣中不限定於這些具體細節(顯示和描述之代表性裝置和方法與例示範例)。因而,在不偏離一般發明觀念的範疇下可自該等細節加以變更。

Claims (9)

  1. 一種用於自一基板移除光阻的方法,包含:在包含一聚合物的該基板上圖案化該光阻;移除由該圖案化的光阻所曝露的該聚合物,該移除步驟在該聚合物的側壁上產生一殘留聚合物且硬化該光阻;將該基板曝露於紫外光及氧,該紫外光包含一個以上UV光的波長;以及使用一濕化學製程移除該光阻及該殘留聚合物,以在該基板上形成一圖案化的聚合物。
  2. 如申請專利範圍第1項之用於自一基板移除光阻的方法,其中,該聚合物包含聚苯乙烯。
  3. 如申請專利範圍第1項之用於自一基板移除光阻的方法,其中,該曝露於紫外光的步驟氧化該硬化的光阻及殘留聚合物。
  4. 如申請專利範圍第1項之用於自一基板移除光阻的方法,其中,該濕化學製程包含氫氧化銨、過氧化氫、或水。
  5. 如申請專利範圍第1項之用於自一基板移除光阻的方法,其中,該波長包含不超過185nm的一波長。
  6. 如申請專利範圍第5項之用於自一基板移除光阻的方法,其中,該波長包含至少220nm的一波長。
  7. 如申請專利範圍第1項之用於自一基板移除光阻的方法,其中,該波長包含小於200nm的一第一波長及大於200nm的一第二波長。
  8. 如申請專利範圍第7項之用於自一基板移除光阻的方法,其中,該大於200nm的波長包含約254nm的一波長。
  9. 如申請專利範圍第1項之用於自一基板移除光阻的方法,其中,該濕化學製程包含一水性、半水性、或非水性的化學溶液。
TW105135283A 2013-09-04 2014-09-03 硬化光阻之紫外線輔助剝離以建立用於定向自組裝之化學模板 TWI631434B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361873515P 2013-09-04 2013-09-04
US61/873,515 2013-09-04
US14/465,933 2014-08-22
US14/465,933 US10490402B2 (en) 2013-09-04 2014-08-22 UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly

Publications (2)

Publication Number Publication Date
TW201708981A TW201708981A (zh) 2017-03-01
TWI631434B true TWI631434B (zh) 2018-08-01

Family

ID=52583832

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103130469A TWI570516B (zh) 2013-09-04 2014-09-03 硬化光阻之紫外線輔助剝離以建立用於定向自組裝之化學模板
TW105135283A TWI631434B (zh) 2013-09-04 2014-09-03 硬化光阻之紫外線輔助剝離以建立用於定向自組裝之化學模板

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103130469A TWI570516B (zh) 2013-09-04 2014-09-03 硬化光阻之紫外線輔助剝離以建立用於定向自組裝之化學模板

Country Status (5)

Country Link
US (2) US10490402B2 (zh)
JP (2) JP6452136B2 (zh)
KR (3) KR102399752B1 (zh)
TW (2) TWI570516B (zh)
WO (1) WO2015034690A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478429B2 (en) * 2012-03-13 2016-10-25 Massachusetts Institute Of Technology Removable templates for directed self assembly
JP6494446B2 (ja) * 2015-06-23 2019-04-03 東京エレクトロン株式会社 基板処理方法、プログラム及びコンピュータ記憶媒体
JP6346132B2 (ja) * 2015-09-11 2018-06-20 株式会社東芝 パターン形成方法
JP6441499B2 (ja) * 2015-10-28 2018-12-19 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
US10021773B2 (en) 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element
JP6266680B2 (ja) * 2016-03-28 2018-01-24 株式会社トクヤマ 洗浄方法および洗浄液
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
FR3051964B1 (fr) * 2016-05-27 2018-11-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie
KR102614850B1 (ko) * 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
US9632408B1 (en) * 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) * 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102325779B1 (ko) * 2017-08-22 2021-11-12 에스케이이노베이션 주식회사 중성층 형성용 랜덤 공중합체 및 이를 포함하는 패턴 형성용 적층체, 이를 이용한 패턴 형성 방법
FR3075775B1 (fr) * 2017-12-21 2020-01-17 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’une structure de guidage chimique sur un substrat et procede de chemo-epitaxie
JP6458174B1 (ja) * 2018-01-12 2019-01-23 デクセリアルズ株式会社 パターン形成方法及び偏光板の製造方法
EP3528045A1 (en) 2018-02-16 2019-08-21 IMEC vzw Method for forming a cross-linked layer
US11567407B2 (en) 2018-09-28 2023-01-31 Tokyo Electron Limited Method for globally adjusting spacer critical dimension using photo-active self-assembled monolayer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120207940A1 (en) * 2011-02-14 2012-08-16 Tokyo Electron Limited Pattern forming method and pattern forming device
CN103187245A (zh) * 2011-12-30 2013-07-03 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法

Family Cites Families (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2768760B2 (ja) * 1989-10-19 1998-06-25 株式会社東芝 レジストアッシング装置
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
JPH03154330A (ja) * 1989-11-13 1991-07-02 Matsushita Electron Corp 半導体装置の製造方法
JPH03224212A (ja) * 1990-01-30 1991-10-03 Oki Electric Ind Co Ltd 光アッシング装置
JP3407289B2 (ja) 1991-05-13 2003-05-19 セイコーエプソン株式会社 電子放出装置およびその駆動方法
US5482803A (en) * 1992-02-07 1996-01-09 Canon Kabushiki Kaisha Process for preparing filter
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
IL112826A (en) * 1995-02-28 1998-09-24 Chip Express Israel Ltd Method for settling a deposited plasma polymer layer
US5709754A (en) 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
JP3708688B2 (ja) * 1996-09-13 2005-10-19 株式会社東芝 レジストパターン形成方法
JPH1130711A (ja) 1997-07-11 1999-02-02 Canon Inc 回折光学素子及びその製造方法及び光学機器
WO2001017040A1 (en) 1999-08-31 2001-03-08 E Ink Corporation A solvent annealing process for forming a thin semiconductor film with advantageous properties
WO2001047428A1 (de) 1999-12-24 2001-07-05 Ivoclar Vivadent Ag Atraumatischer approximalraumerweiterer
JP2004502554A (ja) 2000-03-22 2004-01-29 ユニバーシティー オブ マサチューセッツ ナノシリンダー・アレイ
TW594444B (en) * 2000-09-01 2004-06-21 Tokuyama Corp Residue cleaning solution
JP2002231696A (ja) * 2001-01-31 2002-08-16 Mitsubishi Electric Corp レジスト除去方法とその装置
JP3623173B2 (ja) 2001-03-06 2005-02-23 独立行政法人科学技術振興機構 圧力ジャンプを用いるミクロ相分離ポリマー構造体の製造方法
US6303477B1 (en) 2001-04-04 2001-10-16 Chartered Semiconductor Manufacturing Ltd Removal of organic anti-reflection coatings in integrated circuits
JP2004519520A (ja) 2001-04-05 2004-07-02 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド フォトレジストのためのパーフルオロアルキルスルフォン酸化合物
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (ja) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 基板処理装置および基板洗浄装置
JP4077241B2 (ja) 2002-05-14 2008-04-16 富士通株式会社 半導体装置の製造方法
JP2003345026A (ja) * 2002-05-24 2003-12-03 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用塗布液組成物およびこれを用いたホトレジスト積層体、並びにホトレジストパターンの形成方法
US6852619B2 (en) * 2002-05-31 2005-02-08 Sharp Kabushiki Kaisha Dual damascene semiconductor devices
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (ja) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
JP4364601B2 (ja) * 2002-10-29 2009-11-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7875419B2 (en) * 2002-10-29 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Method for removing resist pattern and method for manufacturing semiconductor device
WO2004074242A2 (en) 2003-02-19 2004-09-02 Ciba Specialty Chemicals Holding Inc. Halogenated oxime derivatives and the use thereof as latent acids
US6846748B2 (en) 2003-05-01 2005-01-25 United Microeletronics Corp. Method for removing photoresist
US7060637B2 (en) * 2003-05-12 2006-06-13 Micron Technology, Inc. Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
KR100728547B1 (ko) * 2003-12-18 2007-06-15 동경 엘렉트론 주식회사 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독가능한기록 매체
JP4074593B2 (ja) 2004-02-26 2008-04-09 東京エレクトロン株式会社 減圧乾燥装置及び減圧乾燥方法
WO2005097883A2 (en) 2004-03-26 2005-10-20 King Industries, Inc. Method of producing a crosslinked coating in the manufacture of integrated circuits
WO2005101468A1 (ja) 2004-04-13 2005-10-27 Tokyo Electron Limited リンス処理方法および現像処理方法
JP4343018B2 (ja) 2004-04-20 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
KR101193824B1 (ko) 2004-07-20 2012-10-24 시바 홀딩 인크 옥심 유도체 및 잠산으로서의 이의 용도
US20070184656A1 (en) 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
JP2007100191A (ja) 2005-10-06 2007-04-19 Horiba Ltd 単分子膜形成装置及び単分子膜形成方法
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
US8687274B2 (en) * 2005-10-31 2014-04-01 Kabushiki Kaisha Toshiba Short-wavelength polarizing elements and the manufacture and use thereof
EP1957282B1 (en) 2005-12-02 2013-04-10 Canon Kabushiki Kaisha Liquid discharge head producing method
FR2894715B1 (fr) 2005-12-09 2008-02-22 Xbybus Soc Par Actions Simplif Procede de fabrication de composant silicium et/ou germanium sur isolant
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
JP2007279493A (ja) 2006-04-10 2007-10-25 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物およびレジストパターン形成方法
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US7416834B2 (en) * 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7741015B2 (en) 2007-02-16 2010-06-22 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR101428820B1 (ko) 2007-05-14 2014-08-08 마이크론 테크놀로지, 인크. 토포그래피 지향 패터닝
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR100876836B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2009038360A (ja) * 2007-07-10 2009-02-19 Toshiba Corp パターン形成方法
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
JP2009051017A (ja) * 2007-08-23 2009-03-12 Fujifilm Corp 光ナノインプリントリソグラフィ用光硬化性組成物、及びパターン付き基板の製造方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8215074B2 (en) 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
KR20160036090A (ko) 2008-02-08 2016-04-01 램 리써치 코포레이션 이중 마스크 자기정렬 이중 패터닝 기술 (sadpt) 프로세스
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
ES2394681T3 (es) 2008-05-09 2013-02-04 Basf Se Colorantes encapsulados a base de polímeros para secar por rociado
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP5336283B2 (ja) 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
EP2166564B1 (en) 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP2010115832A (ja) 2008-11-12 2010-05-27 Panasonic Corp ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
JP5281386B2 (ja) * 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US20100193898A1 (en) 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
US20100200774A1 (en) 2009-02-09 2010-08-12 Tel Epion Inc. Multi-sequence film deposition and growth using gas cluster ion beam processing
JP5413081B2 (ja) * 2009-02-18 2014-02-12 セイコーエプソン株式会社 画像表示方法および画像表示装置
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
JP5222805B2 (ja) 2009-07-09 2013-06-26 パナソニック株式会社 自己組織化パターン形成方法
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
US8915917B2 (en) 2009-08-13 2014-12-23 Cork Institute Of Technology Intramedullary nails for long bone fracture setting
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
JP5524228B2 (ja) 2009-09-25 2014-06-18 株式会社東芝 パターン形成方法
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US20110206905A1 (en) 2010-02-05 2011-08-25 The Governors Of The University Of Alberta Method for forming a block copolymer pattern
JP5003773B2 (ja) 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
NL2005956A (en) 2010-02-26 2011-08-29 Asml Netherlands Bv Method and apparatus for treatment of self-assemblable polymer layers for use in lithography.
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US8338806B2 (en) 2010-05-05 2012-12-25 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP5296022B2 (ja) 2010-08-09 2013-09-25 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5171909B2 (ja) 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
JP2014505119A (ja) 2010-11-24 2014-02-27 ダウ コーニング コーポレーション ブロックコポリマーの形態の制御
NL2007940A (en) 2010-12-23 2012-06-27 Asml Netherlands Bv Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography.
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
KR101891987B1 (ko) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 유기 발광장치 및 그 제조방법
WO2012175342A2 (en) 2011-06-23 2012-12-27 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
NL2008951A (en) 2011-06-23 2013-01-02 Asml Netherlands Bv Self -assemblable polymer and methods for use in lithography.
KR101890425B1 (ko) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 표시 기판의 제조 방법
KR101929865B1 (ko) 2011-07-18 2018-12-17 에이에스엠엘 네델란즈 비.브이. 디바이스 리소그래피에 사용하기 위한 자기-조립성 폴리머를 위한 템플릿의 제공 방법
JP2013041958A (ja) 2011-08-15 2013-02-28 Hitachi Cable Ltd プリント配線基板およびプリント配線基板の製造方法
WO2013040483A1 (en) 2011-09-15 2013-03-21 Wisconsin Alumni Research Foundation Directed assembly of block copolymer films between a chemically patterned surface and a second surface
US8691925B2 (en) * 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
KR20130034778A (ko) 2011-09-29 2013-04-08 주식회사 동진쎄미켐 유도된 자가정렬 공정을 이용한 반도체 소자의 미세패턴 형성 방법
US8734662B2 (en) 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
JP5979660B2 (ja) 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
US9249013B2 (en) 2012-04-16 2016-02-02 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
US8900941B2 (en) 2012-05-02 2014-12-02 Globalfoundries Inc. Methods of forming spacers on FinFETs and other semiconductor devices
KR20130124861A (ko) 2012-05-07 2013-11-15 삼성전자주식회사 패턴 형성 방법
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
DE102012105384A1 (de) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off-Verfahren und Vorrichtung zum Durchführen des Lift-off-Verfahrens
JP5835123B2 (ja) * 2012-06-21 2015-12-24 Jsr株式会社 パターン形成用自己組織化組成物及びパターン形成方法
KR102003334B1 (ko) 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
JP5764102B2 (ja) 2012-09-05 2015-08-12 株式会社東芝 パターン形成方法
US9034197B2 (en) 2012-09-13 2015-05-19 HGST Netherlands B.V. Method for separately processing regions on a patterned medium
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
JP6088800B2 (ja) 2012-11-07 2017-03-01 株式会社東芝 パターン形成方法
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials
US8790522B1 (en) 2013-02-11 2014-07-29 Globalfoundries Inc. Chemical and physical templates for forming patterns using directed self-assembly materials
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9377683B2 (en) 2013-03-22 2016-06-28 HGST Netherlands B.V. Imprint template with optically-detectable alignment marks and method for making using block copolymers
US8853085B1 (en) 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US8900467B1 (en) 2013-05-25 2014-12-02 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
JP2015023063A (ja) 2013-07-16 2015-02-02 株式会社東芝 パターン形成方法及びマスクパターンデータ
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9053923B2 (en) 2013-11-05 2015-06-09 GlobalFoundries, Inc. Methods for fabricating integrated circuits including topographical features for directed self-assembly

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120207940A1 (en) * 2011-02-14 2012-08-16 Tokyo Electron Limited Pattern forming method and pattern forming device
CN103187245A (zh) * 2011-12-30 2013-07-03 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法

Also Published As

Publication number Publication date
TWI570516B (zh) 2017-02-11
KR20210040168A (ko) 2021-04-12
KR102394994B1 (ko) 2022-05-04
JP2019062219A (ja) 2019-04-18
JP2016532311A (ja) 2016-10-13
US10490402B2 (en) 2019-11-26
KR20160052616A (ko) 2016-05-12
KR102394998B1 (ko) 2022-05-04
KR20210040167A (ko) 2021-04-12
JP6452136B2 (ja) 2019-01-16
US20200066509A1 (en) 2020-02-27
US20150064917A1 (en) 2015-03-05
TW201523159A (zh) 2015-06-16
KR102399752B1 (ko) 2022-05-20
US11538684B2 (en) 2022-12-27
TW201708981A (zh) 2017-03-01
JP6691195B2 (ja) 2020-04-28
WO2015034690A1 (en) 2015-03-12

Similar Documents

Publication Publication Date Title
TWI631434B (zh) 硬化光阻之紫外線輔助剝離以建立用於定向自組裝之化學模板
KR101350072B1 (ko) 서브 리소그래픽 패터닝을 위해 블록 공중합체 자기 조립을 사용하는 방법
JP6139011B2 (ja) 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
JP5418923B2 (ja) 基板作製方法
JP5764102B2 (ja) パターン形成方法
JP2015520510A (ja) ブロックコポリマーを用いたパターンの形成および物品
JP5973557B2 (ja) ブロック共重合体を用いて基板の表面にパターンを作製する方法
JP2013073974A (ja) パターン形成方法
JP5752655B2 (ja) パターン形成方法
JP5813604B2 (ja) パターン形成方法
JP2016105455A (ja) 基板表面上にパターンを作製するためのグラフォエピタキシー法
US9029271B2 (en) Methods of patterning block copolymer layers
JP6045746B2 (ja) 誘導自己組織化ケモエピタキシ用途において有機フィルムを除去するためのトラック処理
JP4815010B2 (ja) ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
JP2014053476A (ja) パターン形成方法
JP2012521661A (ja) 基板上にパターンを形成する方法
JP2011078978A (ja) ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
JP2014135435A (ja) 半導体装置の製造方法
KR20190012171A (ko) 그래포에피택시 방법을 위한 기능화된 가이드 패턴 형성방법
JP2018160537A (ja) パターン形成方法