JP2016532311A - 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離 - Google Patents

誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離 Download PDF

Info

Publication number
JP2016532311A
JP2016532311A JP2016540906A JP2016540906A JP2016532311A JP 2016532311 A JP2016532311 A JP 2016532311A JP 2016540906 A JP2016540906 A JP 2016540906A JP 2016540906 A JP2016540906 A JP 2016540906A JP 2016532311 A JP2016532311 A JP 2016532311A
Authority
JP
Japan
Prior art keywords
polymer
wavelength
substrate
photoresist
organic layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016540906A
Other languages
English (en)
Other versions
JP6452136B2 (ja
Inventor
サマーヴェル,マーク
ブラウン,イアン
シムズ,イーサン
ネグレイラ,アイノア
ナフス,カスリーン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2016532311A publication Critical patent/JP2016532311A/ja
Application granted granted Critical
Publication of JP6452136B2 publication Critical patent/JP6452136B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material

Abstract

【解決手段】 基板上のサブ30nmの特徴の形成が可能となりうる誘導自己組織化(DSA)テンプレート中の改善されたガイドストリップを形成できることによって、改善されたDSA処理方式が可能となる処理方法が開示される。改善されたガイドストリップは、異なる有機層または膜の間で湿式化学処理の選択性を改善することによって形成することができる。一実施形態において、紫外光の1つ以上の波長で有機層を処理することによって、選択性を改善することができる。UV光の第1の波長は200nm未満であってよく、UV光の第2の波長は200nmを超えてよい。

Description

関連出願の相互参照
37C.F.R.§1.78(a)(4)に準拠して、本出願は、先行出願である2013年9月4日に出願された同時係属中の米国仮特許出願第61/873,515号明細書の利益および優先権を主張する。
本発明は、半導体加工技術に関し、特に基板上のブロックコポリマー(BCP)の誘導自己組織化方法に関する。
フォトリソグラフィは、集積回路またはエレクトロニクスデバイスの形成に使用可能なパターンを基板上に形成するために使用できる半導体製造技術の1つである。半導体デバイスにおけるコストおよび性能の改善は、基板上のデバイス密度を増加させることによって達成できる。より高いデバイス密度を実現するための方法の1つは、半導体基板上に形成される特徴(features,フィーチャ)の小型化を向上させることであってよい。したがって、より小さなパターンを形成するための新しい方法または技術が望ましい。
標準的なフォトリソグラフィ技術を使用してパターン化される特徴のサイズを減少させるツールの1つとして、ブロックコポリマー(BCP)の自己組織化が使用されてきた。BCPは、30nm未満の寸法の規則的で化学的に異なるテンプレートを形成可能であるため、半導体製造において有用となり得る。テンプレートの形状は、コポリマーの異なるブロックタイプの分子量および組成によって操作することができる。テンプレートは、周期的に交互に配列した化学的活性の異なる2つ以上の領域を含むことができる。ある方法では、化学的活性の領域の1つは、ブロックコポリマー相の1つを引き寄せることができ、一方、化学的活性の別の領域は、BCPの両方のブロックに対して中性であってよい。ある場合では、引き寄せる領域は、BCPをその上に整列させることができ、次に別のBCPが、このピン止めされた相の隣に整列されうる。このようにして、ブロックコポリマーは、基板の広い領域にわたってピン止めガイドに対して平行に自己組織化するように誘導されうる。
テンプレートは、有機フォトレジストを用いてパターン化可能な種々の有機材料を用いて形成することができる。この場合、パターン化プロセスは、基板上の露光済みおよび未露光の有機材料の間の選択性を増加させる除去プロセスまたは方法を含むことが必要となりうる。
米国特許出願第13/830,859号明細書
本開示は、サブ30nm構造用のパターン化テンプレートを形成するための有機材料の堆積、パターン化、および除去を含む、誘導自己組織化テンプレートの製造方法に関する。特に、特定の一実施形態において、テンプレートは、基板上に堆積されたポリスチレン層のパターン化およびエッチングによって形成することができる。有機フォトレジストをポリスチレン層上に堆積することができ、パターン化/エッチングプロセスによって、フォトレジストで覆われない場合もあるポリスチレン層の側壁上に残存有機ポリマーを形成することができる。理想的には、フォトレジストおよび残存ポリマーの除去は、同じプロセスまたは化学作用を用いて行うことができる。
一実施形態において、テンプレートは、半導体デバイスの製造に使用される基板上にポリマー(たとえば、ポリスチレン)を堆積することによって形成することができる。このポリマーは、ポリマーの密度を増加させることができポリマーを架橋させることができる時間の間、ベーク温度(たとえば、<310℃)に加熱することができる。フォトレジスト層をポリマーの上に堆積しパターン化して、下にあるポリマーを露出させることができる。フォトレジストで覆われていないポリマーの部分は、ドライまたはウェットエッチングプロセスを用いて除去することができる。ある場合では、エッチングプロセスによって、フォトレジストで覆われていないポリマーの側壁とフォトレジストとの上に残存ポリマーが堆積されうる。しかし、テンプレートの形成において残存ポリマーの存在は望ましくない場合がある。したがって、最小量のプロセスステップを用いて、フォトレジストおよび残存ポリマーを除去することが望ましい場合がある。たとえば、酸素および紫外(UV)光を用いて、フォトレジストの一部を酸化させ、および/または残存ポリマーの表面状態を変化させることができ、それによって下にあるポリマーの形状および/または表面特性に対する影響を最小限にしながら、フォトレジストおよび残存ポリマーを除去することができる。UV光は、第1の波長が200nmを超え第2の波長が200nm未満となるような第1の波長および第2の波長を含むことができる。UV光は0.01J/cm〜150J/cmの間の線量を有することができる。別の一実施形態において、基板に露光するUV光の少なくとも10%が200nm未満の波長を有することができる。特定の一実施形態においては、第1の波長は185nmであり、第2の波長は254nmである。
UV光露光の後、種々の化学作用を用いて湿式化学エッチングを行うことができ、そのような化学作用としては、脱イオン水、水酸化アンモニウム、および過酸化水素、または水酸化テトラメチルアンモニウムおよびジメチルスルホキシドの組合せ、またはそれらの組合せを挙げることができるが、これらに限定されるものではない。ポリマー、残存ポリマー、およびフォトレジストの間の選択性を示すために洗浄(clean)化学が必要となる場合がある。
本明細書に含まれ本明細書の一部を構成する添付の図面は、本発明の実施形態を示すものであり、前述の本発明の概要および後述の詳細な説明とともに、本発明を説明する役割を果たす。さらに、参照番号の一番左の数字は、その参照番号が最初に使用される図を示している。
基板上の半導体デバイスの製造に使用される誘導自己組織化テンプレートの少なくとも一部を形成する方法の対応する図を有する流れ図である。 基板上の半導体デバイスの製造に使用される誘導自己組織化テンプレートの少なくとも一部を形成する方法の対応する図を有する流れ図である。 基板上の半導体デバイスの製造に使用される誘導自己組織化テンプレートの少なくとも一部を形成する方法の対応する図を有する流れ図である。 限定するものではないが図1A〜1Cの説明に記載される方法を用いて形成できる整列されたブロックコポリマーの断面図である。 基板上に形成された第2の有機層の上に配置された第1の有機層を除去するための方法の対応する図を有する流れ図である。
以下の詳細な説明は、本開示と一致する代表的な実施形態を説明するために添付の図面を参照している。詳細な説明における「一実施形態」、「ある実施形態」、「代表的な一実施形態」などへの言及は、記載の代表的な実施形態が、ある特定の特徴、構造、または特性を含むことができるが、代表的な実施形態のすべてが、その特定の特徴、構造、または特性を必ずしも含むものではないことを示している。さらに、このような表現は、必ずしも同一の実施形態への言及となるものではない。さらに、ある特定の特徴、構造、または特性がある実施形態と関連して記載される場合、明示的に記載されてもされなくても、別の代表的な実施形態と関連するそのような特徴、構造、または特性に影響することは、関連分野の当業者の知識の範囲内である。
本明細書に記載の代表的な実施形態は、説明の目的で提供され、限定的なものではない。別の実施形態も可能であり、本開示の範囲内で代表的な実施形態の修正が可能である。したがって、詳細な説明は本開示の限定を意味するものではない。むしろ、本開示の範囲は、以下の請求項およびそれらの同等物によってのみ規定される。
以下の代表的な実施形態の詳細な説明から、関連分野の当業者の知識を適用することによって、過度の実験を行うことなく本開示の範囲から逸脱せずに種々の用途のためにそのような代表的な実施形態の容易な修正および/または適合が他者によって可能となる本開示の一般的性質が、より十分に明らかとなるであろう。したがって、このような適合および修正は、本明細書に提示される教示および手引きに基づいて、代表的な実施形態の意味および複数の同等物の範囲内となることが意図される。本明細書における表現または用語は、説明を目的としており、限定を目的としたものではなく、そのため本明細書の用語または表現は、本明細書の教示を考慮して関連分野の当業者によって解釈されるべきであることを理解されたい。
本明細書において使用される場合、用語「放射線感受性材料」は、フォトレジストなどの感光性材料を意味し、それらを含んでいる。
本明細書において使用される場合、用語「ポリマーブロック」は、さらにより長い長さのより大きなポリマーの一部を形成するある長さの連続ポリマー鎖中での構成単位の1種類の複数のモノマー単位(すなわち、ホモポリマーブロック)または複数種類の複数のモノマー単位(すなわち、コポリマーブロック)の分類を意味し、それらを含んでおり、および相分離を引き起こすのに十分な異なるモノマーの種類の別のポリマーブロックと共にχN値を示す。χはフローリー−ハギンズの相互作用パラメータであり、Nはブロックコポリマーの全重合度である。本発明の実施形態によると、より大きなコポリマー中のあるポリマーブロックと少なくとも1つの別のポリマーブロックとのχN値は約10.5以上であってよい。
本明細書において使用される場合、用語「ブロックコポリマー」は、各鎖が前述の定義の2つ以上のポリマーブロックを含有し、少なくとも2つのブロックが、それらのブロックを相分離させるのに十分な偏析(segregation)強度(たとえば、χN>10.5)となる鎖で構成されるポリマーを意味し、それらを含んでいる。ジブロックコポリマー(すなわち、2つのポリマーブロック(AB)を含むポリマー)、トリブロックコポリマー(すなわち、3つのポリマーブロック(ABAまたはABC)を含むポリマー)、マルチブロックコポリマー(すなわち、4つ以上のポリマーブロックを含むポリマー(ABCDなど))、およびそれらの組合せなどの多種多様なブロックポリマーが本明細書において考慮される。
本明細書において使用される場合、用語「基板」は、その上に材料が形成されるベース材料または構造体を意味し、それらを含んでいる。基板は、1種類の材料、異なる材料の複数の層、異なる材料または異なる構造の領域を内部に有する1つまたは複数の層などを含みうると認識されよう。これらの材料として、半導体、絶縁体、導体、またはそれらの組合せを挙げることができる。たとえば、基板は、半導体基板、支持構造上のベース半導体層、金属電極、または1つ以上の層、構造、もしくは領域が上に形成された半導体基板であってよい。基板は、従来のシリコン基板、または半導体材料の層を含む別のバルク基板であってよい。本明細書において使用される場合、用語「バルク基板」は、シリコンウエハだけではなく、シリコン・オン・インシュレータ(「SOI」)基板、たとえばシリコン・オン・サファイア(「SOS」)基板、およびシリコン・オン・ガラス(「SOG」)基板、ベース半導体の土台上のシリコンのエピタキシャル層、ならびに別の半導体またはオプトエレクトロニクス材料、たとえばシリコン−ゲルマニウム、ゲルマニウム、ヒ化ガリウム、窒化ガリウム、およびリン化インジウムをも意味し、それらをも含んでいる。基板は、ドープされる場合もドープされない場合もある。
本明細書において使用される場合、用語「ミクロ相偏析(segregation)」および「ミクロ相分離」は、ブロックコポリマーの同種のブロックが相互に凝集し、異種のブロックは分離して別個のドメインとなる性質を意味し、そのような性質を含んでいる。バルク中では、ブロックコポリマーは、球状、円筒状、ラメラ状、バイコンティニュアスらせん状、またはミクトアーム星型のミクロドメインを有する規則的形態に自己組織化することができ、ブロックコポリマーの分子量によって、形成されるミクロドメインのサイズが決定される。
自己組織化したブロックコポリマー形態のドメインサイズまたはピッチ周期(L)は、パターン化構造の限界寸法の設計の基礎として使用することができる。同様に、ブロックコポリマーのポリマーブロックの1つをエッチングによって選択的に除去した後に残る特徴の寸法である構造周期(L)は、パターン化構造の限界寸法の設計の基礎として使用することができる。ブロックコポリマーを構成する各ポリマーブロックの長さは、それらのブロックコポリマーのポリマーブロックによって形成されるドメインサイズの固有限界となりうる。たとえば、各ポリマーブロックは、ドメインの所望のパターンへの自己組織化を促進する長さを有するように選択することができ、より短いおよび/またはより長いコポリマーは、希望通りに自己組織化することができない。
本明細書において使用される場合、用語「ベーキング」または「ベーク」は、ブロックコポリマーの2つ以上の異なるポリマーブロック成分の間の十分なミクロ相偏析によって、ポリマーブロックから形成された繰り返し構造単位によって画定される規則的なパターンを形成できるようなブロックコポリマーの処理を意味し、そのような処理が含まれる。本発明におけるブロックコポリマーのベークは、限定するものではないが、熱アニール(真空中、または窒素もしくはアルゴンなどの不活性雰囲気中のいずれか)、溶媒蒸気支援アニール(室温または室温よりも高温のいずれか)、超臨界流体支援アニール、または吸収系アニール(たとえば、光学的ベーク)などの当技術分野において周知の種々の方法によって実現することができる。特定の一例において、ブロックコポリマーの熱アニールは、以下により詳細に記載されるように、ブロックコポリマーのガラス転移温度(T)よりも高いが分解温度(T)よりも低い高温にブロックコポリマーを曝露することによって行うことができる。本明細書に記載されていない別の従来のアニール方法を使用することもできる。
ブロックコポリマーが自己組織化する能力は、マスクパターンの形成に使用できる。ブロックコポリマーは、2種類以上の化学的に異なるブロックから形成される。たとえば、各ブロックは、異なるモノマーから形成されてよい。これらのブロックは、非混和性または熱力学的に非相溶性であり、たとえば、一方のブロックは極性であってよく、他方は非極性であってよい。熱力学的作用のために、コポリマーは溶液中で自己組織化することで、全体としての系のエネルギーが最小となり、典型的には、このために互いに対してコポリマーが移動し、たとえば、それによって類似のブロックは互いに凝集し、それによって各ブロックタイプまたは化学種を含有する交互の領域が形成される。たとえば、コポリマーが極性ブロック(たとえば、有機金属含有ポリマー)および非極性ブロック(たとえば、炭化水素ポリマー)から形成される場合、これらのブロックは偏析し、それによって非極性ブロックは別の非極性ブロックと凝集し、極性ブロックは別の極性ブロックと凝集する。全体として分子集団の運動速度を増加させるために熱を加える場合があるが、特定の個別の分子を運動させる外力を積極的に加えることなくブロックを移動させてパターンを形成できるので、ブロックコポリマーを自己組織化材料として記載できることを理解されたい。
ポリマーブロック種の間の相互作用に加えて、ブロックコポリマーの自己組織化は、ブロックコポリマーが上に堆積される水平面から垂直に延在するステップまたはガイドなどの地形的特徴の影響を受ける場合がある。たとえば、2つの異なるポリマーブロック種から形成されるコポリマーであるジブロックコポリマーは、交互に配列するドメインまたは領域を形成することができ、それらのそれぞれは実質的に異なるポリマーブロック種から形成される。ポリマーブロック種の自己組織化が、ステップまたはガイドの垂直の壁の間の領域で生じる場合、たとえば、ブロックによって形成される交互の領域のそれぞれが、壁および水平面に対してほぼ水平に配向した特徴を有する規則的に間隔を開けたパターンを形成するように、ステップまたはガイドがポリマーブロックと相互作用することができる。
このような自己組織化は、半導体製造プロセス中に特徴をパターン化するためのマスクの形成に有用となりうる。たとえば、交互のドメインの一方を除去し、それによって他方の領域を形成する材料を残してマスクとして機能させることができる。マスクは、下にある半導体基板中の電気デバイスなどの特徴のパターン化に使用することができる。ブロックコポリマーマスクの形成方法は、2013年3月14日に出願されたSomervellらによる(特許文献1)、CHEMI−EPITAXY IN DIRECTED SELF−ASSEMBLY APPLICATIONS USING PHOTO−DECOMPOSABLE AGENTS、に開示されている。
図1A〜1Bは、サブ30nmの特徴を基板104中にエッチングするために使用される誘導自己組織化(DSA)テンプレートの形成方法の流れ図100と、方法100を説明するための対応する断面図102とを含んでいる。DSAテンプレートを使用して、基板104上で均一で小さなスケールのパターン(たとえば、<30nm)を実現することができる。一実施形態において、DSAテンプレートの表面特性に基づくBCPの自己組織化は、DSAテンプレート全体にわたって交互に配列しうる表面特性の異なる2つ以上の領域を含むことができる。DSAテンプレートの形成方法の1つは、基板104上にパターンを形成する20nm未満の幅の細線を含みうるガイド材料を基板上に形成するステップを含むことができる。ガイド材料(たとえば、有機ポリマー126)は、パターン化されていないガイド材料上に有機フォトレジストを堆積してパターン化することによって形成することができる。ガイド材料のパターン化は、ガイド材料の側壁上に残存ポリマーが堆積しうるエッチング方法を用いてガイド材料の一部を除去するステップを含むことができる。理想的には、有機フォトレジストは、後の処理に悪影響を与える形状または表面特性に影響を与えずに有機ガイド材料から除去することができる。したがって、有機フォトレジストおよび残存ポリマーを選択的に除去するが、ポリマーの表面特性および形状は維持することができる選択的除去方式が望ましい場合がある。たとえば、ポリマーの形状または断面は、実質的に正方形または長方形の形状を形成し均一な表面を有することができ、表面における結合は、基板104の後の処理中にその断面を維持するのに十分な強度である。
方法100は、説明の目的で複数の個別の作業として記載される。しかし、これらの作業が必ず順序に依存することを意味するとして、記載の順序を解釈すべきではない。特に、これらの作業は、記載の順序で行う必要がない場合がある。方法100は、記載の実施形態と異なる順序で行うことができる。さらに、作業の一部は、省略できる場合もあるし、DSAテンプレートを形成するために行われるさらなる作業を有する場合もある。
ブロック106において、DSAテンプレートの土台を形成するために、有機ポリマー126を基板104上に堆積することができる。有機ポリマー126としては、室温より高温でベークした場合に架橋することができるポリスチレンを挙げることができるが、これに限定されるものではない。基板104の後の処理によって生じる構造変化に抵抗するために、架橋によって有機ポリマー126の強度を増加させることができる。たとえば、架橋した有機ポリマー126は、後の層堆積、パターン化、および/または基板104の洗浄によって除去されたり変形したりする可能性が低くなりうる。一実施形態において、有機ポリマー126のベークは、200℃〜310℃の間のベーク温度を使用することによって、有機ポリマー126の密度を増加させることができる。
堆積される有機ポリマー126は、基板104の表面全体にわたって連続となりうる比較的均一な厚さで堆積することができる。しかし、DSAテンプレートは、基板104上にサブ30nmの特徴を可能とするために、有機ポリマー126の離散的な部分を用いて実施することができる。したがって、有機ポリマー126はフォトリソグラフィ技術を用いてパターン化することができる。
ブロック108において、均一および/または共形的な方法で有機層126上にフォトレジスト層128を堆積することができる。フォトレジスト層128は、フォトリソグラフィプロセスにおいて現在一般に使用される放射線の種類である可視光、深紫外(DUV)光、極紫外(EUV)光、電子ビーム、およびX線放射エネルギーに露光すると化学反応する任意の放射線感受性組成物であってよい。放射線に露光すると、フォトレジスト層128中の分子の結合が破壊されたり溶解性が高くなったりすることがあり、それによって露光部分は、フォトレジスト現像化合物を用いて溶解させることができる。フォトレジスト層128はポジ型フォトレジストであってよい。ポジ型フォトレジストは放射線に露光すると溶解性が高くなることができ、ネガ型フォトレジストは放射線に露光すると溶解性が低くなることができる。一実施形態において、ポジ型フォトレジストまたはネガ型フォトレジストは、保護されたもしくは保護されていないメタクリル酸コポリマー、保護されたもしくは保護されていないアクリルコモノマー、スチレン、ヒドロキシスチレン、または保護されたもしくは保護されていないヒドロキシスチレンコモノマーの1つ以上を含むことができるが、これらに限定されるものではない。放射線の露光は、周知のフォトリソグラフィ装置および技術を用いてフォトレジスト層128の離散的部分を放射線に露光することができるパターン化プロセスによって制御することができる。別の一実施形態においては、フォトレジスト層128は、別の種類の現像液中でポジ型フォトレジストよりも溶解性が低くなりうるネガ型フォトレジストであってよく、それによってネガ型フォトレジスト層の露光部分は無傷のままとなり、一方、未露光部分は除去することができる。
ブロック110において、フォトレジスト層128は、離散的部分を放射線(たとえば、光)に露光して、それらの露光領域が、一実施形態において、溶解性がより高くなるように、またはより容易に除去できるようにして、有機ポリマー層126の部分を露出させることで、パターン化することができる。有機ポリマー126の露出部分130は、エッチングまたは洗浄などの後の処理を行うことができる。DSAテンプレートパターンは、図1Aに示されるようなフォトレジスト層128の実質的に正方形または長方形の断面プロファイルを形成する直線を含むことができる。有機ポリマー126の露出部分130は、図1A〜1B中の方法100の流れ図には示されていない化学処理および/またはプラズマ処理中に除去されている場合があるフォトレジスト層128の部分とは反対側にある。
ブロック112において、フォトレジスト層128のパターンが有機ポリマー126に転写される方法で、化学的プロセスを使用して有機ポリマー126の露出部分130の除去またはエッチングを行うことができる。このような化学的プロセスは、除去プロセス中に有機ポリマー126およびフォトレジスト層128の少なくとも1つの側壁上に残存ポリマー132を形成するガスを含むことができるが、これに限定されるものでない。たとえば、ガス(たとえば、CF)を使用して有機ポリマー126が除去されるときに、除去プロセスの副生成物として不動態化ポリマー(たとえば、残存ポリマー132)が形成されうる。残念ながら、残存ポリマー132は、基板104中にサブ30nmの特徴を形成するためのDSAテンプレートの実装に干渉しうる。たとえば、有機ポリマー126およびフォトレジスト層128は、より狭い幅にトリミングして(図示せず)、前のパターン化プロセス(たとえば、ブロック110)で得られたパターンよりも小さい特徴を可能とするDSAテンプレートを形成することができる。このトリミングは、有機ポリマー126の幅を狭める両層のさらなるパターン化ステップおよびエッチングステップを含むことができる。残存ポリマー132は、トリミングプロセスと干渉しうる。したがって、残存ポリマー132は、DSA技術の性能または能力を改善するために除去することができる。フォトレジスト層128のエッチングによって、露光面が硬化する場合があり、そのため硬化部分はフォトレジスト層128の未露光部分よりも耐薬品性が高くなりうる。たとえば、フォトレジスト層128の露光部分(たとえば、残存ポリマー132)は、未露光部分よりも緻密化されることがあり、そのためフォトレジスト層128は、プラズマエッチング処理または湿式化学エッチング処理を用いた除去がより困難になりうる。したがって、除去をより容易にするために残存ポリマー132を処理することが望ましい場合がある。そのような処理は後述の同一または類似のUV処理ステップを含むことができる。
図1B中のブロック114において、残存ポリマー132を有機ポリマー126およびフォトレジスト層128から除去するために使用できる任意の化学作用の選択性を改善するために、基板104を紫外(UV)光126に露光することができる。UV光126は、少なくとも100nmの波長を有する電磁放射線を含むことができる。一実施形態において、UV光126は、同時に、または連続して基板に露光できる電磁放射線の1つ以上の波長を含むことができる。一実施形態においては、UV光126の単一波長を基板104の処理に使用することができる。UV光126によって、フォトレジスト層128および残存層126の種々の機構による除去をより容易にすることができる。
別の一実施形態においては、UV光126の2つの異なる波長を使用して基板104を処理することができる。光の第1の波長は200nm未満であってよく、光の第2の波長は200nmを超えてよい。UV光126は最大150J/cmの線量を有することができる。別の一実施形態において、UV光126の第1の波長は約185nmであってよく、UV光126の第2の波長は約254nmであってよい。
別の一実施形態において、UV光126の波長の分布は、ブロック114における露光プロセス中に変化させることもできる。たとえば、UV光126は少なくとも10%の第1の波長を含むことができ、残りが第2の波長のUV光126を含むことができる。特定の一実施形態において、UV光126の少なくとも10%は約185nmの波長を含むことができ、UV光126の残りは約254nmの波長を有することができる。
UV光126に加えて、露光プロセスは、残存層132とフォトレジスト層128の露光面とを脆弱化し、両方の層をより除去しやすく(たとえば、改善された選択性)することができる反応ガス134を含むこともできる。反応ガスとしては、単原子酸素、二原子酸素、および/またはオゾンを挙げることができるが、これらに限定されるものではない。
ブロック116において、UV光126と反応ガス134との組合せによって、残存ポリマー層136およびフォトレジスト層128の表面138の酸化および/または脆弱化が可能となる。反応ガス134としては、反応ガス134がUV光126またはあらゆる他のエネルギー源に曝露したときにオゾンを発生可能な二原子元素を挙げることができる。少なくとも、UV光126および/または反応ガス134の組合せを使用して、残存ポリマー136の表面状態を変化させることができる。たとえば、残存ポリマー136および/または脆弱化したフォトレジスト層138は、UV光126の少なくとも一部に対して高吸収性となることができ、電子の励起が誘発され、それによって脆弱化した残存ポリマー136および/またはフォトレジスト層128の脆弱化した表面138の中の結合の破壊を促進することができる。一実施形態において、フォトレジスト層128は、185nmの波長のUV光126に対してより高い吸収性となることができ、フォトレジスト層128中の結合が破壊の可能性がより高くなりうる。他方、254nmのUV光126は、下にある層に対して吸収性とならない場合があるが、下にある層中の結合を破壊するのに十分なエネルギーを有する254nmフォトンのより高いフルエンスが存在しうる。UV光126のより長い波長は、より短い波長のUV光126よりも、下にある層中の炭素鎖を破壊する可能性が高くなりうる。
反応ガス134は脆弱化した残存ポリマー136の親水性を高めることもでき、それによって脆弱化した残存ポリマー136の後の湿式化学プロセスにおける除去がより容易になりうる。
ブロック118において、フォトレジスト層128および脆弱化した残存ポリマー136を除去して有機ポリマー126を露出させるために、湿式化学プロセスを使用することができる。一実施形態において、湿式化学プロセスは、水酸化アンモニウム、過酸化水素、水、水性、半水性、非水性の化学溶液、またはそれらのあらゆる組み合わせの化学物質の1つ以上を含むことができる1つ以上のステップを含むことができる。したがって、フォトレジスト層128および残存ポリマー136、138を除去した後に、有機ポリマー126は基板104上にパターンを形成することができる。ある場合では、パターンは、基板104全体に有機ポリマー126の平行または実質的に平行の線を含むことができる。
一実施形態において、湿式化学プロセスは、水酸化アンモニウム、過酸化水素、および水の組合せを1:1:5の比率で含むことができ、27重量%の水酸化アンモニウムおよび30%の過酸化水素を使用することができる。ある場合では、上記組合せの温度は50℃であってよく、この組合せに基板104を最長2分間曝露することができる。
別の一実施形態において、湿式化学プロセスは、化学物質のテトラメチルアンモニウム、N−メチルピロリドンおよび/またはジメチルスルホキシドの1つ以上を含むことができるが、これらに限定されるものではない。上記の湿式化学の組合せは45℃〜65℃の間に加熱し、基板104に最長2分間曝露することができる。特定の一実施形態において、基板104の曝露時間は1分であってよい。
ブロック120において、中性コポリマー層140を基板104上に堆積することができ、有機層126と有機層126の部分の間の空間とを覆うことができる。一実施形態において、中性コポリマー層は、基板104にグラフトしてよく、有機層126にはグラフトしなくてよい。このグラフトプロセスは、300℃未満でベークするステップを含むことができる。一般に、中性コポリマー層140は、基板104上に堆積された有機層126または後のBCP層(図示せず)に対する化学親和力を有さなくてよい。中性コポリマー層140としては、非整列コポリマーブロックを挙げることができるが、これに限定されるものではない。
図1Cに示されるように、ブロック122において、有機層126と中性コポリマー層140との間のステップ高さの差を最小限にするリンスまたは化学的プロセスを用いて、中性コポリマー層140の非グラフト部分を基板104から除去することができる。ステップ高さは、基板104と、有機層126および中性コポリマー層140の反対側の表面との間の厚さの差である。たとえば、ステップ高さの差が最小限となるように、基板104とは反対側の有機層126および中性コポリマー層140の表面は、互いに同一平面または実質的に同一平面であってよい。
ブロック124において、中性コポリマー層140および有機ポリマー126の上に、非整列またはランダムコポリマー層142を堆積することができる。ランダムコポリマー層142は、基板104の後の処理中に予測可能な方法で自己組織化(self-organize)または自己集合(self-assemble)が可能な少なくとも2つのポリマーブロックを含むことができる。たとえば、これらのポリマーブロックは、非混和性であってよく、適切な条件下で分離して1つのブロック種、またはそれぞれ種の交互パターンを主として含有するドメイン(図示せず)を形成することができる。ランダムコポリマー層142は、限定するものではないがスピンオンコーティング、スピンキャスティング、刷毛塗り、または気相堆積などの種々の方法によって堆積することができる。たとえば、ランダムコポリマー層142は、有機溶媒、たとえばトルエンなどのキャリア溶媒中の溶液として供給することができる。この溶液を基板104に塗布することができ、続いて中性コポリマー層140およびキャリア溶媒を除去してランダムコポリマー層142を得ることができる。ランダムコポリマー層142は、ポリスチレンおよびポリメチルアクリレート(PMMA)を含むことができる2つ以上のポリマー種を含むことができるが、これらに限定されるものではない。
材料の相分離と類似のプロセスで熱力学的考察により、異なる種が自己集合すると理解されることは認識されよう。自己組織化は、有機層126と中性コポリマー層140との間の物理的界面、および互いの化学親和力によって誘導される。したがって、ブロックコポリマーの構成ブロック自体は、基板104のパターン化された部分の長さに沿って配向しうる。自己組織化は、ブロック124の隣に示される層状構造をアニールすることによって促進し加速させることができる。アニールプロセスの温度は、ブロックコポリマーまたは層状構造に対する悪影響を防止するために十分低温から選択することができる。アニールは、ある実施形態では約350℃未満、約300℃未満、約250℃未満、約200℃未満、または約180℃の温度で行うことができる。別の一実施形態によると、アニールプロセスは、アニール温度が一般に低下する溶媒アニールを含むことができる。
アニール時間は約数時間から約1分の範囲であってよい。たとえば、250℃を超える温度でのアニール時間は約1時間〜約2分、約30分〜約2分、または約5分〜約2分の範囲であってよい。
一実施形態によると、アニール温度は約260℃〜約350℃の範囲内であってよく、低酸素雰囲気は約40ppm未満の酸素を含む。たとえば、ブロックコポリマー層380は、310℃で低酸素環境(たとえば、300ppm以下)中のアニール条件に約2分〜約5分曝露することができる。
ランダムコポリマー層142のアニールによって、図2に示される実施形態に示されるような、隣り合って配列した複数の交互に配列した種のドメインへの自己組織化が促進されうる。
別の実施形態において、DSAテンプレートは、図1A〜1Cに示されるプロセスフローとは異なる種々のプロセスフローを用いて形成することができる。本開示の範囲は、ガイドストライプ(たとえば、有機ポリマー126)を堆積してパターン化し、中性層(たとえば、中性コポリマー層140)を埋め戻す図1A〜1Cのフローに限定しなくてよい。特定の一実施形態においては、中性層を堆積してトレンチラインでパターン化することができ、ガイド材料をトレンチ中に埋め戻すことができる。このようにして、堆積された第1の層は、図1A〜1Cの実施形態のPS層に対して中性となることができ、埋め戻し層は中性となる代わりにガイド層として機能することができる。中性層のパターン化は、図1A〜1C中のプロセスと類似していてよいエッチングおよび有機ストリップを含むことができる。たとえば、基板104は中性層をコーティングすることができ、これをパターン化しエッチングすることができ、ちょうど図1Cのブロック122の隣の図に示されるように、トレンチは、ポリ(スチレン)系ブラシ材料を埋め戻し、ベークし、リンスすることができる。このような処理によって、ブロックコポリマーの自己組織化の誘導に使用される同じ化学テンプレートが得られるが(すなわち、図1C、ブロック122)、異なる処理ステップの組によって得られる。残存層は、エッチングプロセス中にPS層上に形成されうる。したがって、残存層は前述のUV処理を用いて除去することができる。
図2は、ランダムコポリマー層142のアニールによって少なくとも部分的には実施可能なブロックコポリマー層の1倍(1X)頻度の実施形態200およびブロックコポリマー層の3倍(3X)頻度の実施形態202を示している。しかし、交互に配列する化学種の頻度は、1倍および3倍に限定されなくてもよく、1倍〜10倍以上の間の任意の頻度を含むことができる。アニールによって、並列し、有機層126および中性コポリマー層140とは反対側にある交互の化学種(たとえば、ポリスチレン206およびPMMA208)の自己組織化が生じうる。ドメインサイズ(Lo)210は、互いに隣接しうる各化学種の幅の1回の繰り返しで表すことができる。Loパターンは、基板全体にわたって繰り返して、互いに平行となりうる線および空間の配列を形成することができる。同様に、下にある有機層126および中性コポリマー層140は、図2で示されるように2つの化学種が交互に配列する周期距離212内で変動しうる。ポリスチレンドメイン206およびPMMAドメイン208の位置は、下にある層の構造によって変化させることができ、有機層126および中性コポリマー層140との間で特定の頻度で繰り返すことができる。図2は単に、異なるパターン頻度を使用する2つの代表的な実施形態を含んでいる。
1倍頻度の実施形態200において、ポリスチレンブロック206が中性コポリマー層140のブロックの1つと向かい合うことができるように、交互に配列される化学種は対応する下にある層と1対1の関係で関連することができる。ポリスチレンブロック206およびPMMAブロック208は、互いに平行または実質的に平行となることができる。DSAテンプレートの形成の品質の程度は、表面領域にわたって平行な線および/または空間がどのように存在しうるかに基づいて測定することができる。
一実施形態において、平行な線/空間は、少なくとも1μmの表面積を有する領域にわたって少なくとも95%が平行または実質的に平行であってよい。特定の一実施形態において、表面積は1.2656μmであってよい。別の一実施形態においては、平行な線/空間の品質は、ある表面積にわたって少なくとも95%が平行であってよく、これはある表面積にわたって少なくとも40の線/空間の配列を含むことができ、これはその配列の過半数を超えうる。たとえば、配列の表面積は、その配列の端部または周囲の10Loの範囲内であってよい表面積を除いた全部の配列を含むことができる。
ある場合には、配列の品質は、品質制御の目的で配列の分離を可能にする2つ以上の種類に分離することができる。たとえば、配列は、95%〜100%、60%〜94%、および59%未満の3つの種類に入りうる。ある場合には、合格基準は、DSA処理方式の制御または限定のために95%〜100%であってよい。別の場合には、合格基準は60%〜100%であってよく、その場合59%未満の構造はいずれの実施形態でも合格とならない。
別の実施形態において、ドメインサイズ210および周期距離212は、1倍頻度の実施形態200に示されるような1:1の比から変動することができる。したがって、異なる化学種の重なり合いを使用して、1倍頻度の実施形態200を使用する場合とは異なるパターンを基板104または下にある層の中に選択的にエッチングすることができる。
3倍頻度の実施形態202において、ドメインサイズ(Lo)210は、周期距離214の範囲内でより高い頻度で交互に配列することができ、それによってドメインサイズ210は特定の周期距離214の範囲内で数回交互に配列することができる。この実施形態において、周期距離214は、継続マーカー216で示されるように図2中に示されるよりもさらに延長されうる。この場合、上にある層と下にある層との比は3:1となりうる。しかし、別の実施形態において、この比は最大10:1となりうる。さらに、1倍頻度の実施形態200の説明で前述した品質基準は、3倍頻度の実施形態202における上にある層中の線/空間の評価および/または分類に使用することもできる。
図3は、基板104上に形成された第1の有機膜、下にある第2の有機膜を選択的に除去するための方法の対応する図302を有する流れ図300を含んでいる。除去選択性によって、下にある有機膜の厚さまたは表面状態の変化を最小限にすることができる。
ブロック304において、限定するものではないが化学気相堆積またはスピンオンコーティングなどであってよいあらゆる周知の堆積技術を用いて、第1の有機材料312を基板104上に堆積することができる。一実施形態において、第1の有機層312は、フォトリソグラフィプロセスにおいて現在一般に使用される放射線の種類である可視光、深紫外(DUV)光、極紫外(EUV)光、電子ビーム、およびX線放射エネルギーに露光すると化学反応する任意の放射線感受性組成物であってよい。放射線に露光すると、フォトレジスト層128中の分子の結合が破壊されたり溶解性が高くなったりすることがあり、それによって露光部分は、フォトレジスト現像化合物を用いて溶解させることができる。フォトレジストは、保護されたもしくは保護されていないメタクリル酸コポリマー、保護されたもしくは保護されていないアクリルコモノマー、スチレン、ヒドロキシスチレン、または保護されたもしくは保護されていないヒドロキシスチレンコモノマーの1つ以上を含むことができるが、これらに限定されるものではない。
ブロック306において、第1の有機層312の上に第2の有機膜314を堆積することができ、このような有機膜は、互いに物理的に結合し、2つの膜のあらゆる類似の有機の性質にもかかわらず、これらは互いに相対的に区別可能である。一実施形態において、第2の有機膜314は、第2の有機膜314中への光の透過を可能にするが、第2の有機層314から反射できる光の量は制限される反射防止材料であってよい。
ブロック308において、UV光126および酸素126の組合せを用いて、第2の有機層314の第1の部分を除去することができる。図3に示される、より薄い第2の有機層316および第2の有機層314の間の厚さの違いで示されるように、第1の部分は、第2の有機層314の厚さの少なくとも40%を含むことができる。
一実施形態において、基板104を紫外(UV)光126に露光して、第2の有機層314の第1の部分を除去することができ、および/または第2の有機層314の残部、すなわち第2の部分に使用できる任意の化学作用の選択性を改善することができる。UV光126は、少なくとも100nmの波長を有する電磁放射線を含むことができる。一実施形態において、UV光126は、基板に同時または逐次露光することができる電磁放射線の1つ以上の波長を含むことができる。一実施形態においては、UV光126の1つの波長を用いて基板104を処理することができる。
別の一実施形態において、UV光126の2つの異なる波長を用いて第2の有機層314を処理することができる。光の第1の波長は200nm未満であってよく、光の第2の波長は200nmを超えてよい。UV光126は最大150J/cmの線量を有することができる。別の一実施形態において、UV光126の第1の波長は約185nmであってよく、UV光126の第2の波長は約254nmであってよい。
別の一実施形態において、UV光126の波長の分布は、ブロック308における露光プロセス中に変化させることもできる。たとえば、UV光126は、少なくとも10%の第1の波長を含むことができ、残りは第2の波長のUV光126を含むことができる。特定の一実施形態において、UV光126の少なくとも10%は約185nmの波長を含むことができ、UV光126の残りは約254nmの波長を有することができる。
UV光126に加えて、露光プロセスは、第2の有機層314の脆弱化が可能な反応ガス134を含むこともできる。反応ガスとしては、単原子酸素、二原子酸素、および/またはオゾンを挙げることができるが、これらに限定されるものではない。
UV光126と反応ガス134との組合せによって、第2の有機層314の酸化および/または脆弱化を行うことができる。反応ガス134は、反応ガス134がUV光126またはあらゆる他のエネルギー源に曝露したときにオゾンを発生させるために使用できる二原子酸素を含むことができる。少なくとも、UV光126および/または反応ガス134の組合せを使用して第2の有機層314の表面状態を変化させることができる。反応ガス134は脆弱化した第2の有機層314の親水性を高めることもでき、それによって脆弱化した第2の有機層316は、後の湿式化学プロセス中のより容易な除去が可能となる。
ブロック310において、第2の有機層316の第2の部分を除去するために湿式化学処理を使用することができ、それによって第1の有機層312の厚さおよび/または表面状態の変化を最小限にすることができる。湿式化学処理としては、ブロック118の説明に記載の湿式化学処理を挙げることができるが、それらに限定されるものではない。
請求項の説明のために使用が意図されるのは、詳細な説明の項であって、要約書の項ではないことを理解されたい。要約書の項には、本開示の1つ以上であるがすべてではない代表的な実施形態が記載されうるが、本開示および添付の請求項の限定を意図するものでは決してない。
本開示は、本開示の1つ以上の実施形態の説明によって示され、それらの実施形態は相当に詳細に説明してきたが、それらによって、添付の特許請求の範囲がそのような詳細に制限されることを意図されるものではなく、限定されることを意図されるものでは決してない。さらなる利点および修正は、当業者には容易に明らかとなるであろう。したがって、より広い態様による本発明は、示され記載される特定の詳細、代表的な装置および方法、ならびに説明的な例に限定されるものではない。したがって、一般的な本発明の概念の範囲からは逸脱することなく、そのような詳細から逸脱することが可能である。

Claims (30)

  1. 基板をパターン化するための誘導自己組織化技術のための方法であって:
    前記基板をポリマーでコーティングするステップと;
    前記ポリマーをベーク温度まで加熱して、前記ポリマーの密度を増加させるステップと;
    フォトレジスト層を使用して前記ポリマーをパターン化するステップと;
    フォトレジストで覆われていない前記ポリマーの部分を除去するステップと;
    前記ポリマーの前記部分の前記除去中に前記ポリマーの少なくとも1つの側面の側壁上に残存ポリマーを形成するステップと;
    酸素および紫外光(UV)の1つ以上の波長を用いて前記フォトレジストの一部を酸化するステップと;
    前記酸素および紫外光の前記1つ以上の波長を用いて前記残存ポリマーの表面状態を変化させるステップと;
    前記フォトレジストおよび前記残存ポリマーを除去して前記ポリマーを露出させるステップと、
    を含む方法。
  2. 前記パターン化されたポリマーに対してよりも前記基板に対してより強く接着する選択的材料で前記パターン化されたポリマーおよび前記基板をコーティングするステップと;
    前記露出したポリマーの上にある前記選択的材料を除去するステップと、
    をさらに含む、請求項1に記載の方法。
  3. 前記選択的材料は、ランダムコポリマー層を含み、前記ランダムコポリマー層は、アニールされるとブロックコポリマーを形成することができる、請求項2に記載の方法。
  4. ポジ型フォトレジストまたはネガ型フォトレジストが:
    保護されたもしくは保護されていないメタクリル酸コポリマー;
    保護されたもしくは保護されていないアクリルコモノマー;
    スチレン;
    ヒドロキシスチレン;または
    保護されたもしくは保護されていないヒドロキシスチレンコモノマー
    の1つ以上を含む、請求項3に記載の方法。
  5. 前記波長が200nm未満の波長、または200nmを超える波長を含む、請求項1に記載の方法。
  6. 前記紫外光が、200nmを超える前記波長の場合の0.01J/cm〜150J/cmの間のより低い波長の線量、または200nm未満の前記波長の場合の0.01J/cm〜13J/cmの間のより高い波長の線量を含む、請求項5に記載の方法。
  7. 前記ポリマーがポリスチレンを含む、請求項1に記載の方法。
  8. UV光の前記1つ以上の波長が、200nm未満の第1の波長を含み、およびUV光の第2の波長が200nmを超える波長を含む、請求項1に記載の方法。
  9. 前記紫外光が、200nm未満の波長を有する少なくとも10%の光を含む、請求項1に記載の方法。
  10. 前記ベーク温度が200℃〜310℃の間の温度を含む、請求項1に記載の方法。
  11. フォトレジストを基板から除去する方法であって:
    ポリマーを含む前記基板上の前記フォトレジストをパターン化するステップと;
    前記パターン化されたフォトレジストによって露出する前記ポリマーを除去するステップであって、前記除去によって、前記ポリマーの側壁上に残存ポリマーを生成し、前記フォトレジストを硬化するステップと;
    前記基板を紫外光および酸素に曝露するステップであって、前記紫外光がUV光の1つ以上の波長を含むステップと;
    湿式化学プロセスを用いて前記フォトレジストおよび前記残存ポリマーを除去して、パターン化されたポリマーを前記基板上に形成するステップと、
    を含む、方法。
  12. 前記ポリマーがポリスチレンを含む、請求項11に記載の方法。
  13. 前記紫外光の曝露によって、前記硬化したフォトレジストおよび残存ポリマーが酸化される、請求項11に記載の方法。
  14. 前記湿式化学プロセスが、水酸化アンモニウム、過酸化水素、水、水性、半水性、または非水性の化学溶液を含む、請求項11に記載の方法。
  15. 前記波長が185nm以下の波長を含む、請求項11に記載の方法。
  16. 前記波長が少なくとも220nmの波長を含む、請求項15に記載の方法。
  17. 前記波長が200nm未満の第1の波長と、200nmを超える第2の波長とを含む、請求項11に記載の方法。
  18. 200nmを超える前記波長が約254nmの波長を含む、請求項17に記載の方法。
  19. 前記ポリマーが5nm〜20nmの間の厚さを含む、請求項11に記載の方法。
  20. 前記ポリマーが、前記ポリマーの底面から40度〜105度の間の側壁角度を含む、請求項11に記載の方法。
  21. 基板から第1の有機層を除去する方法であって:
    第2の有機層を含む前記基板の上に前記第1の有機層を堆積するステップと;
    前記第1の有機層を紫外光および酸素に曝露することによって、前記第1の有機層の第1の部分を除去するステップと;
    湿式化学プロセスを用いて前記第1の有機層の第2の部分を除去するステップと、
    を含む、方法。
  22. 前記第1の部分が前記第1の有機層の厚さの少なくとも40%を含み、前記第2の部分が前記第1の有機層の厚さの残部を含む、請求項21に記載の方法。
  23. 前記第1の有機層がフォトレジストを含み、前記第2の有機層が有機反射防止材料を含み、前記基板がシリコンを含む、請求項21に記載の方法。
  24. 前記第1の部分の前記除去の後、前記第1の有機層が前記第2の有機層よりも高い酸素濃度を含む、請求項21に記載の方法。
  25. 前記紫外光が200nm未満の波長の第1の分布と、200nmを超える波長の第2の分布とを含む、請求項21に記載の方法。
  26. 200nm未満である前記波長が185nm以下の波長を含む、請求項25に記載の方法。
  27. 200nmを超える前記波長が、少なくとも220nmの波長を含む、請求項26に記載の方法。
  28. 前記紫外光が約185nmの波長を含む、請求項21に記載の方法。
  29. 200nmを超える前記波長が、約254nmの波長を含む、請求項28に記載の方法。
  30. 前記紫外光が100nmを超える波長を含む、請求項21に記載の方法。
JP2016540906A 2013-09-04 2014-08-22 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離 Active JP6452136B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361873515P 2013-09-04 2013-09-04
US61/873,515 2013-09-04
PCT/US2014/052292 WO2015034690A1 (en) 2013-09-04 2014-08-22 Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018228204A Division JP6691195B2 (ja) 2013-09-04 2018-12-05 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離

Publications (2)

Publication Number Publication Date
JP2016532311A true JP2016532311A (ja) 2016-10-13
JP6452136B2 JP6452136B2 (ja) 2019-01-16

Family

ID=52583832

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016540906A Active JP6452136B2 (ja) 2013-09-04 2014-08-22 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
JP2018228204A Active JP6691195B2 (ja) 2013-09-04 2018-12-05 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2018228204A Active JP6691195B2 (ja) 2013-09-04 2018-12-05 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離

Country Status (5)

Country Link
US (2) US10490402B2 (ja)
JP (2) JP6452136B2 (ja)
KR (3) KR102399752B1 (ja)
TW (2) TWI570516B (ja)
WO (1) WO2015034690A1 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6458174B1 (ja) * 2018-01-12 2019-01-23 デクセリアルズ株式会社 パターン形成方法及び偏光板の製造方法
WO2019018227A1 (en) * 2017-07-19 2019-01-24 Lam Research Corporation ATOMIC LAYER CLEANING FOR THE ELIMINATION OF PHOTOSENSITIVE RESIN PATTERN FORMATION
KR20190020984A (ko) * 2017-08-22 2019-03-05 에스케이이노베이션 주식회사 중성층 형성용 랜덤 공중합체 및 이를 포함하는 패턴 형성용 적층체, 이를 이용한 패턴 형성 방법
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
WO2020069346A1 (en) * 2018-09-28 2020-04-02 Tokyo Electron Limited Method for globally adjusting spacer critical dimension using photo-active self-assembled monolayer
US10685836B2 (en) 2016-04-29 2020-06-16 Lam Research Corporation Etching substrates using ALE and selective deposition
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478429B2 (en) * 2012-03-13 2016-10-25 Massachusetts Institute Of Technology Removable templates for directed self assembly
JP6494446B2 (ja) * 2015-06-23 2019-04-03 東京エレクトロン株式会社 基板処理方法、プログラム及びコンピュータ記憶媒体
JP6346132B2 (ja) * 2015-09-11 2018-06-20 株式会社東芝 パターン形成方法
JP6441499B2 (ja) * 2015-10-28 2018-12-19 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
US10021773B2 (en) 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element
JP6266680B2 (ja) * 2016-03-28 2018-01-24 株式会社トクヤマ 洗浄方法および洗浄液
FR3051964B1 (fr) * 2016-05-27 2018-11-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie
KR102614850B1 (ko) * 2016-10-05 2023-12-18 삼성전자주식회사 반도체 소자 제조방법
US9632408B1 (en) * 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
FR3075775B1 (fr) * 2017-12-21 2020-01-17 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’une structure de guidage chimique sur un substrat et procede de chemo-epitaxie
EP3528045A1 (en) 2018-02-16 2019-08-21 IMEC vzw Method for forming a cross-linked layer

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10142799A (ja) * 1996-09-13 1998-05-29 Toshiba Corp 感光性材料、パターン形成方法、および電子部品の製造方法
JP2003332313A (ja) * 2002-05-14 2003-11-21 Fujitsu Ltd 半導体装置の製造方法
JP2004006878A (ja) * 2002-05-31 2004-01-08 Sharp Corp デュアルダマシン半導体デバイス
US20040091820A1 (en) * 2002-10-29 2004-05-13 Masaharu Nagai Method for removing resist pattern and method for manufacturing semiconductor device
JP2004172598A (ja) * 2002-10-29 2004-06-17 Semiconductor Energy Lab Co Ltd レジストパターンの除去方法及び半導体装置の作製方法
JP2007128087A (ja) * 2005-10-31 2007-05-24 Toshiba Corp 短波長用偏光素子及び偏光素子製造方法
JP2009042760A (ja) * 2007-08-09 2009-02-26 Samsung Electronics Co Ltd ブロック共重合体を利用した微細パターンの形成方法
JP2009063989A (ja) * 2007-02-16 2009-03-26 Shin Etsu Chem Co Ltd パターン形成方法並びにこれに用いるレジスト材料
JP2010505137A (ja) * 2006-09-27 2010-02-18 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション 反射防止膜組成物

Family Cites Families (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2768760B2 (ja) * 1989-10-19 1998-06-25 株式会社東芝 レジストアッシング装置
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
JPH03154330A (ja) * 1989-11-13 1991-07-02 Matsushita Electron Corp 半導体装置の製造方法
JPH03224212A (ja) * 1990-01-30 1991-10-03 Oki Electric Ind Co Ltd 光アッシング装置
JP3407289B2 (ja) 1991-05-13 2003-05-19 セイコーエプソン株式会社 電子放出装置およびその駆動方法
US5482803A (en) * 1992-02-07 1996-01-09 Canon Kabushiki Kaisha Process for preparing filter
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
IL112826A (en) * 1995-02-28 1998-09-24 Chip Express Israel Ltd Method for settling a deposited plasma polymer layer
US5709754A (en) 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
JPH1130711A (ja) 1997-07-11 1999-02-02 Canon Inc 回折光学素子及びその製造方法及び光学機器
WO2001017040A1 (en) 1999-08-31 2001-03-08 E Ink Corporation A solvent annealing process for forming a thin semiconductor film with advantageous properties
WO2001047428A1 (de) 1999-12-24 2001-07-05 Ivoclar Vivadent Ag Atraumatischer approximalraumerweiterer
JP2004502554A (ja) 2000-03-22 2004-01-29 ユニバーシティー オブ マサチューセッツ ナノシリンダー・アレイ
TW594444B (en) * 2000-09-01 2004-06-21 Tokuyama Corp Residue cleaning solution
JP2002231696A (ja) * 2001-01-31 2002-08-16 Mitsubishi Electric Corp レジスト除去方法とその装置
JP3623173B2 (ja) 2001-03-06 2005-02-23 独立行政法人科学技術振興機構 圧力ジャンプを用いるミクロ相分離ポリマー構造体の製造方法
US6303477B1 (en) 2001-04-04 2001-10-16 Chartered Semiconductor Manufacturing Ltd Removal of organic anti-reflection coatings in integrated circuits
JP2004519520A (ja) 2001-04-05 2004-07-02 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド フォトレジストのためのパーフルオロアルキルスルフォン酸化合物
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (ja) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 基板処理装置および基板洗浄装置
JP2003345026A (ja) * 2002-05-24 2003-12-03 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用塗布液組成物およびこれを用いたホトレジスト積層体、並びにホトレジストパターンの形成方法
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (ja) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
WO2004074242A2 (en) 2003-02-19 2004-09-02 Ciba Specialty Chemicals Holding Inc. Halogenated oxime derivatives and the use thereof as latent acids
US6846748B2 (en) 2003-05-01 2005-01-25 United Microeletronics Corp. Method for removing photoresist
US7060637B2 (en) * 2003-05-12 2006-06-13 Micron Technology, Inc. Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
KR100728547B1 (ko) * 2003-12-18 2007-06-15 동경 엘렉트론 주식회사 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독가능한기록 매체
JP4074593B2 (ja) 2004-02-26 2008-04-09 東京エレクトロン株式会社 減圧乾燥装置及び減圧乾燥方法
WO2005097883A2 (en) 2004-03-26 2005-10-20 King Industries, Inc. Method of producing a crosslinked coating in the manufacture of integrated circuits
WO2005101468A1 (ja) 2004-04-13 2005-10-27 Tokyo Electron Limited リンス処理方法および現像処理方法
JP4343018B2 (ja) 2004-04-20 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
KR101193824B1 (ko) 2004-07-20 2012-10-24 시바 홀딩 인크 옥심 유도체 및 잠산으로서의 이의 용도
US20070184656A1 (en) 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
JP2007100191A (ja) 2005-10-06 2007-04-19 Horiba Ltd 単分子膜形成装置及び単分子膜形成方法
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
EP1957282B1 (en) 2005-12-02 2013-04-10 Canon Kabushiki Kaisha Liquid discharge head producing method
FR2894715B1 (fr) 2005-12-09 2008-02-22 Xbybus Soc Par Actions Simplif Procede de fabrication de composant silicium et/ou germanium sur isolant
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
JP2007279493A (ja) 2006-04-10 2007-10-25 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物およびレジストパターン形成方法
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR101428820B1 (ko) 2007-05-14 2014-08-08 마이크론 테크놀로지, 인크. 토포그래피 지향 패터닝
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR100876836B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2009038360A (ja) * 2007-07-10 2009-02-19 Toshiba Corp パターン形成方法
JP2009051017A (ja) * 2007-08-23 2009-03-12 Fujifilm Corp 光ナノインプリントリソグラフィ用光硬化性組成物、及びパターン付き基板の製造方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8215074B2 (en) 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
KR20160036090A (ko) 2008-02-08 2016-04-01 램 리써치 코포레이션 이중 마스크 자기정렬 이중 패터닝 기술 (sadpt) 프로세스
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
ES2394681T3 (es) 2008-05-09 2013-02-04 Basf Se Colorantes encapsulados a base de polímeros para secar por rociado
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP5336283B2 (ja) 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
EP2166564B1 (en) 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP2010115832A (ja) 2008-11-12 2010-05-27 Panasonic Corp ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
JP5281386B2 (ja) * 2008-12-22 2013-09-04 株式会社日立製作所 高分子薄膜及びパターン媒体並びにこれらの製造方法
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US20100193898A1 (en) 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
US20100200774A1 (en) 2009-02-09 2010-08-12 Tel Epion Inc. Multi-sequence film deposition and growth using gas cluster ion beam processing
JP5413081B2 (ja) * 2009-02-18 2014-02-12 セイコーエプソン株式会社 画像表示方法および画像表示装置
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
JP5222805B2 (ja) 2009-07-09 2013-06-26 パナソニック株式会社 自己組織化パターン形成方法
US8138093B2 (en) * 2009-08-12 2012-03-20 International Business Machines Corporation Method for forming trenches having different widths and the same depth
US8915917B2 (en) 2009-08-13 2014-12-23 Cork Institute Of Technology Intramedullary nails for long bone fracture setting
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
JP5524228B2 (ja) 2009-09-25 2014-06-18 株式会社東芝 パターン形成方法
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US20110206905A1 (en) 2010-02-05 2011-08-25 The Governors Of The University Of Alberta Method for forming a block copolymer pattern
JP5003773B2 (ja) 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
NL2005956A (en) 2010-02-26 2011-08-29 Asml Netherlands Bv Method and apparatus for treatment of self-assemblable polymer layers for use in lithography.
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US8338806B2 (en) 2010-05-05 2012-12-25 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP5296022B2 (ja) 2010-08-09 2013-09-25 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5171909B2 (ja) 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
JP2014505119A (ja) 2010-11-24 2014-02-27 ダウ コーニング コーポレーション ブロックコポリマーの形態の制御
NL2007940A (en) 2010-12-23 2012-06-27 Asml Netherlands Bv Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography.
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
JP5484373B2 (ja) 2011-02-14 2014-05-07 東京エレクトロン株式会社 パターン形成方法
KR101891987B1 (ko) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 유기 발광장치 및 그 제조방법
WO2012175342A2 (en) 2011-06-23 2012-12-27 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
NL2008951A (en) 2011-06-23 2013-01-02 Asml Netherlands Bv Self -assemblable polymer and methods for use in lithography.
KR101890425B1 (ko) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 표시 기판의 제조 방법
KR101929865B1 (ko) 2011-07-18 2018-12-17 에이에스엠엘 네델란즈 비.브이. 디바이스 리소그래피에 사용하기 위한 자기-조립성 폴리머를 위한 템플릿의 제공 방법
JP2013041958A (ja) 2011-08-15 2013-02-28 Hitachi Cable Ltd プリント配線基板およびプリント配線基板の製造方法
WO2013040483A1 (en) 2011-09-15 2013-03-21 Wisconsin Alumni Research Foundation Directed assembly of block copolymer films between a chemically patterned surface and a second surface
US8691925B2 (en) * 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
KR20130034778A (ko) 2011-09-29 2013-04-08 주식회사 동진쎄미켐 유도된 자가정렬 공정을 이용한 반도체 소자의 미세패턴 형성 방법
US8734662B2 (en) 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
CN103187245B (zh) 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
JP5979660B2 (ja) 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
US9249013B2 (en) 2012-04-16 2016-02-02 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
US8900941B2 (en) 2012-05-02 2014-12-02 Globalfoundries Inc. Methods of forming spacers on FinFETs and other semiconductor devices
KR20130124861A (ko) 2012-05-07 2013-11-15 삼성전자주식회사 패턴 형성 방법
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
DE102012105384A1 (de) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off-Verfahren und Vorrichtung zum Durchführen des Lift-off-Verfahrens
JP5835123B2 (ja) * 2012-06-21 2015-12-24 Jsr株式会社 パターン形成用自己組織化組成物及びパターン形成方法
KR102003334B1 (ko) 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
JP5764102B2 (ja) 2012-09-05 2015-08-12 株式会社東芝 パターン形成方法
US9034197B2 (en) 2012-09-13 2015-05-19 HGST Netherlands B.V. Method for separately processing regions on a patterned medium
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
JP6088800B2 (ja) 2012-11-07 2017-03-01 株式会社東芝 パターン形成方法
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials
US8790522B1 (en) 2013-02-11 2014-07-29 Globalfoundries Inc. Chemical and physical templates for forming patterns using directed self-assembly materials
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9377683B2 (en) 2013-03-22 2016-06-28 HGST Netherlands B.V. Imprint template with optically-detectable alignment marks and method for making using block copolymers
US8853085B1 (en) 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US8900467B1 (en) 2013-05-25 2014-12-02 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
JP2015023063A (ja) 2013-07-16 2015-02-02 株式会社東芝 パターン形成方法及びマスクパターンデータ
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9053923B2 (en) 2013-11-05 2015-06-09 GlobalFoundries, Inc. Methods for fabricating integrated circuits including topographical features for directed self-assembly

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10142799A (ja) * 1996-09-13 1998-05-29 Toshiba Corp 感光性材料、パターン形成方法、および電子部品の製造方法
JP2003332313A (ja) * 2002-05-14 2003-11-21 Fujitsu Ltd 半導体装置の製造方法
JP2004006878A (ja) * 2002-05-31 2004-01-08 Sharp Corp デュアルダマシン半導体デバイス
US20040091820A1 (en) * 2002-10-29 2004-05-13 Masaharu Nagai Method for removing resist pattern and method for manufacturing semiconductor device
JP2004172598A (ja) * 2002-10-29 2004-06-17 Semiconductor Energy Lab Co Ltd レジストパターンの除去方法及び半導体装置の作製方法
JP2007128087A (ja) * 2005-10-31 2007-05-24 Toshiba Corp 短波長用偏光素子及び偏光素子製造方法
JP2010505137A (ja) * 2006-09-27 2010-02-18 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション 反射防止膜組成物
JP2009063989A (ja) * 2007-02-16 2009-03-26 Shin Etsu Chem Co Ltd パターン形成方法並びにこれに用いるレジスト材料
JP2009042760A (ja) * 2007-08-09 2009-02-26 Samsung Electronics Co Ltd ブロック共重合体を利用した微細パターンの形成方法
US20120003587A1 (en) * 2007-08-09 2012-01-05 Samsung Electronics Co., Ltd Method of forming fine patterns using a block copolymer

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10685836B2 (en) 2016-04-29 2020-06-16 Lam Research Corporation Etching substrates using ALE and selective deposition
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10566213B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Atomic layer etching of tantalum
US11239094B2 (en) 2016-12-19 2022-02-01 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US11257674B2 (en) 2017-05-16 2022-02-22 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
WO2019018227A1 (en) * 2017-07-19 2019-01-24 Lam Research Corporation ATOMIC LAYER CLEANING FOR THE ELIMINATION OF PHOTOSENSITIVE RESIN PATTERN FORMATION
KR20190020984A (ko) * 2017-08-22 2019-03-05 에스케이이노베이션 주식회사 중성층 형성용 랜덤 공중합체 및 이를 포함하는 패턴 형성용 적층체, 이를 이용한 패턴 형성 방법
KR102325779B1 (ko) 2017-08-22 2021-11-12 에스케이이노베이션 주식회사 중성층 형성용 랜덤 공중합체 및 이를 포함하는 패턴 형성용 적층체, 이를 이용한 패턴 형성 방법
US11643488B2 (en) 2017-08-22 2023-05-09 Sk Innovation Co., Ltd. Random copolymer, laminate, and method for forming pattern
US11009789B2 (en) 2018-01-12 2021-05-18 Dexerials Corporation Pattern formation method and method for manufacturing polarizing plate
JP6458174B1 (ja) * 2018-01-12 2019-01-23 デクセリアルズ株式会社 パターン形成方法及び偏光板の製造方法
JP2019124738A (ja) * 2018-01-12 2019-07-25 デクセリアルズ株式会社 パターン形成方法及び偏光板の製造方法
WO2019139116A1 (ja) * 2018-01-12 2019-07-18 デクセリアルズ株式会社 パターン形成方法及び偏光板の製造方法
WO2020069346A1 (en) * 2018-09-28 2020-04-02 Tokyo Electron Limited Method for globally adjusting spacer critical dimension using photo-active self-assembled monolayer
US11567407B2 (en) 2018-09-28 2023-01-31 Tokyo Electron Limited Method for globally adjusting spacer critical dimension using photo-active self-assembled monolayer

Also Published As

Publication number Publication date
TWI570516B (zh) 2017-02-11
KR20210040168A (ko) 2021-04-12
KR102394994B1 (ko) 2022-05-04
JP2019062219A (ja) 2019-04-18
US10490402B2 (en) 2019-11-26
KR20160052616A (ko) 2016-05-12
KR102394998B1 (ko) 2022-05-04
KR20210040167A (ko) 2021-04-12
JP6452136B2 (ja) 2019-01-16
US20200066509A1 (en) 2020-02-27
US20150064917A1 (en) 2015-03-05
TW201523159A (zh) 2015-06-16
KR102399752B1 (ko) 2022-05-20
TWI631434B (zh) 2018-08-01
US11538684B2 (en) 2022-12-27
TW201708981A (zh) 2017-03-01
JP6691195B2 (ja) 2020-04-28
WO2015034690A1 (en) 2015-03-12

Similar Documents

Publication Publication Date Title
JP6691195B2 (ja) 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
JP6139011B2 (ja) 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
US8088551B2 (en) Methods of utilizing block copolymer to form patterns
US8334089B2 (en) Method of fine patterning semiconductor device
JP5418923B2 (ja) 基板作製方法
JP5542766B2 (ja) パターン形成方法
KR101350072B1 (ko) 서브 리소그래픽 패터닝을 위해 블록 공중합체 자기 조립을 사용하는 방법
TWI451478B (zh) 在基板上形成圖案之方法
JP2015520510A (ja) ブロックコポリマーを用いたパターンの形成および物品
JP6045746B2 (ja) 誘導自己組織化ケモエピタキシ用途において有機フィルムを除去するためのトラック処理
US9405201B2 (en) Lithography process using directed self assembly
US9991115B2 (en) Directed self-assembly using trench assisted chemoepitaxy
JP2014135435A (ja) 半導体装置の製造方法
US20180275519A1 (en) Pattern Formation Method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170718

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180605

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180831

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181026

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181106

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20181205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181205

R150 Certificate of patent or registration of utility model

Ref document number: 6452136

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250