KR20160052616A - 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리 - Google Patents

유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리 Download PDF

Info

Publication number
KR20160052616A
KR20160052616A KR1020167008478A KR20167008478A KR20160052616A KR 20160052616 A KR20160052616 A KR 20160052616A KR 1020167008478 A KR1020167008478 A KR 1020167008478A KR 20167008478 A KR20167008478 A KR 20167008478A KR 20160052616 A KR20160052616 A KR 20160052616A
Authority
KR
South Korea
Prior art keywords
wavelength
polymer
photoresist
substrate
layer
Prior art date
Application number
KR1020167008478A
Other languages
English (en)
Other versions
KR102394994B1 (ko
Inventor
마크 서머벨
이안 브라운
이산 심스
아인호아 네그레이라
캐슬린 나푸스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Priority to KR1020217009349A priority Critical patent/KR102399752B1/ko
Priority to KR1020217009352A priority patent/KR102394998B1/ko
Publication of KR20160052616A publication Critical patent/KR20160052616A/ko
Application granted granted Critical
Publication of KR102394994B1 publication Critical patent/KR102394994B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material

Abstract

기판 상에 서브-30nm 피처의 형성을 가능하게 하는 DSA 템플릿에서 개선된 가이드 스트립의 형성을 허용함으로써 유도 자기 조립(DSA) 처리 방식을 개선하는 처리 방법이 개시된다. 개선된 가이드 스트립은 상이한 유기물층과 막 사이에서 습식 화학 공정의 선택성을 개선함으로써 형성될 수 있다. 일 실시형태에 있어서, 자외선 광의 하나 이상의 파장으로 유기물층을 처리하면 선택성을 개선할 수 있다. 자외선 광의 제1 파장은 200nm 미만이고 자외선 광의 제2 파장은 200nm보다 높을 수 있다.

Description

유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리{UV-ASSISTED STRIPPING OF HARDENED PHOTORESIST TO CREATE CHEMICAL TEMPLATES FOR DIRECTED SELF-ASSEMBLY}
<관련 출원에 대한 교차 참조>
37 C.F.R §1.78(a)(4)에 따라서, 본원은 2013년 9월 4일자 출원된 미국 가특허 출원 제61/873,515호를 우선권 주장한다.
<발명의 분야>
본 발명은 반도체 처리 기술에 관한 것으로, 특히 기판에서 블록 공중합체(BCP)의 유도 자기 조립 방법에 관한 것이다.
포토리소그래피는 집적회로 또는 전자 소자를 형성하기 위해 사용되는 기판 상에 각종 패턴을 생성하는데 이용될 수 있는 반도체 제조 기술이다. 반도체 소자에서의 비용 및 성능 개선은 기판 상에서의 소자 밀도를 증가시킴으로써 달성될 수 있다. 더 높은 소자 밀도를 달성하는 하나의 접근법은 반도체 기판 상에 형성되는 피처(feature)들의 소형화를 증가시키는 것일 수 있다. 따라서, 더 작은 패턴들을 생성하는 새로운 방법 및 기술이 요망되고 있다.
블록 공중합체(block copolymer, BCP)의 자기 조립(self-assembly)은 표준 포토리소그래피 기술을 이용하여 패턴화 피처 크기를 감소시키기 위한 도구로서 사용되었다. BCP는 이들이 치수 30nm 미만의 배열된 화학적으로 분리된 템플릿을 형성할 수 있기 때문에 반도체 제조시에 유용하다. 템플릿의 기하학적 형상(geometry)은 다른 블록 유형의 공중합체의 분자량 및 조성에 의해 조작될 수 있다. 템플릿은 주기적으로 교호하는, 화학작용이 상이한 2개 이상의 구역을 포함할 수 있다. 하나의 접근법에 있어서, 상기 화학작용 구역 중의 하나는 블록 공중합체 상(phase) 중의 하나에 이끌릴 수 있고, 상기 화학작용의 다른 구역은 BCP의 양 블록에 대하여 중성일 수 있다. 일례로, 상기 이끄는 구역(attractive area)은 BCP가 그 위에서 정렬되게 할 수 있고, 교호하는 BCP는 이 핀상(pinned phase) 옆에 정렬되게 할 수 있다. 이 방법으로, 블록 공중합체는 기판의 큰 구역에 걸쳐서 핀 가이드(pinning guide)에 평행하게 자기 조립하도록 유도될 수 있다.
템플릿은 유기 포토레지스트로 패터닝될 수 있는 상이한 유기물을 이용하여 형성될 수 있다. 이 예에서, 패터닝 처리는 기판 상에서 노출된 유기물과 노출되지 않은 유기물 간의 선택성을 증가시키는 제거 공정 또는 방법을 포함시킬 필요가 있다.
본 발명은 서브(sub)-30nm 구조용의 패터닝 템플릿을 형성하기 위해 유기물의 적층, 패터닝 및 제거를 포함한 유도 자기 조립 템플릿 제조 공정에 관한 것이다. 더 구체적으로, 구체적인 일 실시형태에 있어서, 템플릿은 기판 상에 적층된 폴리스티렌 층을 패터닝 및 에칭함으로써 형성될 수 있다. 유기 포토레지스트는 상기 폴리스티렌 층 상에 적층될 수 있고, 패터닝/에칭 공정은 포토레지스트에 의해 덮이지 않은 상기 폴리스티렌 층의 측벽 상에 잔여 유기 중합체가 형성되게 할 수 있다. 이상적으로, 포토레지스트 및 잔여 중합체의 제거는 동일한 공정 또는 화학물질을 이용하여 행해질 수 있다.
일 실시형태에 있어서, 템플릿은 반도체 소자를 제조하기 위해 사용하는 기판 상에 중합체(예를 들면, 폴리스티렌)를 적층하여 형성될 수 있다. 중합체는 중합체 밀도를 증가시키고 중합체를 교차 결합하기 위해 소정 시간 동안 베이크 온도(예를 들면, <310℃)로 가열될 수 있다. 포토레지스트 층은 중합체 상에 적층되고 하부의 중합체를 노출시키도록 패터닝될 수 있다. 포토레지스트에 의해 덮이지 않은 중합체의 일부는 건식 또는 습식 에칭 공정을 이용하여 제거될 수 있다. 일부 예에서, 에칭 공정은 포토레지스트에 의해 덮이지 않은 중합체의 측벽 및 상기 포토레지스트 상에 잔여 중합체를 적층할 수 있다. 그러나, 잔여 중합체의 존재는 템플릿의 형성에 바람직하지 않을 수 있다. 따라서, 최소량의 공정 단계를 이용하여 상기 포토레지스트 및 상기 잔여 중합체를 제거하는 것이 바람직하다. 예를 들면, 산소와 자외선(UV) 광을 이용하여 포토레지스트의 일부를 산화하고 및/또는 잔여 중합체의 표면 상태를 변화시켜서 포토레지스트 및 잔여 중합체가 하부 중합체의 기하학적 형상 및/또는 표면 특성에 끼치는 영향을 최소화하면서 제거될 수 있다. UV 광은 200nm보다 높은 제1 파장과 200nm 미만인 제2 파장을 포함할 수 있다. UV 광은 0.01 J/㎠ 내지 150 J/㎠의 선량(dose)을 가질 수 있다. 다른 실시형태에 있어서, 기판에 노출된 UV 광의 적어도 10%는 200nm 미만의 파장을 가질 수 있다. 구체적인 일 실시형태에 있어서, 제1 파장은 185nm이고 제2 파장은 254nm이다.
UV 광 노출에 이어서, 비제한적인 예를 들자면 탈이온수, 수산화암모늄, 및 과산화수소의 조합 또는 수산화 테트라메틸암모늄 및 디메틸 설폭사이드 또는 이들의 조합을 포함한 다양한 화학물질을 이용한 습식 화학 에칭이 행해질 수 있다. 중합체, 잔여 중합체 및 포토레지스트 간의 선택성을 나타내기 위해 깨끗한 화학물질이 필요할 수 있다.
이 명세서에 포함되어 이 명세서의 일부를 구성하는 첨부 도면은 발명의 실시형태를 나타내고, 전술한 발명의 일반적인 설명과 함께 이하에서 제공되는 상세한 설명은 발명을 설명하기 위해 소용된다. 추가로, 참조 번호의 가장 좌측 숫자는 그 참조 번호가 최초로 나타나는 도면을 표시한다.
도 1a 내지 도 1c는 기판 상에 반도체 소자를 제조하기 위해 사용되는 유도 자기 조립 템플릿의 적어도 일부를 생성하는 방법의 흐름도 및 대응하는 예시도를 포함한 도이다.
도 2는 비제한적인 예로서 도 1a 내지 도 1c와 관련하여 설명하는 방법을 이용하여 형성될 수 있는 정렬된 블록 공중합체의 단면도이다.
도 3은 기판 상에 형성된 제2 유기물층 위에 배치된 제1 유기물층을 제거하는 방법의 흐름도 및 대응하는 예시도를 포함한 도이다.
이하의 상세한 설명에서는 본 발명과 일치하는 예시적인 실시형태를 설명하기 위해 첨부 도면을 참조한다. 상세한 설명에서 "일 실시형태", "실시형태", "예시적 실시형태" 등으로 인용하는 것은 설명되는 예시적인 실시형태가 특정의 피처, 구조 또는 특성을 포함할 수 있지만, 모든 예시적 실시형태가 반드시 그러한 특정의 피처, 구조 또는 특성을 포함할 필요는 없다는 것을 표시한다. 더욱이, 그러한 구는 반드시 동일한 실시형태를 인용할 필요가 없다. 또한, 특정의 피처, 구조 또는 특성이 실시형태와 관련하여 설명될 때, 당업자라면 이것이 명시적으로 설명되어 있는지 여부와 관계없이 다른 예시적인 실시형태와 관련하여 그러한 피처, 구조 또는 특성에 영향을 준다는 것을 이해할 것이다.
여기에서 설명하는 예시적인 실시형태는 설명의 목적으로만 제공되고 제한하는 의도는 없다. 다른 실시형태들도 가능하고, 본 발명의 범위 내에서 예시적인 실시형태에 대하여 각종 수정이 이루어질 수 있다. 그러므로 상세한 설명은 본 발명을 제한하는 것으로 해석되지 않는다. 오히려, 본 발명의 범위는 첨부되는 특허 청구범위 및 그 균등물에 의해서만 규정된다.
예시적인 실시형태에 대한 이하의 상세한 설명은 다른 사람들이 당업자의 지식을 적용함으로써 본 발명의 범위로부터 벗어나지 않고, 과도한 실험 없이, 그러한 예시적인 실시형태의 다양한 응용을 위해 쉽게 수정 및/또는 적응시킬 수 있는 본 발명의 일반적인 특징을 충분히 드러낼 것이다. 그러므로 그러한 적응 및 수정은 여기에서 제시되는 교시 및 안내에 기초하여 예시적인 실시형태의 의미 및 복수의 균등물 내에 있는 것으로 의도된다. 여기에서 사용하는 어법 및 용어는 설명을 위한 것이지 제한하는 것이 아니며, 본 명세서에서의 용어 및 어법은 여기에서의 교시에 비추어 당업자에 의해 해석되어야 한다.
여기에서 사용하는 용어 "복사선 민감재"는 포토레지스트와 같은 감광재를 의미하며 포함한다.
여기에서 사용하는 용어 "중합체 블록"은 더 큰 길이의 더 큰 중합체의 일부를 형성하고 다른 단량체 유형의 다른 중합체 블록과 함께 상 분리를 일으키기에 충분한 χN 값을 나타내는 소정 길이의 연속적인 중합체 사슬로, 단일 유형(즉, 단독중합체 블록) 또는 복수 유형(즉, 공중합체 블록)의 구성 단위의 복수의 단량체 단위의 그룹화를 의미하며 포함한다. χ는 플로리 허긴스(Flory-Huggins) 상호작용 파라미터이고 N은 블록 공중합체의 총 중합도이다. 본 발명의 실시형태에 따라서, 더 큰 공중합체에 적어도 하나의 다른 중합체 블록이 있는 하나의 중합체 블록의 χN 값은 약 10.5 이상일 수 있다.
여기에서 사용하는 용어 "블록 공중합체"는 복수의 사슬로 구성된 중합체를 의미하며 포함하고, 이때 각 사슬은 전술한 바와 같이 2개 이상의 중합체 블록을 내포하고 적어도 2개의 블록은 그 블록들이 상분리하도록 충분한 격리 강도(예를 들면, χN>10.5)를 갖는다. 이중블록 공중합체(즉, 2개의 중합체 블록(AB)을 포함한 중합체), 삼중블록 공중합체(즉, 3개의 중합체 블록(ABA 또는 ABC)을 포함한 중합체), 다중블록 공중합체(즉, 4개 이상의 중합체 블록(ABCD 등)을 포함한 중합체), 및 이들의 조합을 포함한 다양한 블록 중합체가 여기에서 예상된다.
여기에서 사용하는 용어 "기판"은 그 위에 각종 재료가 형성되는 모재 또는 기초 구성을 의미하며 포함한다. 기판은 단일 재료, 상이한 재료의 복수의 층, 내부에 상이한 재료 또는 상이한 구조의 영역들을 가진 층 등을 포함할 수 있다는 것을 이해할 것이다. 이러한 재료들은 반도체, 절연체, 도체 또는 이들의 조합을 포함할 수 있다. 예를 들면, 기판은 반도체 기판, 지지 구조물 위의 기초 반도체 층, 하나 이상의 층을 가진 반도체 기판 또는 금속 전극, 그 위에 형성된 각종 구조물 또는 영역일 수 있다. 기판은 종래의 실리콘 기판 또는 반도체 물질 층을 포함한 다른 벌크 기판일 수 있다. 여기에서 사용하는 용어 "벌크 기판"은 실리콘 웨이퍼뿐만 아니라 SOS(silicon-on-sapphire) 기판 및 SOG(silicon-on-glass) 기판과 같은 SOI(silicon-on-insulator) 기판, 기초 반도체 토대(foundation) 위의 에피택셜 실리콘층, 및 다른 반도체 또는 광전자 물질, 예를 들면, 실리콘-게르마늄, 게르마늄, 비화 갈륨, 질화 갈륨 및 인화 인듐을 의미하며 포함한다.
여기에서 사용하는 용어 "미세상 격리"(microphase segregation) 및 "미세상 분리"(microphase separation)는 블록 공중합체의 동종 블록들이 상호 집성하게 하고 이종 블록들을 별도의 도메인으로 분리시키는 특성을 의미하며 포함한다. 벌크에서 블록 공중합체는 구형, 원통형, 박막형(lamellar), 이중 연속 자이로이드, 또는 믹토암 스타 미소영역(miktoarm star microdomain)을 가진 배열된 형태학으로 자기 조립할 수 있고, 여기에서 상기 블록 공중합체의 분자량은 형성된 미소영역의 크기를 말한다.
자기 조립된 블록 공중합체 형태학의 도메인 크기 또는 피치 주기(L0)는 패턴화 구조의 임계 치수를 설계하기 위한 기초로서 사용될 수 있다. 유사하게, 블록 공중합체의 중합체 블록들 중 하나를 선택적으로 에칭한 후에 잔류하는 피처의 치수인 구조 주기(LS)는 패턴화 구조의 임계 치수를 설계하기 위한 기초로서 사용될 수 있다. 블록 공중합체를 구성하는 각 중합체 블록의 길이는 이러한 블록 공중합체의 중합체 블록들에 의해 형성된 도메인의 크기에 대한 고유 한계일 수 있다. 예를 들면, 각각의 중합체 블록은 도메인의 바람직한 패턴으로의 자기 조립을 촉진하는 길이로 선택될 수 있고, 더 짧은 및/또는 더 긴 공중합체는 원하는 대로 자기 조립하지 않을 수 있다.
여기에서 사용하는 용어 "베이킹"(baking) 또는 "베이크"(bake)는 중합체 블록으로부터 형성된 구조 단위를 반복함으로써 규정된 배열 패턴을 형성하도록 블록 공중합체의 다른 2개 이상의 중합체 블록 성분들 간에 충분한 미세상 격리가 이루어질 수 있도록 블록 공중합체를 처리하는 것을 의미하며 포함한다. 본 발명에서 블록 공중합체의 베이크는 비제한적인 예를 들자면 열 어닐링(진공에서, 또는 질소 또는 아르곤과 같은 불활성 분위기에서), 용매 증기를 이용한 어닐링(실온 이상에서), 초임계 유체를 이용한 어닐링, 또는 흡수 기반 어닐링(예를 들면, 광학적 베이크)을 포함한, 업계에 공지된 각종 방법에 의해 달성될 수 있다. 구체적인 예로서, 블록 공중합체의 열 어닐링은 유리 전이 온도(Tg) 이상 및 블록 공중합체의 열화 온도(Td) 미만인 고온에 블록 공중합체를 노출시킴으로써 시행될 수 있다. 이것에 대해서는 뒤에서 자세히 설명한다. 여기에서 설명하지 않는 다른 종래의 어닐링 방법도 또한 사용할 수 있다.
자기조직화하는 블록 공중합체의 능력을 이용하여 마스크 패턴을 형성할 수 있다. 블록 공중합체는 2개 이상의 화학적으로 분리된 블록들로 형성될 수 있다. 예를 들면, 각 블록은 다른 단량체로 형성될 수 있다. 블록들은 혼합될 수 없거나 열역학적으로 비호환성이다. 예를 들면 하나의 블록은 극성이고 다른 블록은 무극성일 수 있다. 열역학적 효과 때문에, 공중합체는 용액 내에서 자기 조직하여 시스템의 에너지를 전체적으로 최소화할 것이고, 전형적으로 이것은 동일한 블록들이 함께 집성하여 각각의 블록 유형 또는 종(species)을 내포하는 교호 영역을 형성하도록 공중합체들이 서로에 대하여 이동하게 한다. 예를 들어서, 만일 공중합체들이 극성 블록(예를 들면, 유기금속 함유 중합체)과 무극성 블록(예를 들면, 탄화수소 중합체)으로 형성되면, 블록들은 무극성 블록들이 다른 무극성 블록들과 집성하고 극성 블록들이 다른 극성 블록들과 집성하도록 격리될 것이다. 비록 분자 모집단의 이동률을 전체적으로 증가시키기 위해 열을 인가하더라도, 블록들이 특수한 개별적인 분자의 이동을 유도하는 외력의 적극적인 인가 없이 패턴을 형성하도록 이동할 수 있기 때문에, 블록 공중합체들은 자기 조립 물질로서 설명될 수 있다는 것을 이해할 것이다.
중합체 블록 종들 간의 상호작용 외에, 블록 공중합체들의 자기 조립은 블록 공중합체가 적층되는 수평 표면으로부터 수직으로 연장하는 스텝 또는 가이드와 같은 지형적 피처에 의해 영향을 받을 수 있다. 예를 들면 2개의 상이한 중합체 블록 종으로 형성된 중합체인 이중블록 공중합체는 실질적으로 상이한 중합체 블록 종으로 각각 형성되는 교호 도메인 또는 영역을 형성할 수 있다. 중합체 블록 종의 자기 조립이 스텝 또는 가이드의 수직 벽들 간의 구역에서 발생하면, 스텝 또는 가이드들은 예컨대 블록들에 의해 형성된 각각의 교호 영역이 벽 및 수평면에 대략 평행하게 지향되는 피처들과 규칙적으로 이격된 패턴을 형성하도록 만들어지게끔 중합체 블록들과 상호작용할 수 있다.
이러한 자기 조립은 반도체 제조 공정 중에 피처들을 패터닝하는 마스크를 형성하는데 유용할 수 있다. 예를 들면, 교호 도메인들 중의 하나가 제거되고, 이것에 의해 마스크로서 기능하도록 다른 영역을 형성하는 물질을 남길 수 있다. 마스크는 하부의 반도체 기판에서 전기 소자 등의 피처들을 패턴화하기 위해 사용될 수 있다. 블록 공중합체 마스크를 형성하는 방법은 소머벨(Somervell) 등에 의해 "광분해제를 이용한 유도 자기 조립 응용에서의 화학 에피택시"(CHEMI-EPITAXY IN DIRECTED SELF-ASSEMBLY APPLICATIONS USING PHOTO-DECOMPOSABLE AGENTS)의 명칭으로 2013년 3월 14일자 출원된 미국 특허 출원 제13/830,859호에 개시되어 있다.
도 1a 내지 도 1c는 서브-30nm 피처를 기판에 에칭하기 위해 사용되는 유도 자기 조립(directed self-assembly, DSA) 템플릿을 생성하는 방법의 흐름도(100) 및 이 방법(100)을 예시하는 대응하는 단면도(102)를 포함한다. DSA 템플릿은 기판(104) 상에 균일한 소규모 패턴(예를 들면, <30nm)을 달성하기 위해 사용될 수 있다. 일 실시형태에 있어서, DSA 템플릿의 표면 특성에 기초한 BCP의 자기 조립은 DSA 템플릿 전체에서 교호하는 상이한 표면 특성을 가진 2개 이상의 구역을 포함할 수 있다. DSA 템플릿을 형성하는 하나의 접근법은 기판(104) 상에 패턴을 형성하는 20nm 미만의 폭을 가진 좁은 선을 포함하는 가이드 물질을 기판(104) 상에 형성하는 단계를 포함할 수 있다. 상기 가이드 물질(예를 들면, 유기 중합체(126))은 패터닝되지 않은 가이드 물질 상에 적층된 유기 포토레지스트로 패터닝함으로써 형성될 수 있다. 가이드 물질의 패터닝은 가이드 물질의 측벽에 잔여 중합체를 적층하는 가이드 물질의 일부를 에칭 공정을 이용하여 제거하는 단계를 포함할 수 있다. 이상적으로, 유기 포토레지스트는 후속 공정에 부정적 영향을 주는 기하학적 형상 또는 표면 특성에 영향을 주지 않고 유기 가이드 물질로부터 제거될 수 있다. 따라서, 유기 포토레지스트 및 잔여 중합체를 선택적으로 제거하지만 중합체의 표면 특성 및 기하학적 형상을 유지하는 선택적 제거 방식이 바람직할 수 있다. 예를 들면, 중합체의 기하학적 형상 또는 외형(profile)은 균일한 표면을 가진 실질적인 정사각형 또는 직사각형의 형상을 형성할 수 있고, 표면에서의 결합은 기판(104)의 후속 공정 중에 그 외형을 유지하도록 충분히 강하다.
여기에서는 방법(100)이 설명의 목적상 복수의 별개의 옵션으로서 설명될 것이다. 그러나, 설명의 순서는 이러한 동작들이 반드시 순서 의존적임을 암시하는 것으로 해석되어서는 안된다. 특히, 이러한 동작은 제시된 순서로 수행될 필요가 없다. 방법(100)은 설명된 실시형태와 다른 순서로 수행될 수 있다. 또한, 일부 동작은 생략될 수 있고, 또는 DSA 템플릿을 형성하기 위해 다른 동작들이 수행될 수 있다.
블록 106에서, 유기 중합체(126)가 DSA 템플릿의 토대를 형성하기 위해 기판(104) 위에 적층될 수 있다. 유기 중합체(126)는 비제한적인 예를 들자면 실온 이상에서 베이킹될 때 교차 결합되는 폴리스티렌을 포함할 수 있다. 교차 결합은 기판(104)의 후속 공정에 의해 야기되는 구조적 변화에 저항하도록 유기 중합체(126)의 강도를 증가시킬 수 있다. 예를 들면, 교차 결합된 유기 중합체(126)는 후속 층 적층, 패터닝 및/또는 기판(104)의 세정에 의해 제거되거나 변형될 가능성이 낮아진다. 일 실시형태에 있어서, 유기 중합체(126)의 베이크는 200℃ 내지 310℃ 사이의 베이크 온도를 사용함으로써 유기 중합체(126)의 밀도를 증가시킬 수 있다.
적층되는 유기 중합체(126)는 기판(104)의 표면 전역에서 연속적인 비교적 균일한 두께로 적층될 수 있다. 그러나 DSA 템플릿은 기판(104) 상에서 서브-30nm 피처가 가능하도록 유기 중합체(126)의 별개의 부분들을 이용하여 구현될 수 있다. 따라서, 유기 중합체(126)는 포토리소그래피 기술을 이용하여 패터닝될 수 있다.
블록 108에서, 포토레지스트 층(128)이 균일 및/또는 등각 방식으로 유기 중합체(126) 상에 적층될 수 있다. 포토레지스트 층(128)은 오늘날 포토리소그래피 공정에서 일반적으로 사용되는 복사선 유형인 가시광, 심자외선(deep ultraviolet, DUV) 광, 극자외선(extreme ultraviolet, EUV) 광, 전자빔 및 엑스선 복사 에너지에 노출될 때 화학적으로 반응하는 임의의 복사선 민감성 조성물일 수 있다. 복사선에 노출될 때, 포토레지스트 층(128) 내의 분자들의 결합이 파괴되거나 용해되기 쉬워져서, 노출된 부분이 포토레지스트 현상 화학물질을 이용하여 용해될 수 있다. 포토레지스트 층(128)은 포지티브 톤 포토레지스트일 수 있다. 포지티브 톤 포토레지스트는 복사선에 노출될 때 더 용해되기 쉽고 네가티브 톤 포토레지스트는 복사선에 노출될 때 용해되기가 더 어려워진다. 일 실시형태에 있어서, 포지티브 톤 포토레지스트 또는 네가티브 톤 포토레지스트는 비제한적인 예를 들자면, 보호 또는 비보호 메타크릴 공중합체, 보호 또는 비보호 아크릴 코모노머, 스티렌, 하이드록시스티렌, 또는 보호 또는 비보호 하이드록시스티렌 코모노머 중의 하나 이상을 포함할 수 있다. 복사선의 노출은 포토레지스트 층(128)의 별개의 부분들을 공지의 포토리소그래피 장비 및 기술을 이용하여 복사선에 노출시키는 패터닝 공정에 의해 제어될 수 있다. 다른 실시형태에 있어서, 포토레지스트 층(128)은 포지티브 톤 포토레지스트와는 다른 유형의 현상제에서 용해성이 더 낮은 네가티브 톤 포토레지스트일 수 있고, 그래서 네가티브 톤 포토레지스트 층의 노출 부분은 본래대로 남아있고 비노출 부분은 제거될 수 있다.
블록 110에서, 포토레지스트 층(128)은 유기 중합체 층(126)의 부분들을 노출시키도록 상기 노출되는 영역을 일 실시형태에 있어서 더 쉽게 용해되게 또는 더 쉽게 제거되게 하기 위해 별개 부분의 복사선(예를 들면, 광)에 노출시킴으로써 패터닝될 수 있다. 유기 중합체(126)의 노출 부분(130)은 에칭 또는 세정과 같은 후속 공정에 노출될 수 있다. DSA 템플릿 패턴은 도 1a에 도시된 바와 같이 포토레지스트 층(128)의 실질적으로 정사각형 또는 직사각형 단면 외형을 형성하는 직선을 포함할 수 있다. 유기 중합체(126)의 노출된 부분(130)은 도 1a와 도 1b의 방법(100)의 흐름도에서 도시되지 않은 화학 공정 및/또는 플라즈마 처리 중에 제거된 포토레지스트 층(128) 부분의 맞은편이다.
블록 112에서, 화학 공정은 포토레지스트 층(128)의 패턴을 유기 중합체(126)로 전사시키는 방식으로 유기 중합체(126)의 노출 부분(130)을 제거 또는 에칭하기 위해 사용될 수 있다. 화학 공정은 비제한적인 예를 들자면 제거 공정 중에 유기 중합체(126) 및 포토레지스트 층(128) 중 적어도 하나의 측벽에 잔여 중합체(132)를 생성하는 가스를 포함할 수 있다. 예를 들면, 유기 중합체(126)가 가스(예를 들면, CF4)를 이용하여 제거될 때, 제거 공정의 부산물로서 부동태화 중합체(예를 들면, 잔여 중합체(132))가 형성될 수 있다. 불행하게도, 상기 잔여 중합체(132)는 기판(104)에서 서브-30nm 피처를 형성하는 DSA 템플릿의 구현을 방해할 수 있다. 예를 들면, 유기 중합체(126) 및 포토레지스트 층(128)은 더 얇은 폭으로 깎여져서(도시 생략됨) 이전의 패터닝 공정(예를 들면, 블록 110)에서 제공된 패턴보다 더 작은 피처를 형성할 수 있는 DSA 템플릿을 생성할 수 있다. 깎기(trimming)는 유기 중합체(126)의 폭을 좁게 하는 양 층의 추가적인 패터닝 및 에칭을 포함할 수 있다. 잔여 중합체(132)는 깎기 공정을 방해할 수 있다. 따라서, 잔여 중합체(132)는 DSA 기술의 수행 또는 능력을 개선하기 위해 제거될 수 있다. 포토레지스트 층(128)의 에칭은 노출된 표면을 경화시킬 수 있고, 경화된 부분은 포토레지스트 층(128)의 비노출 부분보다 화학적으로 내성이 더 강할 수 있다. 예를 들면, 포토레지스트 층(128)의 노출 부분(예를 들면, 잔여 중합체(132))은 비노출 부분보다 더 치밀해질 수 있고, 그래서 포토레지스트 층(128)은 플라즈마 에칭 공정 또는 습식 화학 에칭 공정을 이용하여 제거하기가 더 어려울 수 있다. 그러므로, 잔여 중합체(132)를 더 용이하게 제거할 수 있도록 처리하는 것이 바람직할 수 있다. 이 처리는 뒤에서 설명하는 것과 동일하거나 유사한 UV 처리 단계를 포함할 수 있다.
도 1b의 블록 114에서, 기판(104)은 유기 중합체(126) 및 포토레지스트 층(128)으로부터 잔여 중합체(132)를 제거하기 위해 사용할 수 있는 임의의 화학물질의 선택성을 개선하기 위해 자외선(UV) 광(126)에 노출될 수 있다. UV 광(126)은 파장이 적어도 100nm인 전자기 복사선을 포함할 수 있다. 일 실시형태에 있어서, UV 광(126)은 동시에 또는 순차적 방식으로 기판에 노출될 수 있는 전자기 복사선의 하나 이상의 파장을 포함할 수 있다. 일 실시형태에 있어서, UV 광(126)의 단일 파장을 이용하여 기판(104)을 처리할 수 있다. UV 광(126)은 포토레지스트 층(128) 및 잔여 층(126)을 다양한 메카니즘을 통한 제거에 더 순응하게 할 수 있다.
다른 실시형태에 있어서, UV 광(126)의 2개의 상이한 파장을 이용하여 기판(104)을 처리할 수 있다. 광의 제1 파장은 200nm 미만이고, 광의 제2 파장은 200nm보다 높을 수 있다. UV 광(126)은 150 J/㎠까지의 선량을 가질 수 있다. 다른 실시형태에 있어서, UV 광(126)의 제1 파장은 약 185nm이고 UV 광(126)의 제2 파장은 약 254nm일 수 있다.
다른 실시형태에 있어서, UV 광(126) 파장의 분포는 블록 114에서의 노출 공정 중에 또한 변할 수 있다. 예를 들면, UV 광(126)은 제1 파장의 적어도 10%를 포함하고, 나머지가 제2 파장의 UV 광(126)을 포함할 수 있다. 구체적인 일 실시형태에 있어서, UV 광(126)의 적어도 10%는 약 185nm의 파장을 포함할 수 있고, UV 광(126)의 나머지는 약 254nm의 파장을 가질 수 있다.
UV 광(126) 외에, 노출 공정은 또한 포토레지스트 층(128)의 잔여층(132) 및 노출된 표면을 약화시키는 반응성 가스(134)를 또한 포함할 수 있고, 성가신 층들을 더 쉽게 제거할 수 있게 한다(예를 들면, 개선된 선택성). 상기 반응성 가스는 비제한적인 예를 들자면 1가 산소, 2가 산소 및/또는 오존을 포함할 수 있다.
블록 116에서, UV 광(126)과 반응성 가스(134)의 조합이 포토레지스트 층(128)의 잔여 중합체 층(136) 및 표면(138)을 산화 및/또는 약화시킬 수 있다. 반응성 가스(134)는 반응성 가스(134)가 UV 광(126) 또는 임의의 다른 에너지원에 노출될 때 오존을 생성하기 위해 사용될 수 있는 2가 산소를 포함할 수 있다. 최소한, UV 광(126) 및/또는 반응성 가스(134)의 조합을 이용하여 잔여 중합체(136)의 표면 상태를 변화시킬 수 있다. 예를 들면, 잔여 중합체(136) 및/또는 약화된 포토레지스트 층(128)은 UV 광(126)의 적어도 일부에 대하여 높은 흡수성을 가질 수 있고, 전자들을 여자시켜서 포토레지스트 층(128)의 약화된 잔여 중합체(136) 및/또는 약화된 표면(138)에서의 결합을 파괴하기 쉽게 할 수 있다. 일 실시형태에 있어서, 포토레지스트 층(128)은 185nm 파장의 UV 광(126)에 대하여 더 높은 흡수성을 갖고, 포토레지스트 층(128)에서의 결합 파괴를 더 쉽게 할 수 있다. 반면에, 254nm 파장의 UV 광(126)은 하부층에 대하여 흡수성이 없지만, 하부층에서의 결합 파괴를 위한 충분한 에너지로 254nm 광자에 훨씬 더 높은 영향을 줄 수 있다. 더 높은 UV 광(126) 파장은 더 낮은 파장의 UV 광(126)보다 하부층에서 탄소 사슬을 더 쉽게 파괴할 수 있다.
반응성 가스(134)는 또한 상기 약화된 전여 중합체(136)를 더 친수성으로 만들어서 상기 약화된 잔여 중합체(136)가 후속되는 습식 화학 공정에서 더 쉽게 제거되게 할 수 있다.
블록 118에서, 습식 화학 공정을 이용하여 포토레지스트 층(128) 및 약화된 잔여 중합체(136)를 제거함으로써 유기 중합체(126)를 노출시킬 수 있다. 일 실시형태에 있어서, 습식 화학 공정은, 수산화암모늄, 과산화수소, 물, 수성, 반수성, 비수성 화학 용액, 또는 이들의 임의 조합 중의 적어도 하나를 포함하는 하나 이상의 단계를 포함할 수 있다. 따라서, 유기 중합체(126)는 포토레지스트 층(128) 및 잔여 중합체(136, 138)의 제거 후에 기판(104)에 패턴을 형성할 수 있다. 일 사례로서, 상기 패턴은 기판(104) 전역에 걸쳐서 유기 중합체(126)의 평행선 또는 실질적 평행선을 포함할 수 있다.
일 실시형태에 있어서, 습식 화학 공정은 수산화암모늄을 27 중량%로 및 과산화수소를 30 중량%로 한 1:1:5의 비율로 수산화암모늄, 과산화수소 및 물의 화합물을 포함할 수 있다. 일 사례로서, 상기 화합물의 온도는 50℃일 수 있고, 기판(104)은 최대 2분동안 상기 화합물에 노출될 수 있다.
다른 실시형태에 있어서, 습식 화학 공정은 비제한적인 예를 들자면 테트라메틸암모늄, N-메틸피롤리돈 및/또는 디메틸 설폭사이드 중의 하나 이상을 포함할 수 있다. 전술한 습식 화합물은 45-65℃ 사이에서 가열되고 최대 2분 동안 기판(104)에 노출될 수 있다. 구체적인 일 실시형태에 있어서, 기판(104) 노출 시간은 1분일 수 있다.
블록 120에서, 중성 공중합체 층(140)이 기판(104) 상에 적층되어 유기물 층(126) 및 유기물 층(126) 부분들 간의 공간을 덮을 수 있다. 일 실시형태에 있어서, 중성 공중합체 층은 기판(104)에 이식(grafting)되고 유기물 층(126)에는 이식되지 않을 수 있다. 이식 공정은 300℃ 미만에서의 베이크 단계를 포함할 수 있다. 일반적으로, 중성 공중합체 층(140)은 유기물 층(126) 또는 기판(104) 상에 적층된 후속 BCP 층(도시 생략됨)에 대하여 화학적 친화력을 갖지 않을 수 있다. 중성 공중합체 층(140)은 비제한적인 예를 들자면 정렬되지 않은 공중합체 블록을 포함할 수 있다.
블록 122에서, 중성 공중합체 층(140)의 비이식 부분은 도 1c에 도시된 바와 같이 유기물 층(126)과 중성 공중합체 층(140) 간의 스텝 높이 차를 최소화하는 화학 공정 또는 린스를 이용하여 기판(104)으로부터 제거될 수 있다. 상기 스텝 높이는 기판(104)과 유기물 층(126)의 반대쪽 표면 간의 두께 및 기판(104)과 중성 공중합체 층(140)의 반대쪽 표면 간의 두께의 차이다. 예를 들면, 기판(104)에 반대쪽인 유기물 층(126) 및 중성 공중합체 층(140)의 표면들은 스텝 높이 차가 최소로 되도록 서로 동일 높이로 또는 실질적으로 동일 높이로 될 수 있다.
블록 124에서, 정렬되지 않은 즉 무작위 공중합체 층(142)이 중성 공중합체 층(140) 및 유기 중합체(126) 위에 적층될 수 있다. 무작위 공중합체 층(142)은 기판(104)의 후속 공정 중에 예측 가능한 방식으로 자기 조직 또는 자기 조립할 수 있는 적어도 2개의 중합체 블록을 포함할 수 있다. 예를 들면, 중합체 블록들은 섞일 수 없고, 적당한 조건하에서 격리되어 단일 블록 종 또는 각 종의 교호 패턴을 현저하게 내포하는 도메인(도시 생략됨)을 형성할 수 있다. 상기 무작위 공중합체 층(142)은 비제한적인 예를 들자면 스핀 온 코팅, 스핀 캐스팅, 브러시 코팅 또는 기상 증착을 포함한 각종 방법으로 적층될 수 있다. 예를 들면, 상기 무작위 공중합체 층(142)은 톨루엔 등의 유기 용매와 같은 캐리어 용매로 용액으로서 제공될 수 있다. 상기 용액은 기판(104) 및 중성 공중합체 층(140)에 도포될 수 있고, 상기 캐리어 용매는 무작위 공중합체 층(142)을 제공하도록 후속적으로 제거될 수 있다. 상기 무작위 공중합체 층(142)은 비제한적인 예를 들자면 폴리스티렌 및 폴리메틸아크릴레이트(PMMA)를 포함하는 2개 이상의 중합체 종을 포함할 수 있다.
상이한 종들은 물질의 상 분리와 유사한 공정에서 열역학적 고려사항에 기인하여 자기 집성하는 것으로 이해된다. 자기 조직화는 유기물 층(126)과 중성 공중합체 층(140)의 물리적 계면뿐만 아니라 서로에 대한 화학적 친화력에 의해 안내된다. 따라서, 블록 공중합체의 구성 블록들은 자신들을 기판(104)의 패턴화 부분의 길이를 따라 지향시킬 수 있다. 자기 조직화는 블록 124 부근에 도시된 계층화 구조를 어닐링함으로써 촉진 및 가속화될 수 있다. 어닐링 공정의 온도는 블록 공중합체 또는 계층화 구조에 역효과를 주는 것을 방지하기 위해 충분히 낮게 선택될 수 있다. 어닐링은 약 350℃ 미만, 약 300℃ 미만, 약 250℃ 미만, 약 200℃ 미만, 또는 일부 실시형태에서는 약 180℃ 미만의 온도에서 수행될 수 있다. 다른 실시형태에 따르면, 어닐링 공정은 일반적으로 어닐링 온도를 낮추는 용매 어닐링을 포함할 수 있다.
어닐링 시간은 약 수시간으로부터 약 1분까지의 범위에 있을 수 있다. 예를 들면, 250℃ 이상의 온도에서의 어닐링 시간은 약 1시간으로부터 약 2분까지, 약 30분으로부터 약 2분까지 또는 약 5분으로부터 약 2분까지의 범위에 있을 수 있다.
일 실시형태에 따르면, 어닐링 온도는 약 260℃ 내지 약 350℃의 범위 내에 있을 수 있고, 이때 저산소 분위기는 약 40ppm 미만의 산소를 포함한다. 예를 들면, 블록 공중합체(380)의 층은 저산소 분위기(예를 들면, 300ppm 이하)에서 310℃의 어닐링 조건에 약 2분 내지 약 5분 동안 노출될 수 있다.
무작위 공중합체 층(142)의 어닐링은 도 2의 실시형태에서 나타낸 바와 같이 나란히 정렬된 복수의 교호하는 종 도메인으로 블록 공중합체의 자기 조립을 촉진할 수 있다.
다른 실시형태에 있어서, DSA 템플릿은 도 1a 내지 도 1c에 도시된 처리 흐름과 다른 다양한 처리 흐름을 이용하여 형성될 수 있다. 본 발명의 범위는 가이드 줄무늬(guide stripe)(예를 들면, 유기 중합체(126))가 적층 및 패터닝되고 중성층(예를 들면, 중성 공중합체 층(140))이 메워지는 도 1a 내지 도 1c의 흐름으로 제한되지 않는다. 구체적인 일 실시형태에 있어서, 중성층은 트렌치 선으로 적층 및 패터닝되고, 가이드 물질이 상기 트렌치에 메워질 수 있다. 이 방법으로, 적층되는 제1층은 도 1a 내지 도 1c 실시형태의 PS 층에 대조적으로 중성이고 상기 메움층은 중성 대신에 가이드층으로서 작용할 수 있다. 중성층의 패터닝은 도 1a 내지 도 1c에서의 처리와 유사한 에칭 및 유기물 박리를 포함할 수 있다. 예를 들면, 기판(104)은 패터닝 및 에칭된 중성층으로 코팅될 수 있고, 트렌치는 도 1c에서 블록(122)에 마주보는 도시로 나타낸 바와 같이 폴리(스티렌) 기반 브러시 물질로 메워지고 베이킹되며 린스될 수 있다. 이러한 처리는 블록 공중합체의 자기 조립을 유도하는데 사용되는 동일한 화학 템플릿을 산출하겠지만, 다른 처리 단계 집합을 통하여 그렇게 할 수도 있다. 잔여층은 에칭 공정 중에 PS 층 상에 형성될 수 있다. 따라서, 잔여층은 전술한 UV 처리를 이용하여 제거될 수 있다.
도 2는 적어도 부분적으로 무작위 공중합체 층(142)의 어닐링에 의해 구현될 수 있는 블록 공중합체 층의 1X 빈도 실시형태(200) 및 블록 공중합체 층의 3X 빈도 실시형태(202)를 보인 도이다. 그러나, 교호하는 종의 빈도는 1X 및 3X로 제한되지 않고 1X와 10X 사이 또는 그 이상의 임의의 빈도를 포함할 수 있다. 어닐링은 나란한 방식으로 및 유기물 층(126)과 중성 공중합체 층(140)의 반대쪽에 교호하는 종(예를 들면, 폴리스티렌(206) 및 PMMA(208))의 자기 조립을 야기할 수 있다. 도메인 크기(L0)(210)는 서로 인접한 각 종의 폭의 1회 반복으로 표시될 수 있다. L0 패턴은 기판 전역에서 반복되어 서로 평행한 선 및 공간의 어레이를 형성할 수 있다. 유사하게, 하부의 유기물 층(126) 및 중성 공중합체 층(140)은 도 2에 도시된 바와 같이 2개의 종이 교호하는 주기 간격(212) 내에서 변할 수 있다. 폴리스티렌 도메인(206) 및 PMMA 도메인(208)의 배치는 하부 층의 구조에 따라 변할 수 있고, 유기물 층(126)과 중성 공중합체 층(140) 사이에서 소정 빈도로 반복될 수 있다. 도 2는 단순히 상이한 패턴 빈도를 이용하는 2개의 대표적인 실시형태를 포함한다.
1X 빈도 실시형태(200)에 있어서, 교호하는 종은 1:1 방식으로 대응하는 하부 층과 상관하여 폴리스티렌 블록(206)이 중성 공중합체 층(140) 블록 중의 하나에 반대쪽에 있을 수 있다. 폴리스티렌 블록(206)과 PMMA 블록(208)은 서로 평행하거나 실질적으로 평행할 수 있다. DSA 템플릿의 형성의 품질도는 표면 영역에서 선 및/또는 공간이 얼마나 평행한지에 기초하여 측정될 수 있다.
일 실시형태에 있어서, 평행선/공간은 적어도 1㎛2의 표면적을 가진 영역에서 적어도 95% 평행 또는 실질적으로 평행할 수 있다. 구체적인 일 실시형태에 있어서, 상기 표면적은 1.2656㎛2일 수 있다. 다른 실시형태에 있어서, 평행선/공간의 품질은 대부분의 어레이 이상을 포함하는 표면적 위에 적어도 40선/공간의 어레이를 포함한 표면적 위에서 적어도 95% 평행일 수 있다. 예를 들면, 어레이 표면적은 어레이 테두리 또는 주변의 10 L0 내일 수 있는 표면적을 제외하고 전체 어레이를 포함할 수 있다.
소정의 사례에 있어서, 어레이의 품질은 품질 제어 목적으로 어레이들을 분리하는 2개 이상의 카테고리로 분류될 수 있다. 예를 들면, 어레이들은 95%-100%, 60%-94%, 및 59% 미만의 3개의 카테고리에 속할 수 있다. 하나의 사례로, 통과 기준은 DSA 처리 방식을 제어 또는 정량화하기 위해 95%-100%일 수 있다. 다른 사례로, 통과 기준은 60%-100%일 수 있고, 그 구조에서 59% 미만은 어느 실시형태에서도 통과하지 않을 것이다.
다른 실시형태에 있어서, 도메인 크기(210) 및 주기 간격(212)은 1X 빈도 실시형태(200)에 도시된 1:1 비율과 다를 수 있다. 따라서, 상이한 종들의 중복이 1X 빈도 실시형태(200)를 이용함으로써 기판(104) 또는 하부층에 상이한 패턴을 선택적으로 에칭하기 위해 사용될 수 있다.
3X 빈도 실시형태(202)에 있어서, 도메인 크기(L0)(210)는 주기 간격(214) 내에서 더 높은 빈도로 교호할 수 있고, 그래서 도메인 크기(210)는 주어진 주기 간격(214) 내에서 수회 교호할 수 있다. 이 실시형태에서, 주기 간격(214)은 연속 마커(216)로 표시된 바와 같이 도 2에 도시된 것보다 더 연장할 수 있다. 이 사례에서 상부층과 하부층의 비율은 3:1일 수 있다. 그러나, 다른 실시형태에서는 그 비율이 최대 10:1까지 될 수 있다. 게다가 1X 빈도 실시형태(200)의 설명에서 전술한 품질 기준이 3X 빈도 실시형태(202)의 상부층에서 선/공간들을 등급매기거나(rate) 및/또는 분류하기 위해 또한 사용될 수 있다.
도 3은 기판(104) 상에 형성된 하부의 제2 유기질 막으로부터 제1 유기질 막을 선택적으로 제거하는 방법의 흐름도(300)를 대응하는 도면(302)과 함께 도시한 것이다. 제거 선택성은 하부 유기질 막의 두께 또는 표면 상태의 최소 변화를 야기할 수 있다.
블록 304에서, 제1 유기물 층(312)이 비제한적인 예를 들자면 화학 기상 적층 또는 스핀 온 코팅을 포함한 임의의 공지된 적층 기술을 이용하여 기판(104) 상에 적층될 수 있다. 일 실시형태에 있어서, 제1 유기물 층(312)은 오늘날 포토리소그래피 공정에서 일반적으로 사용되는 복사선 유형인 가시광, 심자외선(DUV) 광, 극자외선(EUV) 광, 전자빔 및 엑스선 복사 에너지에 노출될 때 화학적으로 반응하는 임의의 복사선 민감성 조성물일 수 있다. 복사선에 노출될 때, 포토레지스트 층(128) 내의 분자들의 결합이 파괴되거나 용해되기 쉬워져서, 노출된 부분이 포토레지스트 현상 화학물질을 이용하여 용해될 수 있다. 포토레지스트는 비제한적인 예를 들자면, 보호 또는 비보호 메타크릴 공중합체, 보호 또는 비보호 아크릴 코모노머, 스티렌, 하이드록시스티렌, 또는 보호 또는 비보호 하이드록시스티렌 코모노머 중의 하나 이상을 포함할 수 있다.
블록 306에서, 제2 유기질 막(314)이 상기 제1 유기물 층(312) 상에 적층되고, 상기 2개의 유기질 막은 서로 물리적으로 인터페이스하고 이들은 2개의 막의 유기질 특성이 유사함에도 불구하고 서로로부터 비교적 쉽게 구별할 수 있다. 일 실시형태에 있어서, 상기 제2 유기질 막(314)은 상기 제2 유기질 막(314)으로의 광 투과를 가능하게 하지만 상기 제2 유기물 층(314)으로부터 반사되는 광량을 제한하는 반사방지 물질일 수 있다.
블록 308에서, 상기 제2 유기물 층(314)의 제1 부분이 UV 광(126)과 산소의 조합을 이용하여 제거될 수 있다. 상기 제1 부분은 도 3에 제2 유기물 층(312)과 더 얇은 제2 유기물 층(316) 간의 두께 차로 나타낸 바와 같이 상기 제2 유기물 층(314)의 두께의 적어도 40%를 포함할 수 있다.
일 실시형태에 있어서, 기판(104)은 제2 유기물 층(314)의 제1 부분을 제거하고 및/또는 제2 유기물 층(314)의 나머지, 즉 제2 부분에 사용될 수 있는 임의의 화학물질의 선택성을 개선하기 위해 자외선(UV) 광(126)에 노출될 수 있다. UV 광(126)은 적어도 100nm의 파장을 가진 전자기 복사선을 포함할 수 있다. 일 실시형태에 있어서, UV 광(126)은 동시에 또는 순차적 방식으로 기판에 노출될 수 있는 하나 이상의 파장의 전자기 복사선을 포함할 수 있다. 일 실시형태에 있어서, 단일 파장의 UV 광(126)이 기판(104)을 처리하기 위해 사용될 수 있다.
다른 실시형태에 있어서, 2개의 다른 파장의 UV 광(126)이 제2 유기물 층(314)을 처리하기 위해 사용될 수 있다. 광의 제1 파장은 200nm 미만이고 광의 제2 파장은 200nm보다 높을 수 있다. UV 광(126)은 150 J/㎠까지의 선량을 가질 수 있다. 다른 실시형태에 있어서, UV 광(126)의 제1 파장은 약 185nm이고 UV 광(126)의 제2 파장은 약 254nm일 수 있다.
다른 실시형태에 있어서, UV 광(126) 파장의 분포는 블록 308에서의 노출 공정 중에 또한 변할 수 있다. 예를 들면, UV 광(126)은 제1 파장의 적어도 10%를 포함하고, 나머지가 제2 파장의 UV 광(126)을 포함할 수 있다. 구체적인 일 실시형태에 있어서, UV 광(126)의 적어도 10%는 약 185nm의 파장을 포함하고 UV 광(126)의 나머지는 약 254nm의 파장을 가질 수 있다.
UV 광(126) 외에, 노출 공정은 또한 제2 유기물 층(314)을 약화시키는 반응성 가스(134)를 또한 포함할 수 있다. 상기 반응성 가스는 비제한적인 예를 들자면 1가 산소, 2가 산소 및/또는 오존을 포함할 수 있다.
UV 광(126) 및 반응성 가스(134)의 조합이 제2 유기물 층(314)을 산화 및/또는 약화시킬 수 있다. 반응성 가스(134)는 반응성 가스(134)가 UV 광(126) 또는 임의의 다른 에너지원에 노출될 때 오존을 생성하기 위해 사용될 수 있는 2가 산소를 포함할 수 있다. 최소한, UV 광(126) 및/또는 반응성 가스(134)의 조합을 이용하여 제2 유기물 층(314)의 표면 상태를 변화시킬 수 있다. 반응성 가스(134)는 또한 상기 약화된 제2 유기물 층(314)을 더 친수성으로 만들어서 상기 약화된 제2 유기물 층(314)이 후속되는 습식 화학 공정에서 더 쉽게 제거되게 할 수 있다.
블록 310에서, 습식 화학 공정을 이용하여 상기 제2 유기물 층(316)의 제2 부분을 제거함으로써 제1 유기물 층(312)의 두께 및/또는 표면 상태의 임의의 변화가 최소화되게 할 수 있다. 습식 화학 공정은 비제한적인 예를 들자면 블록 118의 설명에서 설명한 습식 화학 공정을 포함할 수 있다.
요약 부분이 아닌 상세한 설명 부분은 청구범위를 해석하기 위해 사용되는 것으로 의도된다는 것을 이해하여야 한다. 요약 부분은 본 발명의 모든 예시적인 실시형태는 아니지만 하나 이상의 실시형태를 나타낼 수 있고, 따라서 어떻게든 본 발명 및 첨부된 청구범위를 제한하는 것으로 의도되지 않는다.
비록, 본 발명을 하나 이상의 실시형태의 설명으로 예시하고 각종 실시형태를 상당히 구체적으로 설명하였지만, 그러한 설명은 첨부된 청구범위의 범위를 그러한 세부로 어떻게든 구속하거나 제한하는 것으로 의도되지 않는다. 당업자라면 추가적인 장점 및 수정예를 쉽게 생각할 수 있을 것이다. 그러므로 더 넓은 양태로서의 본 발명은 여기에서 도시하고 설명한 특정 세부, 대표적인 장치 및 방법, 및 예시적인 실시예로 제한되지 않는다. 따라서, 일반적인 발명 개념으로부터 벗어나지 않고 상기 세부와는 다른 변형예가 만들어질 수 있다.

Claims (30)

  1. 기판을 패터닝하는 유도 자기 조립 기술에서의 방법에 있어서,
    상기 기판을 중합체로 코팅하는 단계와;
    상기 중합체의 밀도를 증가시키도록 상기 중합체를 베이크 온도로 가열하는 단계와;
    포토레지스트 층을 이용하여 상기 중합체를 패터닝하는 단계와;
    포토레지스트에 의해 덮이지 않은 상기 중합체의 일부를 제거하는 단계와;
    상기 중합체의 일부를 제거하는 동안 상기 중합체의 적어도 일측 측벽에 잔여 중합체를 생성하는 단계와;
    산소 및 하나 이상 파장의 자외선(UV) 광을 이용하여 상기 포토레지스트의 일부를 산화시키는 단계와;
    상기 산소 및 상기 하나 이상 파장의 자외선 광을 이용하여 상기 잔여 중합체의 표면 상태를 변화시키는 단계와;
    상기 중합체를 노출시키도록 상기 포토레지스트 및 상기 잔여 중합체를 제거하는 단계
    를 포함한 방법.
  2. 제1항에 있어서, 상기 패터닝된 중합체보다 상기 기판에 더 강하게 접착되는 선택적 물질로 상기 패터닝된 중합체 및 상기 기판을 코팅하는 단계와;
    상기 노출된 중합체 위에 있는 상기 선택적 물질을 제거하는 단계
    를 더 포함한 방법.
  3. 제2항에 있어서, 상기 선택적 물질은 무작위 공중합체 층이 어닐링될 때에 블록 공중합체를 형성할 수 있는 무작위 공중합체 층을 포함한 것인 방법.
  4. 제3항에 있어서, 포지티브 톤 포토레지스트 또는 네가티브 톤 포토레지스트는,
    보호 또는 비보호 메타크릴 공중합체;
    보호 또는 비보호 아크릴 코모노머;
    스티렌;
    하이드록시스티렌; 또는
    보호 또는 비보호 하이드록시스티렌 코모노머
    중 하나 이상을 포함한 것인 방법.
  5. 제1항에 있어서, 상기 파장은 200nm 미만인 파장 또는 200nm보다 높은 파장을 포함한 것인 방법.
  6. 제5항에 있어서, 상기 자외선 광은 200nm보다 높은 파장에 대하여 0.01 J/㎠ 내지 150 J/㎠의 낮은 파장 선량(dose), 또는 200nm 미만인 파장에 대하여 0.01 J/㎠ 내지 13 J/㎠의 높은 파장 선량을 포함한 것인 방법.
  7. 제1항에 있어서, 상기 중합체는 폴리스티렌을 포함한 것인 방법.
  8. 제1항에 있어서, 상기 하나 이상 파장의 UV 광은 200nm 미만인 제1 파장을 포함하고, 제2 파장의 UV 광은 200nm보다 높은 파장을 포함한 것인 방법.
  9. 제1항에 있어서, 상기 자외선 광은 200nm 미만인 파장을 가진 광의 적어도 10%를 포함한 것인 방법.
  10. 제1항에 있어서, 상기 베이크 온도는 200℃와 310℃ 사이의 온도를 포함한 것인 방법.
  11. 기판으로부터 포토레지스트를 제거하는 방법에 있어서,
    중합체를 포함한 상기 기판 상에 상기 포토레지스트를 패터닝하는 단계와;
    상기 중합체의 측벽에 잔여 중합체를 생성하고 상기 포토레지스트를 경화시키도록, 상기 패터닝된 포토레지스트에 의해 노출된 중합체를 제거하는 단계와;
    하나 이상 파장의 자외선 광을 포함한 자외선 광 및 산소에 상기 기판을 노출시키는 단계와;
    상기 기판 상에 패터닝된 중합체를 형성하도록 습식 화학 공정을 이용하여 상기 포토레지스트 및 상기 잔여 중합체를 제거하는 단계
    를 포함한 포토레지스트 제거 방법.
  12. 제11항에 있어서, 상기 중합체는 폴리스티렌을 포함한 것인 포토레지스트 제거 방법.
  13. 제11항에 있어서, 상기 자외선 광의 노출은 경화된 포토레지스트 및 잔여 중합체를 산화시키는 것인 포토레지스트 제거 방법.
  14. 제11항에 있어서, 상기 습식 화학 공정은 수산화암모늄, 과산화수소, 물, 수성, 반수성 또는 비수성 화학 용액을 포함한 것인 포토레지스트 제거 방법.
  15. 제11항에 있어서, 상기 파장은 185nm 이하의 파장을 포함한 것인 포토레지스트 제거 방법.
  16. 제15항에 있어서, 상기 파장은 적어도 220nm의 파장을 포함한 것인 포토레지스트 제거 방법.
  17. 제11항에 있어서, 상기 파장은 200nm 미만인 제1 파장 및 200nm보다 높은 제2 파장을 포함한 것인 포토레지스트 제거 방법.
  18. 제17항에 있어서, 상기 200nm보다 높은 파장은 약 254nm의 파장을 포함한 것인 포토레지스트 제거 방법.
  19. 제11항에 있어서, 상기 중합체는 5nm와 20nm 사이의 두께를 포함한 것인 포토레지스트 제거 방법.
  20. 제11항에 있어서, 상기 중합체는 상기 중합체의 바닥 표면과의 40도와 105도 사이의 측벽각을 포함한 것인 포토레지스트 제거 방법.
  21. 기판으로부터 제1 유기물층을 제거하는 방법에 있어서,
    제2 유기물층을 포함한 기판 상에 상기 제1 유기물층을 적층하는 단계와;
    상기 제1 유기물층을 자외선 광 및 산소에 노출시켜서 상기 제1 유기물층의 제1 부분을 제거하는 단계와;
    습식 화학 공정을 이용하여 상기 제1 유기물층의 제2 부분을 제거하는 단계
    를 포함한 제1 유기물층 제거 방법.
  22. 제21항에 있어서, 상기 제1 부분은 상기 제1 유기물층의 두께의 적어도 40%를 포함하고, 상기 제2 부분은 상기 제1 유기물층의 두께의 나머지를 포함한 것인 제1 유기물층 제거 방법.
  23. 제21항에 있어서, 상기 제1 유기물층은 포토레지스트를 포함하고, 상기 제2 유기물층은 유기질의 반사방지 물질을 포함하며, 상기 기판은 실리콘을 포함한 것인 제1 유기물층 제거 방법.
  24. 제21항에 있어서, 상기 제1 부분의 제거 후에, 상기 제1 유기물층은 상기 제2 유기물층보다 더 높은 산소 농도를 포함한 것인 제1 유기물층 제거 방법.
  25. 제21항에 있어서, 상기 자외선 광은 200nm 미만인 파장의 제1 분포와 200nm보다 높은 파장의 제2 분포를 포함한 것인 제1 유기물층 제거 방법.
  26. 제25항에 있어서, 상기 200nm 미만인 파장은 185nm 이하의 파장을 포함한 것인 제1 유기물층 제거 방법.
  27. 제26항에 있어서, 상기 200nm보다 높은 파장은 적어도 220nm의 파장을 포함한 것인 제1 유기물층 제거 방법.
  28. 제21항에 있어서, 상기 자외선 광은 약 185nm의 파장을 포함한 것인 제1 유기물층 제거 방법.
  29. 제28항에 있어서, 상기 200nm보다 높은 파장은 약 254nm의 파장을 포함한 것인 제1 유기물층 제거 방법.
  30. 제21항에 있어서, 상기 자외선 광은 약 100nm보다 높은 파장을 포함한 것인 제1 유기물층 제거 방법.
KR1020167008478A 2013-09-04 2014-08-22 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리 KR102394994B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020217009349A KR102399752B1 (ko) 2013-09-04 2014-08-22 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
KR1020217009352A KR102394998B1 (ko) 2013-09-04 2014-08-22 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361873515P 2013-09-04 2013-09-04
US61/873,515 2013-09-04
PCT/US2014/052292 WO2015034690A1 (en) 2013-09-04 2014-08-22 Uv-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020217009349A Division KR102399752B1 (ko) 2013-09-04 2014-08-22 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
KR1020217009352A Division KR102394998B1 (ko) 2013-09-04 2014-08-22 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리

Publications (2)

Publication Number Publication Date
KR20160052616A true KR20160052616A (ko) 2016-05-12
KR102394994B1 KR102394994B1 (ko) 2022-05-04

Family

ID=52583832

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020217009349A KR102399752B1 (ko) 2013-09-04 2014-08-22 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
KR1020167008478A KR102394994B1 (ko) 2013-09-04 2014-08-22 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
KR1020217009352A KR102394998B1 (ko) 2013-09-04 2014-08-22 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217009349A KR102399752B1 (ko) 2013-09-04 2014-08-22 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217009352A KR102394998B1 (ko) 2013-09-04 2014-08-22 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리

Country Status (5)

Country Link
US (2) US10490402B2 (ko)
JP (2) JP6452136B2 (ko)
KR (3) KR102399752B1 (ko)
TW (2) TWI570516B (ko)
WO (1) WO2015034690A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180037764A (ko) * 2016-10-05 2018-04-13 삼성전자주식회사 반도체 소자 제조방법

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478429B2 (en) * 2012-03-13 2016-10-25 Massachusetts Institute Of Technology Removable templates for directed self assembly
JP6494446B2 (ja) * 2015-06-23 2019-04-03 東京エレクトロン株式会社 基板処理方法、プログラム及びコンピュータ記憶媒体
JP6346132B2 (ja) * 2015-09-11 2018-06-20 株式会社東芝 パターン形成方法
JP6441499B2 (ja) * 2015-10-28 2018-12-19 東京エレクトロン株式会社 基板処理方法、基板処理装置、基板処理システム及び記憶媒体
US10021773B2 (en) 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element
JP6266680B2 (ja) * 2016-03-28 2018-01-24 株式会社トクヤマ 洗浄方法および洗浄液
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
FR3051964B1 (fr) * 2016-05-27 2018-11-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’un motif de guidage fonctionnalise pour un procede de grapho-epitaxie
US9632408B1 (en) * 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) * 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102325779B1 (ko) * 2017-08-22 2021-11-12 에스케이이노베이션 주식회사 중성층 형성용 랜덤 공중합체 및 이를 포함하는 패턴 형성용 적층체, 이를 이용한 패턴 형성 방법
FR3075775B1 (fr) * 2017-12-21 2020-01-17 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation d’une structure de guidage chimique sur un substrat et procede de chemo-epitaxie
JP6458174B1 (ja) * 2018-01-12 2019-01-23 デクセリアルズ株式会社 パターン形成方法及び偏光板の製造方法
EP3528045A1 (en) 2018-02-16 2019-08-21 IMEC vzw Method for forming a cross-linked layer
US11567407B2 (en) 2018-09-28 2023-01-31 Tokyo Electron Limited Method for globally adjusting spacer critical dimension using photo-active self-assembled monolayer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030004075A1 (en) * 2000-09-01 2003-01-02 Mizuki Suto Cleaning solution for removing residue
JP2009038360A (ja) * 2007-07-10 2009-02-19 Toshiba Corp パターン形成方法
US20100159214A1 (en) * 2008-12-22 2010-06-24 Hirokazu Hasegawa High-molecular thin film, pattern medium and manufacturing method thereof
US20110039413A1 (en) * 2009-08-12 2011-02-17 International Business Machines Corporation Method for forming trenches having different widths and the same depth

Family Cites Families (139)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2768760B2 (ja) * 1989-10-19 1998-06-25 株式会社東芝 レジストアッシング装置
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
JPH03154330A (ja) * 1989-11-13 1991-07-02 Matsushita Electron Corp 半導体装置の製造方法
JPH03224212A (ja) * 1990-01-30 1991-10-03 Oki Electric Ind Co Ltd 光アッシング装置
JP3407289B2 (ja) 1991-05-13 2003-05-19 セイコーエプソン株式会社 電子放出装置およびその駆動方法
US5482803A (en) * 1992-02-07 1996-01-09 Canon Kabushiki Kaisha Process for preparing filter
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
IL112826A (en) * 1995-02-28 1998-09-24 Chip Express Israel Ltd Method for settling a deposited plasma polymer layer
US5709754A (en) 1995-12-29 1998-01-20 Micron Technology, Inc. Method and apparatus for removing photoresist using UV and ozone/oxygen mixture
JP3708688B2 (ja) * 1996-09-13 2005-10-19 株式会社東芝 レジストパターン形成方法
JPH1130711A (ja) 1997-07-11 1999-02-02 Canon Inc 回折光学素子及びその製造方法及び光学機器
WO2001017040A1 (en) 1999-08-31 2001-03-08 E Ink Corporation A solvent annealing process for forming a thin semiconductor film with advantageous properties
WO2001047428A1 (de) 1999-12-24 2001-07-05 Ivoclar Vivadent Ag Atraumatischer approximalraumerweiterer
JP2004502554A (ja) 2000-03-22 2004-01-29 ユニバーシティー オブ マサチューセッツ ナノシリンダー・アレイ
JP2002231696A (ja) * 2001-01-31 2002-08-16 Mitsubishi Electric Corp レジスト除去方法とその装置
JP3623173B2 (ja) 2001-03-06 2005-02-23 独立行政法人科学技術振興機構 圧力ジャンプを用いるミクロ相分離ポリマー構造体の製造方法
US6303477B1 (en) 2001-04-04 2001-10-16 Chartered Semiconductor Manufacturing Ltd Removal of organic anti-reflection coatings in integrated circuits
JP2004519520A (ja) 2001-04-05 2004-07-02 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド フォトレジストのためのパーフルオロアルキルスルフォン酸化合物
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (ja) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 基板処理装置および基板洗浄装置
JP4077241B2 (ja) 2002-05-14 2008-04-16 富士通株式会社 半導体装置の製造方法
JP2003345026A (ja) * 2002-05-24 2003-12-03 Tokyo Ohka Kogyo Co Ltd 反射防止膜形成用塗布液組成物およびこれを用いたホトレジスト積層体、並びにホトレジストパターンの形成方法
US6852619B2 (en) * 2002-05-31 2005-02-08 Sharp Kabushiki Kaisha Dual damascene semiconductor devices
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (ja) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
JP4364601B2 (ja) * 2002-10-29 2009-11-18 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7875419B2 (en) * 2002-10-29 2011-01-25 Semiconductor Energy Laboratory Co., Ltd. Method for removing resist pattern and method for manufacturing semiconductor device
WO2004074242A2 (en) 2003-02-19 2004-09-02 Ciba Specialty Chemicals Holding Inc. Halogenated oxime derivatives and the use thereof as latent acids
US6846748B2 (en) 2003-05-01 2005-01-25 United Microeletronics Corp. Method for removing photoresist
US7060637B2 (en) * 2003-05-12 2006-06-13 Micron Technology, Inc. Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
KR100728547B1 (ko) * 2003-12-18 2007-06-15 동경 엘렉트론 주식회사 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독가능한기록 매체
JP4074593B2 (ja) 2004-02-26 2008-04-09 東京エレクトロン株式会社 減圧乾燥装置及び減圧乾燥方法
WO2005097883A2 (en) 2004-03-26 2005-10-20 King Industries, Inc. Method of producing a crosslinked coating in the manufacture of integrated circuits
WO2005101468A1 (ja) 2004-04-13 2005-10-27 Tokyo Electron Limited リンス処理方法および現像処理方法
JP4343018B2 (ja) 2004-04-20 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
KR101193824B1 (ko) 2004-07-20 2012-10-24 시바 홀딩 인크 옥심 유도체 및 잠산으로서의 이의 용도
US20070184656A1 (en) 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
JP2007100191A (ja) 2005-10-06 2007-04-19 Horiba Ltd 単分子膜形成装置及び単分子膜形成方法
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
US8687274B2 (en) * 2005-10-31 2014-04-01 Kabushiki Kaisha Toshiba Short-wavelength polarizing elements and the manufacture and use thereof
EP1957282B1 (en) 2005-12-02 2013-04-10 Canon Kabushiki Kaisha Liquid discharge head producing method
FR2894715B1 (fr) 2005-12-09 2008-02-22 Xbybus Soc Par Actions Simplif Procede de fabrication de composant silicium et/ou germanium sur isolant
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
JP2007279493A (ja) 2006-04-10 2007-10-25 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物およびレジストパターン形成方法
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US7416834B2 (en) * 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7741015B2 (en) 2007-02-16 2010-06-22 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR101428820B1 (ko) 2007-05-14 2014-08-08 마이크론 테크놀로지, 인크. 토포그래피 지향 패터닝
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8147914B2 (en) 2007-06-12 2012-04-03 Massachusetts Institute Of Technology Orientation-controlled self-assembled nanolithography using a block copolymer
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR100876836B1 (ko) * 2007-06-29 2009-01-07 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
JP2009051017A (ja) * 2007-08-23 2009-03-12 Fujifilm Corp 光ナノインプリントリソグラフィ用光硬化性組成物、及びパターン付き基板の製造方法
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8215074B2 (en) 2008-02-05 2012-07-10 International Business Machines Corporation Pattern formation employing self-assembled material
KR20160036090A (ko) 2008-02-08 2016-04-01 램 리써치 코포레이션 이중 마스크 자기정렬 이중 패터닝 기술 (sadpt) 프로세스
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
ES2394681T3 (es) 2008-05-09 2013-02-04 Basf Se Colorantes encapsulados a base de polímeros para secar por rociado
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP5336283B2 (ja) 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
EP2166564B1 (en) 2008-09-19 2017-04-12 Imec Method for removing a hardened photoresist from a semiconductor substrate
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP2010115832A (ja) 2008-11-12 2010-05-27 Panasonic Corp ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US20100193898A1 (en) 2009-02-04 2010-08-05 Tel Epion Inc. Method for forming trench isolation using gas cluster ion beam processing
US20100200774A1 (en) 2009-02-09 2010-08-12 Tel Epion Inc. Multi-sequence film deposition and growth using gas cluster ion beam processing
JP5413081B2 (ja) * 2009-02-18 2014-02-12 セイコーエプソン株式会社 画像表示方法および画像表示装置
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
JP5222805B2 (ja) 2009-07-09 2013-06-26 パナソニック株式会社 自己組織化パターン形成方法
US8915917B2 (en) 2009-08-13 2014-12-23 Cork Institute Of Technology Intramedullary nails for long bone fracture setting
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
JP5524228B2 (ja) 2009-09-25 2014-06-18 株式会社東芝 パターン形成方法
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US20110206905A1 (en) 2010-02-05 2011-08-25 The Governors Of The University Of Alberta Method for forming a block copolymer pattern
JP5003773B2 (ja) 2010-02-15 2012-08-15 東京エレクトロン株式会社 現像装置、現像方法及び記憶媒体
NL2005956A (en) 2010-02-26 2011-08-29 Asml Netherlands Bv Method and apparatus for treatment of self-assemblable polymer layers for use in lithography.
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US8338806B2 (en) 2010-05-05 2012-12-25 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP5296022B2 (ja) 2010-08-09 2013-09-25 東京エレクトロン株式会社 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5171909B2 (ja) 2010-09-16 2013-03-27 株式会社東芝 微細パターンの形成方法
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
JP2014505119A (ja) 2010-11-24 2014-02-27 ダウ コーニング コーポレーション ブロックコポリマーの形態の制御
NL2007940A (en) 2010-12-23 2012-06-27 Asml Netherlands Bv Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography.
US9299381B2 (en) 2011-02-07 2016-03-29 Wisconsin Alumni Research Foundation Solvent annealing block copolymers on patterned substrates
JP5484373B2 (ja) 2011-02-14 2014-05-07 東京エレクトロン株式会社 パターン形成方法
KR101891987B1 (ko) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 유기 발광장치 및 그 제조방법
WO2012175342A2 (en) 2011-06-23 2012-12-27 Asml Netherlands B.V. Self-assemblable polymer and method for use in lithography
NL2008951A (en) 2011-06-23 2013-01-02 Asml Netherlands Bv Self -assemblable polymer and methods for use in lithography.
KR101890425B1 (ko) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 표시 기판의 제조 방법
KR101929865B1 (ko) 2011-07-18 2018-12-17 에이에스엠엘 네델란즈 비.브이. 디바이스 리소그래피에 사용하기 위한 자기-조립성 폴리머를 위한 템플릿의 제공 방법
JP2013041958A (ja) 2011-08-15 2013-02-28 Hitachi Cable Ltd プリント配線基板およびプリント配線基板の製造方法
WO2013040483A1 (en) 2011-09-15 2013-03-21 Wisconsin Alumni Research Foundation Directed assembly of block copolymer films between a chemically patterned surface and a second surface
US8691925B2 (en) * 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
KR20130034778A (ko) 2011-09-29 2013-04-08 주식회사 동진쎄미켐 유도된 자가정렬 공정을 이용한 반도체 소자의 미세패턴 형성 방법
US8734662B2 (en) 2011-12-06 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing photoresist removal
CN103187245B (zh) 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
JP5979660B2 (ja) 2012-02-09 2016-08-24 東京応化工業株式会社 コンタクトホールパターンの形成方法
US9249013B2 (en) 2012-04-16 2016-02-02 Brewer Science Inc. Silicon hardmask layer for directed self-assembly
US8900941B2 (en) 2012-05-02 2014-12-02 Globalfoundries Inc. Methods of forming spacers on FinFETs and other semiconductor devices
KR20130124861A (ko) 2012-05-07 2013-11-15 삼성전자주식회사 패턴 형성 방법
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
DE102012105384A1 (de) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off-Verfahren und Vorrichtung zum Durchführen des Lift-off-Verfahrens
JP5835123B2 (ja) * 2012-06-21 2015-12-24 Jsr株式会社 パターン形成用自己組織化組成物及びパターン形成方法
KR102003334B1 (ko) 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
JP5764102B2 (ja) 2012-09-05 2015-08-12 株式会社東芝 パターン形成方法
US9034197B2 (en) 2012-09-13 2015-05-19 HGST Netherlands B.V. Method for separately processing regions on a patterned medium
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
JP6088800B2 (ja) 2012-11-07 2017-03-01 株式会社東芝 パターン形成方法
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials
US8790522B1 (en) 2013-02-11 2014-07-29 Globalfoundries Inc. Chemical and physical templates for forming patterns using directed self-assembly materials
US8859433B2 (en) 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9377683B2 (en) 2013-03-22 2016-06-28 HGST Netherlands B.V. Imprint template with optically-detectable alignment marks and method for making using block copolymers
US8853085B1 (en) 2013-04-23 2014-10-07 International Business Machines Corporation Grapho-epitaxy DSA process with dimension control of template pattern
US8900467B1 (en) 2013-05-25 2014-12-02 HGST Netherlands B.V. Method for making a chemical contrast pattern using block copolymers and sequential infiltration synthesis
JP2015023063A (ja) 2013-07-16 2015-02-02 株式会社東芝 パターン形成方法及びマスクパターンデータ
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9053923B2 (en) 2013-11-05 2015-06-09 GlobalFoundries, Inc. Methods for fabricating integrated circuits including topographical features for directed self-assembly

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030004075A1 (en) * 2000-09-01 2003-01-02 Mizuki Suto Cleaning solution for removing residue
JP2009038360A (ja) * 2007-07-10 2009-02-19 Toshiba Corp パターン形成方法
US20100159214A1 (en) * 2008-12-22 2010-06-24 Hirokazu Hasegawa High-molecular thin film, pattern medium and manufacturing method thereof
US20110039413A1 (en) * 2009-08-12 2011-02-17 International Business Machines Corporation Method for forming trenches having different widths and the same depth

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180037764A (ko) * 2016-10-05 2018-04-13 삼성전자주식회사 반도체 소자 제조방법

Also Published As

Publication number Publication date
TWI570516B (zh) 2017-02-11
KR20210040168A (ko) 2021-04-12
KR102394994B1 (ko) 2022-05-04
JP2019062219A (ja) 2019-04-18
JP2016532311A (ja) 2016-10-13
US10490402B2 (en) 2019-11-26
KR102394998B1 (ko) 2022-05-04
KR20210040167A (ko) 2021-04-12
JP6452136B2 (ja) 2019-01-16
US20200066509A1 (en) 2020-02-27
US20150064917A1 (en) 2015-03-05
TW201523159A (zh) 2015-06-16
KR102399752B1 (ko) 2022-05-20
TWI631434B (zh) 2018-08-01
US11538684B2 (en) 2022-12-27
TW201708981A (zh) 2017-03-01
JP6691195B2 (ja) 2020-04-28
WO2015034690A1 (en) 2015-03-12

Similar Documents

Publication Publication Date Title
US11538684B2 (en) UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
JP6139011B2 (ja) 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
KR101350072B1 (ko) 서브 리소그래픽 패터닝을 위해 블록 공중합체 자기 조립을 사용하는 방법
US8088551B2 (en) Methods of utilizing block copolymer to form patterns
JP2015520510A (ja) ブロックコポリマーを用いたパターンの形成および物品
JP5973557B2 (ja) ブロック共重合体を用いて基板の表面にパターンを作製する方法
KR101759817B1 (ko) 방향성 자동 조립 케모 에피택시 애플리케이션들에서 유기막을 제거하기 위한 트랙 처리
US9029271B2 (en) Methods of patterning block copolymer layers
WO2011152959A2 (en) Methods of forming patterns on substrates
US20160300711A1 (en) Using sub-resolution openings to aid in image reversal, directed self-assembly, and selective deposition
JP2016181630A (ja) パターン形成方法
KR102306307B1 (ko) 하부층의 패터닝 방법
JP2014135435A (ja) 半導体装置の製造方法
US9613807B2 (en) Methods for fabricating integrated circuits using directed self-assembly chemoepitaxy
CN108231547B (zh) 半导体装置的形成方法
JP2016058640A (ja) パターン形成方法、フォトマスク、及びナノインプリント用テンプレート
KR100891532B1 (ko) 반도체 소자의 패턴 형성방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant